Академический Документы
Профессиональный Документы
Культура Документы
OBJETIVO:
Se requiere el diseo y construccin de un reloj digital que cuente las horas, minutos y
segundos. La siguiente figura muestra el diagrama del bloque de ste sistema.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
RELOJ DIGITAL
SISTEMAS DIGITALES UTPL
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity reloj is
port (
clk : in std_logic;
rst : in std_logic;
segundos_LSB : inout std_logic_vector(3 downto 0);
segundos_MSB : inout std_logic_vector(3 downto 0);
minutos_LSB : inout std_logic_vector(3 downto 0);
minutos_MSB : inout std_logic_vector(3 downto 0);
horas_LSB : inout std_logic_vector(3 downto 0);
horas_MSB : inout std_logic_vector(3 downto 0)
);
end entity reloj;
begin
-- proceso para conseguir una seal de 1HZ a partir de una seal "clk" de 50MHz
RELOJ DIGITAL
SISTEMAS DIGITALES UTPL
contador <= 0;
elsif (clk'event and clk= '1') then
if (contador = 25000000) then
clk_1hz <= not clk_1hz;
contador <= 0;
else
contador <= contador + 1;
end if;
end if;
end process CLK_1HZ_PROC;
RELOJ DIGITAL
SISTEMAS DIGITALES UTPL
end Behavioral;
RELOJ DIGITAL
SISTEMAS DIGITALES UTPL
SIMULACIN:
En las siguientes figuras demostramos la simulacin del reloj digital, que indican el
correcto funcionamiento del programa.
RELOJ DIGITAL