Вы находитесь на странице: 1из 4

Hardware Procesadores

Intel da otro paso con la nueva arquitectura Sandy Bridge

Un cambio escalonado
Tras Nehalem y Westmere, llega el turno de un cambio de arquitectura
mientras se mantiene la tecnologa de fabricacin de 32 nm. En realidad, es
una especie de semicambio, como se ver en el artculo.
Turbo Boost aprovecha
mejor las propiedades tr-
con Westmere, pero que tiene lugar de
web micas del procesador para
INCLUIDO forma real ahora, cuando el procesador aumentar el rendimiento de
EN LA WEB grco comparte silicio con los cores. No
obstante, no se trata solo de una mera
forma dinmica.

integracin de silicio en plan fuerza bru-


RECURSOS ON-LINE ta, sino que es un esfuerzo importante
El material grco utilizado para para dotar de inteligencia y organiza-
elaborar este informe y que no ha sido
cin al conjunto CPU + GPU.
incluido por cuestiones de espacio, lo
tenis disponible desde htpp://links.
pc-actual.es/237SandyBridge Repaso a los ncleos
En el apartado de los ncleos o cores,
Sandy Bridge no supone una revolucin
comparado con Nehalem, pues la parte
de los ncleos propiamente dicha no ha
sufrido aparentemente modicaciones
Anabel Pasiego revolucionarias. Eso s, se ha dado un re- (Branch Predictor), as como la gestin

I
paso de arriba a abajo a la microarquitec- de las instrucciones descodicadas o
ntel sigue con el ritmo previsto en tura para hacerla ms eciente. Es decir, micro ops (uops). La unidad de predic-
su estrategia TickTock de lanza- el rendimiento mximo terico de una cin es un clsico de las optimizaciones
miento de procesadores. La idea es arquitectura pasa por que las unidades en cada generacin de microarquitectu-
que, de todas las variables que in- de ejecucin se aprovechen al mximo y ra, porque mejorarla supone aumentar el
tervienen en la fabricacin de un la pipeline est ocupada en todo momen- rendimiento del procesador sin hacer lo
procesador, no coincidan nunca de for- to. Para llenarla, se necesita predecir en la propio con la frecuencia. Si se sabe qu
ma simultnea las dos que ms afectan lnea de ejecucin de un programa qu instrucciones vendrn despus, la CPU
al xito o fracaso de un lanzamiento: la instrucciones se van a ejecutar en el futu- puede estar trabajando al mximo de
arquitectura y la tecnologa de fabri- ro. En la prctica, no siempre hay instruc- su rendimiento. En cuanto a la gestin
cacin. En este caso, le toca el turno a la ciones ejecutndose o la pipeline se llena de las uops (instrucciones descodica-
primera. con algunas que luego se descubre que das para que sean procesables por la
La principal novedad de la microarqui- no son las que hay que procesar. electrnica y la lgica en el procesador),
tectura Sandy Bridge es la integracin La solucin propuesta en Sandy Bridge Sandy Bridge trabaja con una cach de
entre la CPU y la GPU, que ya se inicio es la de mejorar la unidad de prediccin uops, de modo que las instrucciones des-
codicadas estarn accesibles sin volver a
descodicarlas. Si una uop se localiza en
Nueva microarquitectura de Intel la cach, no hace falta usar toda la lgica
dedicada a la descodicacin, que ade-
ms se apaga para ahorrar energa.

Instrucciones AVX
Otra de las innovaciones en Sandy Brid-
ge es la ampliacin de las instrucciones
extendidas ms all de la arquitectura
x86. Las instrucciones SSE han sido
un clsico dentro de los proce-
sadores de Intel. En San-
dy Bridge, se alcanza
un ancho de 256

La estrategia Tick-Tock ha funcionado hasta la fecha con una evolucin constante de la


tecnologa y la arquitectura de los procesadores Intel.

70 PC ACTUAL | www.pcactual.com

Reportaje Sandy Bridge.indd 2 10/01/11 18:00


Foliacion nueva.indd 13 2/8/10 15:12:30
Chipsets y procesadores Pruebas de
Habr 29 procesadores nuevos en el mercado junto con 10 chipsets adaptados rendimiento
a las peculiaridades de estos. En concreto, los primeros se componen de uno para
Core i7 Extreme Edition, 12 para Core i7, otros 12 Core i5 y cuatro Core i3. Mientras,
bsicas
los chipsets se concretan en 5 para sobremesa (P67, H67, Q65, Q67 y B65) y 5 para A modo de adelanto antes de
porttil (QS67, QM67, HM67, HM65 y UM67 ). La ausencia ms notable es la de USB que se actualice el ranking de
3.0, aunque est presente en las placas usando controladoras de terceras partes. La microprocesadores con las nuevas
memoria soportada es DDR3 1.333 y DDR3 1.600 en algunos Core i7 para porttil, incorporaciones, hemos procedi-
aunque es posible aumentar la velocidad va overclocking. Por ahora, no hay solucio- do a evaluar el rendimiento de la
nes para socket LGA 1366 y se quedan en LGA 1155 para procesadores de sobremesa. plataforma Bearup Lake con el
chipset Intel H67 que contempla
el uso de los grcos integrados
en el procesador Core i5 2500k
bits para las operaciones de coma otan- Grcos de impacto utilizado para las pruebas. La placa
te usando las extensiones AVX (Advan- El apartado grco se ha tocado de forma empleada ha sido la propia de Intel
ced Vector Extensions). Estas son de notable implementando mejoras para la DH67BL, con formato MicroATX.
especial inters para procesamiento de codicacin y descodicacin de con- De momento, se ha dejado el valor
datos en aplicaciones como la encripta- tenidos multimedia. Adems, la integra- del multiplicador en su valor por
defecto, aunque, al tratarse de una
cin, donde se trabaja con cadenas de bits cin del silicio de la GPU en el mismo es-
serie K, se podra modicar el valor
de 128, 256 o ms bits. Si se puede traba- pacio que los ncleos, la cach o la lgica
del multiplicador para la prctica
jar con 256 bits se evita tener que invertir de control permite que la gestin de la de overclocking.
ciclos de reloj en partir las cadenas para energa sea unicada entre la CPU y la Como observaciones llamativas,
procesarlas en bloques. As, una opera- GPU. Con ello, si una aplicacin exige po- est el excelente uso del control
cin que tardara varios ciclos en pro- tencia de clculo por parte de los ncleos, de potencia consumida. El equipo
cesarse se asume en uno. O mejor, si se se puede robar desde la GPU haciendo pasaba de consumir unos 38 W en
trabaja con cadenas de 64 bits, se pueden que funcione a una velocidad de reloj o reposo a 101 W a mximo rendi-
procesar cuatro en un nico ciclo de reloj; un voltaje menores. Y al revs. miento casi instantneamente
o dos si se trata de 128 bits. Existen dos versiones de grcos in- y viceversa dependiendo de la
carga. El TDP del procesador es
En cualquier caso, la introduccin de AVX tegrados, una con seis unidades de eje-
95W, aunque modica su consu-
ha obligado a redisear la parte de la mi- cucin (Execution Units) y otra con doce.
mo de forma dinmica, segn sea
croarquitectura relacionada con la coma Las primeras se encargan de proporcio- requerido. El disco elegido es un
otante, sobre todo a nivel del ancho de nar paralelismo en las tareas relaciona- modelo Intel SSD de 160 Gbytes y
banda de memoria interno en la CPU. das con los grcos, ya sea para juegos o las pruebas se realizaron sobre un
Mover el doble de bits no es sencillo y para aplicaciones multimedia. Asimismo, televisor LG de 40 a travs de la
precisa modicar la forma de acceder a destaca la comparticin de la memoria conexin HDMI.
los datos en la cach. cach LLC con los grcos. Con ello,
Resultado de las pruebas
realizadas
Las nuevas instrucciones AVX de Cinebench R11.5
256 bits obligan a Intel a redisear
la parte de la gestin de la memoria Open GL 8,85 fps
internamente dentro de los ncleos CPU (4 ncleos) 5,31 pts
para incrementar el ancho de banda.
CPU (1 ncleo) 1,37 pts
Multiplicador 3,87x
Kribibench 3,3781 fps
3DMark Vantage
Global 1.462 pts
GFX 1.121 pts
CPU 16.719 pts
PCMark Vantage 13.148 pts

A nivel grco, est claro que no


se trata de una tecnologa apta
para jugones, pero hace un buen
trabajo en el apartado multime-
dia y aceleracin bsica para
escritorio y juegos convencionales.
A nivel de CPU, Sandy Bridge es
capaz de ponerse al nivel de los
procesadores Core i7 Westmere y
el rendimiento con un nico ncleo
mejora mucho gracias a la optimi-
zacin de Turbo Boost.

Reportaje Sandy Bridge.indd 3 10/01/11 18:00


Hardware Procesadores

Roadmap para sobremesas y porttiles

El despliegue de los procesadores Sandy Bridge, a la derecha de la imagen para sobremesas y a la izquierda para porttiles, tendr lugar de un
modo gradual durante los prximos meses. El nico segmento que se queda sin producto es el Extreme para sobremesa.

la GPU tiene libre acceso a la memoria ca-


ch tanto para tareas multimedia como Principales novedades de Sandy Bridge
juegos, con una tasa de acierto de un 50% Integracin real entre la CPU y la Gestin de la energa unicada entre
de media. As, se minimiza el acceso a la GPU, dotando al conjunto de inteligencia la CPU y la GPU
memoria del sistema, que hace tambin y organizacin Comparticin de la memoria cach
de memoria compartida. Mejora de la unidad de prediccin LLC con los grcos
Al tener la memoria compartida entre (Branch Predictor) y de la gestin de las CPU, GPU y el Agente del Sistema
GPU y CPU, se abren las puertas a usos instrucciones descodicadas o uops pueden modicar voltajes y frecuencias
avanzados y unicados donde se pueda Ampliacin de las instrucciones ex- dinmicamente
tendidas frente a la arquitectura x86 Mejora de Turbo Boost
aprovechar el paralelismo de los grcos
Mejoras para la codicacin y desco- Aplicacin tanto para procesadores
para tareas que precisen de l y la seria-
dicacin de contenidos multimedia de sobremesa como porttiles
lizacin de los ncleos en el caso de apli-
caciones convencionales. De momento,
en Sandy Bridge, se mantienen los roles de memoria, PCI-E o interconexin con Cache o LLC), que es la compartida por
tradicionales para grcos y CPU, pero la otros elementos del sistema, ahora se todos los ncleos.
tendencia es combinar ambos tipos de denomina System Agent y se encarga Una de las tareas crticas para cualquier
procesamiento para obtener el mximo de gestionar el acceso de los ncleos procesador es conseguir que los ncleos
rendimiento en cada aplicacin. y los grcos a los recursos del siste- tengan acceso lo ms rpidamente posi-
ma, ya sea la memoria, los subsistemas ble a los datos almacenados en la cach.
Agente del sistema de almacenamiento o las conexiones de En Sandy Bridge, toda la gestin de la
La parte uncore (no core) de la CPU, vdeo. Tambin entra en juego la memo- puesta en comn de los datos e instruc-
donde se contemplan los controladores ria cach de ltimo nivel (Last Level ciones que los ncleos y los grcos usan
durante su operacin normal se centra-

Arquitectura de la lgica grca liza en un anillo que intercomunica los


ncleos, la cach y el agente del siste-
ma. Esto supone una implementacin de
ms de 1.000 interconexiones, pero la
ventaja es que estn ubicadas en un pla-
no paralelo al de la memoria cach en la
CPU y no requieren de un espacio fsico
adicional.
Este anillo permite que los ncleos acce-
dan a los datos en la cach o en la memo-
ria del sistema cuando se necesitan. La
ventaja de esta estructura es su escala-
bilidad, de manera que puede hablar con
dos, cuatro, cinco, seis o los cores que sea
menester. Adems, no necesariamente
tienen que ser los mismo tipos de ncleos.

El chip grco est integrado en el mismo silicio que los ncleos y comparte la cach de
LLC. Se ha trabajado sobre todo la codicacin de vdeo y la interfaz con la cach unicada.
Gestin de la energa
La losofa de Intel es clara: todo aquello
dentro del silicio que no est hacien-

72 PC ACTUAL | www.pcactual.com

Reportaje Sandy Bridge.indd 4 10/01/11 18:00


Foliacion nueva.indd 15 2/8/10 15:12:30
Aspectos generales de la 2 Nueva unidad
generacin de procesadores Intel Core de prediccin
de bifurcaciones

Las mejoras en la arquitectura de los


ncleos no es revolucionaria, pero s
ptima para aprovechar al mximo el
potencial de la arquitectura Nehalem de
la que proviene.

porttiles. Lo nico que se necesita es


Un resumen de las novedades y caractersticas de Sandy Bridge desde una perspectiva jugar con el nmero de ncleos y las
global. Son numerosas, aunque no suponen un cambio radical. especicaciones termales que se quie-
ran cumplir para que el TDP sea com-
patible con el tipo de equipo donde se
do algo til puede apagarse. En Sandy que se le exige el mximo rendimiento. vaya a instalar. Si es un porttil no y
Bridge esta losofa se ha llevado al extre- De esta forma, se puede forzar durante ligero, se elegirn TDPs bajos, en torno
mo. Tanto los ncleos CPU como la GPU y unos milisegundos hasta que se alcanza a los 20 W. Para sistemas de sobremesa,
el Agente del Sistema pueden modicar el nivel mximo de disipacin especi- se alcanzan hasta 95 W, e incluso ms
voltajes y frecuencias dinmicamente, de cado por su TDP. En ese momento, se va cuando se trabaja con overclocking.
modo que se aprovecha cualquier oportu- regulando dinmicamente la velocidad Esta unicacin de diseo hace que sea
nidad para aumentar el rendimiento. del procesador para mantener el funcio- mucho ms rentable la produccin de
Uno de los efectos colaterales que se de- namiento dentro de los lmites de las es- procesadores.
rivan de esta optimizacin es la mejora pecicaciones. Los grcos tambin pue-
de Turbo Boost. Antes, el incremento den aumentar su rendimiento mediante Una nueva revolucin?
de la velocidad de procesamiento era el mismo principio. A modo de resumen, lo cierto es que
marginal en algunos casos, ahora, este es la sensacin es que Intel no ha dado
mucho mayor en condiciones ptimas. Porttil y sobremesa un paso de gigante como el que se dio
La estrategia es aprovechar que el pro- Otro de los efectos colaterales de la im- con la arquitectura Core, o ni siquiera
cesador no alcanza los lmites mximo plementacin modular de Sandy Brid- con Nehalem. De hecho, podra decirse
de temperatura y limitaciones termales ge es su aplicacin tanto para proce- que estamos ante un Westmere evo-
hasta que no ha pasado un tiempo desde sadores de sobremesa como para lucionado ms que ante una nueva mi-
croarquitectura. Este tipo de estrategia
da pie a pensar que Bridge se reere a
Microarquitectura de Sandy Bridge que estamos ante un Tock de transicin
entre las arquitecturas homogneas y
serie, a otras mixtas o heterogneas,
con un nmero de ncleos elevado, de
distintos tipos y funcionalidades, pero
unicados a la hora de compartir recur-
sos del sistema.
Imagina un procesador con 12 ncleos
Atom, seis Sandy Bridge y grcos, todo
en una misma oblea de silicio.
Entre el esque- Y, sobre todo, con un software
ma funcional de capaz de usar todos estos re-
Sandy Bridge y su cursos a la vez. Se tendra lo
implementacin mejor del paralelismo y lo
en silicio distan
muchos millones mejor del procesamiento se-
de dlares de rie. De todos modos, es una
investigacin y mera elucubracin alrededor
desarrollo. de Bridge, presente tanto en
Sandy Bridge, como en el si-
guiente Tick Ivy Bridge. Esperamos a
ver qu nos depara.

www.pcactual.com | PC ACTUAL 73

Reportaje Sandy Bridge.indd 5 10/01/11 18:00


Foliacion nueva.indd 16 2/8/10 15:12:30

Вам также может понравиться