Вы находитесь на странице: 1из 6

CETSIS'2005, Nancy, 25-27 octobre 2005

J3eA - Vol. 5 - Hors srie N2 (2006)


DOI: 10.1051/j3ea:2006034

Dveloppement dune maquette exprimentale but


pdagogique pour la commande dune machine asynchrone
M-W.Naouar1, I.Slama-Belkhodja2, E.Monmasson3
1,2
L.S.E-ENIT BP 37-1002 Tunis Belvdre, Tunisie, email : Wissem_naouar@yahoo.fr1, Ilhem.slama@enit.rnu.tn3
3
SATIE-IUP GEII, rue dEragny, 95031 Cergy-Pontoise, France, email : Eric.monmasson@iupge.u-cergy.fr
RESUME Ce travail porte sur le dveloppement dune maquette exprimentale but pdagogique pour la commande
dune machine asynchrone. Cette maquette utilise les composants programmables de type FPGA (Field Programmable
Gate Array) comme support pour limplantation des algorithmes de commande. Le module propos est un Bureau
dEtudes intitul Commande de machines lectriques de 15 sances de 1h30mn chacune. Il sadresse des lves
ingnieurs en anne terminale (3me anne) Gnie Electrique, option Systmes Electriques de lEcole Nationale
dIngnieurs de Tunis (ENIT). Son contenu consiste en la conception laide de MALAB-SIMULINK de techniques de
modulation, (modulation de largeur dimpulsion (MLI) vectorielle, et fourchette de courant) et des lois de commande
associes, puis en leur mise en uvre et implantation sur FPGA avec le logiciel FOUNDATION ISE 4.1 de Xilinx, et
enfin en lanalyse des performances des algorithmes implants et des rsultats prlevs sur la maquette exprimentale.
La plateforme exprimentale dveloppe sert de support pour tester diffrents algorithmes de commande aussi bien
dans le cadre du Bureau dEtudes que pour des travaux de recherches.
Mots cls : Systmes Electriques, Machine asynchrone, FPGA, Commande.
conversion analogique numrique CAN, llectronique
1 INTRODUCTION
de pilotage des interrupteurs de puissance, La partie
Un systme lectrique peut tre considr comme tant
commande permet dans un sens de traiter des signaux
une combinaison dlments runis formant un
lectriques gnrs par la partie interface et dans un
ensemble dont le but est dassurer une conversion
autre denvoyer des signaux de commande vers le
dnergie lectro/mcanique. Afin de schmatiser au
convertisseur command. La commande consiste
mieux la structure dun systme lectrique command,
excuter en temps rel un algorithme numrique qui est
nous avons choisi de le regrouper en blocs
implant sur une cible matrielle ou logicielle. Le
caractristiques dlments les plus communment
contenu algorithmique dpend du type dapplication et
rencontrs dans une telle structure. Lorganisation entre
du niveau de performance souhait.
ces blocs est dcrite sur la figure 1.
Afin de mettre en vidence la commande dun systme
lectrique, nous nous sommes intresss dans le cadre
Source Convertisseur Machine Source
lectrique command lectrique mcanique de ce travail la ralisation dune maquette
exprimentale pour la commande dune machine
asynchrone. Le support utilis pour limplantation des
Signaux de
commandes algorithmes de commande est un support matriel bas
sur les composants programmables de type FPGA
Interface Puissance/Commande (Field Programmable Gate Array). Les algorithmes
tests sur la maquette reposent sur la mthode de la
modulation de largeur dimpulsion (MLI) vectorielle
Commande numrique
[1] et de correction par hystrsis [2]. La dmarche
fig 1 : Structure gnrale dun systme lectrique command suivie pour limplantation de ces algorithmes sest
voulue progressive tout en ayant comme objectif
Lalimentation lectrique regroupe lensemble des datteindre une solution algorithmique facile
lments susceptibles dalimenter en nergie le implanter. Notons aussi que cette dmarche repose sur
convertisseur command du systme lectrique. Ce une approche mthodique de conception
dernier permet par la suite de convertir lnergie darchitectures implanter sur cible FPGA [3].
lectrique dune forme en une autre (DC/AC, DC/DC, Dans une premire partie, nous prsentons tout dabord
AC/AC) travers la commande dinterrupteurs de le principe de la MLI vectorielle avec adjonction
puissance (IGBT, thyristor,). Le tout forme un dhomopolaire. Par la suite, nous prsentons dans une
dispositif dlectronique de puissance qui alimente une deuxime partie le principe de la commande en courant
machine lectrique qui son tour transforme lnergie dun onduleur de tension travers une commande par
lectrique qui lui est transmise en une nergie hystrsis. Finalement, dans une troisime partie, nous
mcanique. Le traitement lectronique des signaux dcrivons les diffrentes parties constituant la maquette
lectriques changs entre la partie puissance et la exprimentale ainsi que les diffrentes tapes de sa
commande du systme lectrique est assur par une manipulation lors des sances de bureau dtudes. Une
partie interface comportant des lments tels que les comparaison entre rsultats de simulation et rsultats
capteurs (courant, tension, ), llectronique de exprimentaux est aussi prsente dans cette partie.

1
CETSIS'2005, Nancy, 25-27 octobre 2005

2 PRESENTATION DE LA MLI VECTORIELLE Cependant la recherche des rapports cycliques doit


tenir compte des limitations suivantes :
2.1 Prsentation du fonctionnement dun onduleur
(i {1,2,3}, k )
Londuleur de tension est un convertisseur continu
0 a i (k ) 1 (4)
alternatif permettant dimposer aux bornes dune
charge triphase des tensions de phase via une
commande logique. La figure 2 montre le schma de Afin de dterminer les rapports cycliques de telle sorte
principe de londuleur de tension. que le systme (3) soit vrifi, on considre le systme
suivant :
c1(t) c2(t) c3(t)
E/2 1 V1o (t ) c1 (t ) 1
o 2 n V (t ) = E c (t ) E 1 (5)
E/2
3 2o 2 2
c1(t) c2(t) c3(t) V3o (t ) c 3 (t ) 1

fig 2 : Schma de principe dun onduleur de tension triphas Dans sa forme en valeurs moyennes, le systme (5)
devient :
O E est la tension continue en entre de londuleur. Le
point milieu o de la source, ntant pas reli, est fictif.
V1o (k ) a1 ( k ) 1
La fonction ci(t) est binaire et reprsente ltat du ime V (k ) = E a (k ) E 1
bras. Cette fonction est suffisante pour caractriser un 2o 2 2 (6)
bras entier. En effet les interrupteurs dun mme bras V3o (k ) a 3 (k ) 1
sont commands de faon complmentaire afin dviter
un court circuit de la source de tension dentre E. Partant dun systme [V1oref(k), V2oref(k), V3oref(k)]T de
Pour une charge triphase quilibre, la relation liant rfrence, il est facile dinverser le systme (6)
les vecteurs tension aux bornes de la charge V1n(t), condition de respecter les contraintes donnes par la
V2n(t) et V3n(t) aux signaux de commande c1(t), c2(t) et relation (4).
c3(t) est donne par le systme suivant :
a1 ( k ) V1oref (k ) 1
V1n (t ) 2 1 1 c1 (t ) a (k ) = 1 V (k ) + 1 1 (7)
V (t ) = E 1 2 1 c (t ) 2 E 2 oref 2
2n 3 2 (1) a 3 (k ) V3oref (k ) 1

V3 n (t ) 1 1 2 c 3 (t )

2.2 Modulation de Largeur dImpulsion MLI En crivant le systme (7) en fonction du systme
Une loi de modulation de type MLI consiste non plus [V1nref(k), V2nref(k), V3nref(k)]T, il devient :
imposer les vecteurs V1n(t), V2n(t) et V3n(t) tout
instant, mais imposer leurs valeurs moyennes sur une a1 ( k ) V1nref (k ) 1 1
priode de hachage (En pratique cette priode est de a (k ) = 1 ( V (k ) + V (k ) 1 ) + 1 1 (8)
100 s). Le systme (1) devient donc : 2 E 2 nref noref 2
a 3 (k ) V 3nref (k ) 1 1

V1n (k ) 2 1 1 a1 (k ) O Vnoref(k) est la composante homopolaire pour la kme


V (k ) = E 1 2 1 a (k ) (2)
2n 3 2 priode de hachage.
V3 n (k ) 1 1 2 a 3 (k ) Ainsi, dterminer les rapports cycliques qui permettent
dimposer aux bornes de la charge le systme [V1nref(k),
V2nref(k), V3nref(k)]T tout en respectant les contraintes
O Vin(k) et ai(k) sont respectivement la valeur
sur les rapports cycliques revient dterminer la
moyenne de la tension Vin(t) et le rapport cyclique du
composante Vnoref(k) rajouter.
ime bras pour la kme priode de hachage (i=1,2,3).
Lobjectif de la MLI est de retrouver les rapports 2.3 MLI Vectorielle avec adjonction dhomopolaire
cycliques ai(k) tels que : Daprs la figure 2, le dispositif de londuleur de
tension naccepte pas une tension entre phases Uij(k)
V1n (k ) V1nref (k ) suprieure E. Ainsi, si le systme de tension entre
V (k ) = V (k ) (3)
phases est sinusodal, lamplitude maximale est encore
2 n 2 nref gale E. Or, le rapport entre lamplitude de la tension
V3 n (k ) V3 nref (k ) entre phases et lamplitude de la tension entre phase et
O Vinref(k) est la tension de rfrence de la ime phase neutre est gale 3 . Par suite, lamplitude maximale
que lon souhaite imposer, lors de la kme priode de que peut prendre dans ce cas le systme de rfrence
hachage (i=1,2,3). [V1nref(k), V2nref(k), V3nref(k)]T est gale :

2
CETSIS'2005, Nancy, 25-27 octobre 2005

E La figure 5 rsume le principe de la stratgie de


V max = (9) commande se basant sur la MLI vectorielle avec
3
adjonction dhomopolaire.
Daprs [1], la composante homopolaire de rfrence V1nref (k ) V1oref (k ) a1 (k )
Vnoref(k) rajouter permettant datteindre une + a (k )
V 2 nref (k ) V2 oref (k ) (7)
amplitude maximale donne par le systme (9) pour un 2
V3 nref (k ) + V3oref (k ) a3 (k )
systme sinusodal triphas quilibr de rfrence
[V1nref(k), V2nref(k), V3nref(k)]T, tout en respectant les
contraintes inhrentes des rapports cycliques, est V noref (k )
donne par la relation suivante : (10) V noref (k )

V noref (k )
1
V noref (k ) = V ho (k )
2
k tel que (10) fig 5 : Schma de principe de la MLI Vectorielle avec
adjonction dhomopolaire
V ho (k ) = min( V1nref (k ) , V 2 nref (k ) , V3 nref (k ) )
3 FOURCHETTE DE COURANT
La figure 3 montre la forme de la tension continue La structure de contrle des systmes lectriques
Vnoref(t) rsultante. Cette tension est une composante comporte souvent une boucle interne de retour de
homopolaire quasi triangulaire dharmonique 3. Notons courants. Par consquent, la performance de ce type de
que cette composante est dtermine partir dun structure dpend largement de la qualit de la stratgie
passage intermdiaire par une structure de commande de contrle des courants. Le moyen le plus simple,
de type Space Vector [4]. avec un onduleur triphas de tension, pour assurer un
Vinref (t)/E bon contrle des courants est la commande en
0.6 fourchette connue aussi sous le nom de commande par
hystrsis [2]. Les principaux avantages de ce type de
0.4
commande sont les suivants :
0.2
V1nref (t) V2nref (t) V3nref (t) Contrle instantan des trajectoires de courant.
Protection contre les pics de courants.
0 Trs bonne dynamique du systme.
Compensation des effets de changement des
-0.2 paramtres.
Vnoref (t) Compensation des temps morts.
-0.4
Compensation des fluctuations de tension du bus
continu et des sources alternatives.
-0.6
0 Ts/6 2Ts/6 3Ts/6 4Ts/6 5Ts/6 Ts La figure 6 donne le schma de principe de cette
commande : Si le courant mesur i est infrieur la
fig 3 : Tension Vnoref(t)
rfrence iref augmente dune fourchette F (connue
La figure 4 montre lallure du systme de rfrence aussi sous le nom de demi-largeur de bande), la tension
[V1oref(t), V2oref(t), V3oref(t)]T rsultant de lajout de la de sortie est force sa valeur maximale pour que le
composante homopolaire Vnoref(t) au systme [V1nref(t), courant croisse le plus vite possible et sil est suprieur
V2nref(t), V3nref(t)]T. cette mme rfrence diminue de la fourchette, alors
la tension de sortie est force sa valeur minimale pour
Vioref (t)/E
0.6
que le courant dcroisse le plus vite possible. La
figure 7 prsente le schma fonctionnel dune
0.4 commande en fourchette triphase.
V1oref (t) V2oref (t) V3oref (t)
0.2 i,iref
Rfrence +F
0
Rfrence
Rfrence -F
-0.2

-0.4
iref i
-0.6
0 Ts/6 2Ts/6 3Ts/6 4Ts/6 5Ts/6 Ts
t
fig 4 : Allure des tensions de rfrence Vioref(t) fig 6 : Principe de la commande en fourchette

3
CETSIS'2005, Nancy, 25-27 octobre 2005

i1ref C1 2. Partie convertisseur command: Cette partie consiste


+ -F +F en un onduleur triphas IGBTs. La tension continue
- C1
aux bornes de la capacit de la partie source lectrique
+ C2 joue le rle de la tension du bus continu lentre de
-F +F
- C2 londuleur.
i3ref + C3 3. Partie machine lectrique et source mcanique: La
-F +F
- C3 machine utilise est une machine asynchrone de
puissance 1.5 KW. Cette machine est couple en toile
Courants i1
i2 et ses phases sont relies aux milieux des bras de
capts i3 londuleur. Elle est couple un frein poudre
fig 7 : Schma fonctionnel dune commande en fourchette command qui constitue la source mcanique du
systme.
4 PRESENTATION DE LA MAQUETTE 4. Partie commande : Dans cette partie, une carte
Les figures 8 et 9 prsentent le dispositif exprimental FPGA SPARTAN II de la firme Xilinx est utilise
mis en uvre pour le dveloppement de la maquette. comme support physique pour limplantation des
algorithmes de commande. Un ordinateur hte permet
380V/50Hz la configuration du FPGA via un cble JTAG et aussi
CI : Carte Interface
CAN : Conversion denvoyer les consignes de rfrence vers la carte
Analogique Numrique FPGA travers une liaison srie RS232.
5. Partie interface : Cette partie comporte une carte
Commande interface (CI) permettant une adaptation du niveau de
tension des signaux de commande tant donn que les
signaux dlivrs par la carte FPGA sont de niveau
logique 0-3.3V alors que la commande des IGBTs de
RS232 Interface londuleur de tension ncessite des signaux de
C1 commande de niveau logique 0-15V. La partie
FPGA CI
C2 Convertisseur interface comporte aussi une chane dacquisition des
C3 command courants comportant une carte capteurs de courants et
une carte de conversion analogique numrique (CAN).
12 12 is1 Les convertisseurs analogiques numriques utiliss
CAN is2 sont des convertisseurs 12 bits parallles avec un temps
de conversion de 2.4 s.
Source Machine
mcanique lectrique 4.1 Manipulation de la maquette
Frein Aprs une description thorique dtaille de la MLI
Commande
Frein MAS vectorielle avec adjonction dhomopolaire et de la
fig 8 : Dispositif exprimental de la maquette
fourchette de courant et une prsentation dtaille du
dispositif exprimental, en se rfrant la structure
CAN
dun systme lectrique command tel dcrit dans la
figure 1, les lves ingnieurs sont amens effectuer
CI le travail suivant :
-1re tape : Dveloppement sur Matlab Simulink des
FPGA modles fonctionnels continus correspondants aux
algorithmes dune loi V/F [5] utilisant une MLI
vectorielle avec adjonction dhomopolaire et dune
fourchette de courants. Les figures 10 et 11 prsentent
les schmas de principe de ces algorithmes.
fig 9 : Parties interface et commande

En se rfrant la dfinition dun systme lectrique


V1nref (t)
command mentionne dans lintroduction, la maquette
peut tre divise en plusieurs parties comme suit : V2nref (t)
V3nref (t) C1
1. Partie source lectrique: Cette partie comporte le MLI C2
rseau triphas (380V/50Hz), un autotransformateur, fs Loi V/F Vectorielle C3
un tage redresseur et une capacit de filtrage. Elle
permet dassurer une tension continue aux bornes de la
capacit dont la valeur est fixe par le rapport de
transformation de lautotransformateur.
fig 10 : Principe dune loi V/F avec MLI Vectorielle

4
CETSIS'2005, Nancy, 25-27 octobre 2005

is1ref +
-F +F
-
C1 1>
is2ef + C2
-F +F
- C3
is3ref + -F +F
-
1) Ch 1: 500 mVolt 250 ms
is1 is2 is3
fig 14 : Rsultat exprimental de lallure du courant is1
lors de la phase de dmarrage de la MAS
4

0
fig 11 : Principe dune fourchette de courants
-2

-2me tape : Implantation sur la cible FPGA de la -4


0.4 0.405 0.41 0.415 0.42 0.425 0.43 0.435 0.44 0.445 0.45

maquette de lalgorithme dune loi V/F avec MLI (a)


400
vectorielle et utilisation de linterface graphique 200
prsente par la figure 12 pour contrler la MAS. Cette 0
interface a t dveloppe sur le logiciel Matlab. Elle
-200
permet denvoyer les consignes travers la liaison
-400
srie RS232 et permet de changer la consigne de 0.4 0.405 0.41 0.415 0.42 0.425 0.43 0.435 0.44 0.445 0.45

frquence fs, de varier la frquence de la porteuse, de (b)


dfluxer la machine lors dun fonctionnement en fig 15 : Rsultats de simulation en rgime permanent
survitesse et de changer le sens de rotation. Les lves (a) du courant is1 (b) de la tension simple V1n
doivent ensuite comparer les rsultats obtenus par
simulation aux rsultats exprimentaux et interprter
les phnomnes physiques lis cette commande. Les 1>
figures 13, 14, 15 et 16 prsentent une comparaison
entre des rsultats de simulation et des rsultats
exprimentaux obtenus.

2>
Choix de la
consigne fs
1) Ch 1: 100 mVolt 5 ms
Choix de la 2) Ch 2: 1 Volt 5 ms
frquence de
fig 16 : Rsultats exprimentaux en rgime permanent
la porteuse
(Ch1) du courant is1 (Ch2) de la tension simple V1n
Choix de la
valeur du flux
-3me tape : Implantation sur la cible FPGA de la
Choix du
sens de maquette de lalgorithme dune fourchette de courant.
rotation

fig 12 : Interface graphique pour le contrle de la MAS


travers une loi V/F avec MLI vectorielle Choix de la
frquence des
10
courants

5
Choix de
lamplitude
des courants
0
Choix de la
fourchette
-5
Choix du
sens de
-10 rotation
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2

fig 13 : Rsultat de simulation de lallure du courant is1 fig 17 : Interface graphique pour le contrle de la MAS
lors de la phase de dmarrage de la MAS travers une fourchette de courant

5
CETSIS'2005, Nancy, 25-27 octobre 2005

statoriques de rfrence, la valeur de la fourchette F des


6
correcteurs hystrsis ainsi que la frquence
4
dchantillonnage de lalgorithme de commande. Les
figures 18 et 19 prsentent une comparaison entre des
2 rsultats de simulation et des rsultats exprimentaux
obtenus.
0

-2
5 CONCLUSION
Dans cet article, nous avons prsent un module
-4 pdagogique bas sur une maquette exprimentale pour
la commande dune machine asynchrone. Ce module
-6
0 0.02 0.04 0.06 0.08 0.1 0.12 0.14 0.16 0.18 0.2 est un bureau dtudes intitul Commande de
(a) machines lectriques de 15 sances de 1h30mn
6
chacune. Il a t ralis pour la premire fois durant
4 cette anne universitaire. Son contenu consiste en :
Un cours autour de la commande dune MAS en
2 utilisant les notions de MLI vectorielle avec
adjonction dhomopolaire et de fourchette de
0
courant.
-2 Une analyse des performances de ces algorithmes
de commande au moyen du logiciel Matlab.
-4 Une manipulation de la maquette exprimentale.
Notons que la ralisation exprimentale de la maquette
-6
0 0.02 0.04 0.06 0.08 0.1 0.12 0.14 0.16 0.18 0.2 sest voulue standard, c'est--dire quil est possible de
(b)
tester plusieurs algorithmes de commande avec le
mme environnement matriel de la maquette. Notons
fig 18 : Rsultats de simulation du courant is1
aussi quen ajoutant dautres capteurs et interfaces tels
pour une fourchette (a) F=0.3 A (b) F=0.1 A
que les capteurs de position ou de tensions par
exemple, il est possible dlargir le principe de
standardisation dautres algorithmes ayant besoin de
ces capteurs. Dautre part, il est possible de tester des
algorithmes de commande ddis des machines
diffrentes en remplaant la machine asynchrone par
1>
une autre machine telle que la machine synchrone par
exemple.

Bibliographie
1) Ch 1: 500 mVolt 25 ms 1. E. Monmasson, J. Faucher, Projet pdagogique
(a) autour de la MLI vectorielle destine au pilotage
dun onduleur triphas. (I) Revue 3EI, n8, pp23-
63, mars 1998.
2. M-P.Kazmierkowski, L.Malesani, Current control
techniques for Three-Phase Voltage-Source PWM
Converters : A survey. IEEE Transactions on
1>
industrial electronics, vol.45, n5, October 1998.
3. T. Riesgo, Y. Torroja, E. De la Torre, Design
MethodologiesBased on Hardware description
Languages. IEEE. Trans. On Industrial Electronics,
1) Ch 1: 500 mVolt 25 ms
vol.46, n1, pp3-12, February 1999.
(b) 4. J. Holtz, Pulse Width Modulation for Electronic
Power Conversion. Proceeding of the IEEE, vol.82,
fig 19 : Rsultats exprimentaux du courant is1 n8, p1194-1214
pour une fourchette (a) F=0.3 A (b) F=0.1 A 5. I.Slama-Belkhodja, Entranements Electriques
vitesse variable, Polycopi de cours, dition Mai
Une interface graphique dveloppe sur le logiciel 2003
Matlab est aussi utilise pour le contrle de la MAS.
Cette interface, donne par la figure 17, permet
dimposer la frquence et lamplitude des courants

Вам также может понравиться