Вы находитесь на странице: 1из 4

SISTEMAS DIGITALES II

ACTIVIDAD # 5
CAPTULO DEL CURSO: CIRCUITOS SECUENCIALES SINCRNICOS.

OBJETIVOS DE APRENDIZAJE:
Analizar, disear y realizar la simulacin de una MSS en lenguaje VHDL NOTA
utilizando diagramas de estados..

DURACIN: 120 minutos

MATERIALES Y HERRAMIENTAS:
- Software Quartus Prime 16.0

MARCO TERICO:

El trmino mquina de estados se refiere a un circuito que progresa en


secuencia a travs de un conjunto de estados predeterminados, controlado por
un reloj y por otras seales de entrada. Por lo tanto, los circuitos tipo contador
son mquinas de estado. En general, utilizamos el trmino contador para los
circuitos secuenciales que tienen una secuencia de conteo numrico regular.
Pueden contar hacia arriba o hacia abajo, pueden tener mdulos completos de
2N o pueden tener un mdulo <2N , o pueden reciclarse o detenerse en forma
automtica en cierto estado predeterminado. Como su nombre lo indica, un
contador se utiliza para contar cosas. Las cosas que se cuentan en realidad se
conocen como pulsos de reloj, pero stos pueden representar muchos tipos de
eventos. Los pulsos pueden ser ciclos de una seal para la divisin de
frecuencia o pueden ser segundos, minutos y horas de un da para un reloj
digital. Pueden indicar que un elemento se ha desplazado por el transportador
de una fbrica o que un automvil ha pasado por cierto punto en la autopista.

El trmino mquina de estados se utiliza con ms frecuencia para describir


otros tipos de circuitos secuenciales. Pueden tener un patrn de conteo
irregular tal como un circuito de control de motores a pasos. Tambin existen
muchas aplicaciones en las que no nos importa el valor binario especfico para
cada estado, ya que se utiliza la lgica de decodificacin apropiada para
identificar estados especficos de inters y para generar las seales de salida
deseadas. La distincin general entre los dos trminos es que un contador se
utiliza, por lo general, para contar eventos, mientras que una mquina de
estados se utiliza por lo comn para controlar eventos. [1]
DESCRIPCIN DE LA PRCTICA: Se analizar una MSS y ejecutar la
codificacin necesaria para simular el siguiente ejercicio:

El estudiante tendr que disear y simular una MSS modelo Moore que
funcione como un circuito de Ingreso de Calificaciones.

Inicialmente se presionar y soltar la botonera START para que el sistema


quede listo para operar en un estado de activacin.

El usuario ingresar una calificacin (nota entre 0 y 9) a travs de un teclado


decimal presionando y soltando la tecla respectiva. Se encendern las seales
EXCELENTE, BUENO y REGULAR dependiendo si la nota ingresada fue
mayor o igual a 8, entre 6 y 7, o menor que 6 respectivamente. Para finalizar, el
sistema regresar al estado de activacin.

PROCEDIMIENTO:

1. Conteste la pregunta #1.


2. Conteste la pregunta #2.
3. Una vez creado el proyecto, proceda a crear el archivo mss.vhd y realice
el cdigo respectivo.
4. Crear el archivo de simulacin llamado ACTIVIDAD3.vwf.
5. Agregar las seales de entrada y de salida en el archivo de simulacin.
6. Ingresar en el diagrama de tiempo los diferentes valores de las seales
de entrada para tener las salidas respectivas.
7. Conteste la pregunta #3.
8. Conteste la pregunta #4.
PREGUNTAS:

1. Cul es el diagrama de entradas y salidas de la MSS?

2. Cul es el diagrama de estados minimizado de la MSS?

3. Cul es la simulacin del archivo ACTIVIDAD3.vwf?


Profe me compilo, pero no alcance a simular :c

4. Escriba con detalle, con sus propias palabras, explicando cul es la


diferencia entre una mquina de estados modelo Mealy y una
modelo Moore.

Las maquinas sncronas Moore, su estado siguiente depende nicamente


de su estado presente, mientras que en una maquina Mealy depende del
estado presente y sus entradas

Bibliografa:

[1]. Sistemas Digitales: Principios y Aplicaciones 10ma Edicin, Ronald Tocci,


Neal Widmer y Gregory Moss. Captulo 7: Contadores y Registros.

INICIAL EN DESARROLLO DESARROLLADO EXCELENTE

Firma del Profesor

Вам также может понравиться