Вы находитесь на странице: 1из 28

JOURNAL OF APPLIED PHYSICS 99, 031101 共2006兲

APPLIED PHYSICS REVIEWS–FOCUSED REVIEW

Adhesive wafer bonding


F. Niklausa兲
Microsystem Technology, Department of Signals, Sensors and Systems, Royal Institute of Technology (KTH),
SE-100 44 Stockholm, Sweden and Center for Integrated Electronics, Rensselaer Polytechnic Institute,
Troy, New York 12180
G. Stemme
Microsystem Technology, Department of Signals, Sensors and Systems, Royal Institute of Technology (KTH),
SE-100 44 Stockholm, Sweden
J. -Q. Lu and R. J. Gutmann
Center for Integrated Electronics, Rensselaer Polytechnic Institute, Troy, New York 12180
共Received 29 August 2005; accepted 5 January 2006; published online 9 February 2006兲
Wafer bonding with intermediate polymer adhesives is an important fabrication technique for
advanced microelectronic and microelectromechanical systems, such as three-dimensional
integrated circuits, advanced packaging, and microfluidics. In adhesive wafer bonding, the polymer
adhesive bears the forces involved to hold the surfaces together. The main advantages of adhesive
wafer bonding include the insensitivity to surface topography, the low bonding temperatures, the
compatibility with standard integrated circuit wafer processing, and the ability to join different types
of wafers. Compared to alternative wafer bonding techniques, adhesive wafer bonding is simple,
robust, and low cost. This article reviews the state-of-the-art polymer adhesive wafer bonding
technologies, materials, and applications. © 2006 American Institute of Physics.
关DOI: 10.1063/1.2168512兴

TABLE OF CONTENTS B. Film and device transfer for integration of


MEMS and electronic circuits. . . . . . . . . . . . . 18
C. Temporary adhesive bonding for film and
I. INTRODUCTION. . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
device transfer using handling wafer. . . . . . . . 19
II. ADHESIVE BONDING MECHANISMS. . . . . . . . 4 D. Wafer-level packaging. . . . . . . . . . . . . . . . . . . 21
III. POLYMER ADHESIVES. . . . . . . . . . . . . . . . . . . . . 6 E. Fabrication of microfluidic and bioMEMS
A. Hardening and polymerization of polymers. . 6 components. . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
B. General properties of polymers. . . . . . . . . . . . 6 VII. FUTURE DEVELOPMENTS AND
C. Deposition of polymers on wafer surfaces. . . 7 CONCLUSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . 24
D. Polymer adhesives for wafer bonding. . . . . . . 7
IV. ADHESIVE WAFER BONDING
TECHNOLOGY. . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 I. INTRODUCTION
A. Wafer bonding tools and wafer-to-wafer
alignment techniques. . . . . . . . . . . . . . . . . . . . 9 Bonding two substrates or wafers together has been an
B. Adhesive wafer bonding processes. . . . . . . . . 11 important process in the fabrication of both microelectronic
1. Adhesive wafer bonding with systems and microelectromechanical systems 共MEMS兲 for
unpatterned adhesive layers. . . . . . . . . . . . . 11 years. Wafer bonding enables the fabrication of unique types
2. Adhesive wafer bonding with patterned of substrates and allows fabrication and packaging of com-
adhesive layers. . . . . . . . . . . . . . . . . . . . . . . 12 plex three-dimensional 共3D兲 microcomponents. Historically,
V. BOND CHARACTERIZATION. . . . . . . . . . . . . . . . 14 some of the earliest uses of wafer bonding were in fabrica-
A. Bond interface imaging. . . . . . . . . . . . . . . . . . 15 tion and packaging of pressure sensors.1,2 The main commer-
B. Bond strength measurements. . . . . . . . . . . . . . 15 cial applications of wafer bonding are the fabrication of
C. Bond hermeticity measurements. . . . . . . . . . . 16 silicon-on-insulator 共SOI兲 substrates and packaging of inertia
VI. APPLICATIONS OF ADHESIVE WAFER and pressure sensors for automotive and consumer
BONDING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 products.3–6
A. Fabrication of three-dimensional integrated The wide variety of wafer bonding techniques include
circuits. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 direct bonding,4–6 anodic bonding,4–6 solder bonding,7 eutec-
tic bonding,8 thermocompression bonding,9 direct metal-to-
a兲
Electronic mail: frank.niklaus@s3.kth.se metal bonding,10 ultrasonic bonding,11 low-temperature melt-

0021-8979/2006/99共3兲/031101/28/$23.00 99, 031101-1 © 2006 American Institute of Physics

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-2 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

TABLE I. Commonly used wafer bonding techniques 共Refs. 1–15兲.

Wafer bonding Typical bonding Application


technique conditions Advantages and disadvantages areas

Direct bonding 600– 1200 ° C ⫹ high bond strength SOI wafer


Room-temperature ⫹ hermetic fabrication
schemes have been ⫹ resistant to high temperatures
reported ⫺ high surface flatness required
Small or no bond ⫺ high bond temperatures not always
pressure compatible with electronic wafers

Anodic bonding 150– 500 ° C ⫹ high bond strength Sensor


200– 1500 V ⫹ hermetic packaging
No bond pressure ⫹ resistant to high temperature
⫺ bond temperatures in combination
with voltage not always compatible
with electronic wafers

Solder bonding 150– 450 ° C ⫹ high bond strength Bump and flip-
Low bond pressure ⫹ hermetic chip bonding
⫹ compatible with electronic wafers
⫺ solder flux

Eutectic bonding 200– 400 ° C ⫹ high bond strength Hermetic


Low to moderate ⫹ hermetic packaging
bond pressure ⫹ compatible with electronic wafers Bump and flip-
⫺ sensitive to native oxides at chip bonding
surfaces

Thermocompression 350– 600 ° C ⫹ hermetic Wire bonding


bonding and 100– 800 MPa ⫹ compatible with electronic wafers Bump and flip-
direct metal-to- 共high bond ⫺ very high net forces for full wafer chip bonding,
metal bonding pressure兲 bonding required e.g., 3D-ICs
⫺ high surface flatness required

Ultrasonic bonding Room temperature ⫹ compatible with electronic wafers Wire bonding
up to 250 ° C ⫺ only demonstrated for small bond
High bond areas
pressure

Low-temperature 400– 1100 ° C ⫹ high bond strength Sensor


melting glass Low to moderate ⫹ hermetic packaging
bonding bond pressure ⫺ bond temperatures not always
compatible with electronic wafers

Adhesive bonding Room temperature ⫹ high bond strength MEMS, Sensor


up to 400 ° C ⫹ low bond temperature packaging,
Low to moderate ⫹ works practically with any 3D-ICs,
bond pressure substrate material including temporary bonds
electronic wafers
⫺ no hermetic bonds
⫺ limited temperatures stability

ing glass bonding,12 and adhesive bonding.13–16 Table I silicon bonding schemes with special wafer surface treat-
liststhese wafer bonding techniques and their main character- ments have also been reported. Direct bonding usually leads
istics. to strong bonds and is widely used in SOI technology.
In direct or fusion bonding, two wafers are contacted Anodic or field assisted bonding is based on joining an
without the assistance of any significant pressure, electrical electron conducting material 共e.g., silicon兲 and a material
fields, or intermediate layers. Direct wafer bonding typically with ion conductivity 共e.g., alkali-containing glass兲. The two
involves wafer surface preparation and cleaning, room tem- contacted wafers are heated to 180– 500 ° C to mobilize the
perature contacting of the wafers and an annealing step to ions while a voltage of 200– 1500 V is applied. The voltage
increase the bond strength. Very flat wafer surfaces are re- creates a large electric field that pulls the wafer surfaces into
quired to achieve reliable and high yield bonds. Typical an- intimate contact and fuses them together. Due to the high
nealing temperatures for silicon-to-silicon direct bonding are forces of attraction that are created by the electric field, an-
between 600 and 1200 ° C. Room-temperature silicon-to- odic bonding is more tolerant to surface roughness than di-

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-3 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

rect bonding. Anodic bonding usually leads to strong and pressures of about 300 MPa and temperatures of about
hermetic bonds and is widely used for microsensor fabrica- 500 ° C. The disadvantage of thermocompression and ultra-
tion and for hermetic sealing of micromachined devices. sonic bonding is that large net forces are required when
In solder bonding, layers of metal or metal-alloy-based bonding larger wafer areas. Thus, thermocompression bond-
solders are used to bond two wafers. Usually metal layers are ing, metal-to-metal direct bonding, and ultrasonic bonding
deposited on both wafers. The metal solders can be applied are mainly used in wire bonding schemes and in bump bond-
by sputtering, evaporation, chemical-vapor deposition, elec- ing schemes.
troless plating, electroplating, or by another suitable tech- In low-temperature melting glass bonding an inorganic
nique. The wafers are brought into close contact and are low-temperature melting glass layer is used as the interme-
heated to the melting temperature of the solder. The solder diate bonding material. The glass or glass frit layer is depos-
reflows and wets both wafer surfaces, which causes intimate ited on one or both of the wafers, e.g., by spraying, screen-
contact and bonding of the surfaces. A popular solder mate- printing, extrusion, sedimentation, or spin coating. The
rial is lead-tin 共Pb–Sn兲 solder, that melts at a temperature of wafers are brought into intimate contact and heated. The
360 ° C. The gold-tin 共Au–Sn兲 and tin-copper 共Sn–Cu兲 sol- glass deforms or reflows and makes sufficiently close contact
ders are also suitable solder materials. Most solder bonding with the wafer surfaces to create a bond between them. Two
processes use flux to remove oxides from the metal surfaces. different types of glasses are available: Devitrifying glasses
Any oxides present at the metal surfaces can prevent the and vitreous glasses. Devitrifying glasses are thermosetting
wetting of the surfaces with the liquid solder, which causes materials, which crystallize during the heating process. The
poor bonding. The advantages of solder bonding are the low melting point of these glasses is permanently increased after
bonding temperatures and the ability to join various wafer the curing process. Vitreous glasses are thermoplastic mate-
materials with a hermetic bond. The solder reflow process rials, which melt and flow at the same melting temperature
can also tolerate, to some extent, particles and structures at each time they are thermally processed. Glasses with curing
the wafer surfaces. Solder bonding is widely used to create temperatures between ⬍400 and 1100 ° C are available. The
electrical contacts in flip-chip bonding. advantages of low-temperature melting glass bonding are the
Eutectic bonding is a variation of solder bonding in ability to join various wafer materials and to achieve her-
which the low melting temperatures of certain alloys are metic bonds. Other advantages include their relatively low
used to bond at low temperatures. This effect can be used to bonding temperatures and their tolerance of particles and
join two wafers with dissimilar surface materials. When the structures at the wafer surfaces, to some extend. Low-
wafers are brought into intimate contact, diffusion mecha- temperature melting glass bonding is often used in fabrica-
nisms between the surface materials cause the creation of an tion and hermetic packaging of microsensors.
alloy at the bond interface. The alloy has a lower melting In adhesive bonding, an intermediate adhesive layer is
temperature than the individual materials. Thus, the melting used to create a bond between two surfaces to hold them
of the alloy occurs only in a very thin layer directly at the together. Although successfully used in many industries in-
bond interface. The most commonly used material combina- cluding airplane, aerospace, and car manufacturing industries
tion is silicon 共Si兲 and gold 共Au兲 with a eutectic temperature to join various similar and dissimilar materials, adhesive
of 363 ° C. Also, other material combinations such as lead bonding did not have a significant role during initial semi-
共Pb兲 and tin 共Sn兲 have been used for eutectic bonding. Eu- conductor wafer bonding research. The review article “Ad-
tectic bonding can result in strong and hermetic bonds at low hesive bonding in microelectronics and photonics” from Ya-
temperatures and is often used for the hermetic sealing of cobi et al.17 and the book Adhesive Bonding in Photonics
micromachined transducers. The disadvantage of eutectic Assembly and Packaging from Yacobi and Hubert18 summa-
bonding is the difficulty to obtain complete bonding over rize the applications of adhesive bonding for microelectronic
large areas due to native oxides at the material surfaces and photonic components and are largely concerned with
which prevents the bonding from occurring. relatively small bonding areas 共chip-level bonding兲. In con-
Thermocompression bonding, metal-to-metal direct trast to these applications, recent research and development
bonding, and ultrasonic bonding are related bonding schemes of adhesive wafer bonding involves bonding of large sub-
in which two surfaces are brought into close contact by ap- strates using the well-defined and defect-free intermediate
plying pressure and heat. Typically at least one of the sur- adhesive layers. In some applications, precise wafer-to-wafer
faces consists of a metal. The applied pressure and heat alignment of the bonded wafer pairs is required. Recent de-
causes plastic deformation and fusion of the opposing sur- velopments of reliable and high yield adhesive bonding pro-
faces. Instead of directly heating the bond interface, the heat cesses have made adhesive wafer bonding a generic and in
can also be applied by ultrasonic energy 共ultrasonic bond- some cases enabling wafer bonding technique for a variety of
ing兲. The application of ultrasonic energy also has the advan- applications.
tage that native oxides, particles, and surface nonuniformities In the most commonly used adhesive wafer bonding pro-
at the bond interface are removed by scrubbing the surfaces cesses, a polymer adhesive is applied to one or both of the
at the bond interface. Common materials for thermocompres- wafer surfaces to be bonded. After joining the wafer surfaces
sion bonding, metal-to-metal direct bonding, and ultrasonic that are covered with the polymer adhesive, pressure is ap-
bonding are gold to gold, copper to copper, aluminum to plied to force the wafer surfaces into intimate contact. The
gold, and aluminum to glass bonding. Typical bonding pa- polymer adhesive is then converted from a liquid or vis-
rameters for gold to gold thermocompression bonding are coelastic state into a solid state, typically done by exposing

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-4 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

the polymer adhesive with heat or ultraviolet 共UV兲 light. The TABLE II. Comparison of the energy content of various bond types 共Refs. 6
and 19兲.
main advantages of adhesive wafer bonding include the rela-
tively low bonding temperatures 共depending on the polymer Energy content
material between room temperature and 450 ° C兲, the insen- Bond type 共kJ/mol兲
sitivity to the topology of the wafer surfaces, the compatibil-
ity with standard complementary metal-oxide semiconductor Ionic bonds 590–1050
Covalent bonds 563–710
共CMOS兲 wafers and the ability to join practically any wafer
Metallic bonds 113–347
materials. Adhesive wafer bonding does not require special
wafer surface treatments such as planarization and excessive Van der Waals 共intermolecular兲 bonds
cleaning. Structures and particles at the wafer surfaces can be Hydrogen bonds with fluorine ⬍42
tolerated and compensated to some extent by the polymer Hydrogen bonds without fluorine 10–26
adhesive. While adhesive wafer bonding is a comparably Other dipole-dipole bonds 4–21
simple, robust, and low-cost process, concerns such as lim- Dipole-induce dipole bonds ⬍2
Dispersion bonds 0.08–42
ited temperature stability and limited data about the long-
term stability of many polymer adhesives in demanding en-
vironments need to be considered. Also, adhesive wafer
bonding does not provide hermetically sealed bonds towards contact interface of two solid surfaces that are macroscopi-
gasses and moisture.13,16,17 cally flat, but exhibit surface roughness on a microscopic
This article reviews the state-of-the-art adhesive wafer level. In order to bring two material surfaces in sufficiently
bonding technologies, materials, and applications using syn- close contact to achieve bonding, at least one material sur-
thetic organic adhesives, which are typically composed of face must deform to fit the other. This deformation may be
polymers. This review does not include wafer bonding using accomplished by plastic or elastic deformation, by diffusion
metals,7–11 low-temperature melting glasses,12 or other mate- of a solid material or by wetting of a surface with a liquid
rials that are sometimes referred to as intermediate adhe- material. Practically all wafer bonding techniques uses one
sives. of these mechanisms to establish bonding between wafer sur-
faces.
II. ADHESIVE BONDING MECHANISMS Several theories for adhesive bonding have been pro-
posed, including 共a兲 adsorption theory, 共b兲 chemical bonding,
The basic principle that all bonding techniques have in 共c兲 diffusion theory, 共d兲 electrostatic attraction, 共e兲 mechani-
common is that two materials adhere to each other if they are cal interlocking, and 共f兲 weak boundary layer theory. The
brought in sufficiently close contact. The cohesion of atoms adsorption theory relates adhesion to the interatomic and in-
and molecules within a solid material as well as the adhesion termolecular attractive forces and has found substantial ex-
of atoms and molecules in between two solid materials is perimental support. In the adsorption theory, the wetting of a
ensured by four basic bond types, which are surface by the adhesive is a key factor in determining the
strength of the adhesive bond as described below. A detailed
1. Covalent bonds discussion of the proposed alternative theories for adhesion
2. Van der Waals bonds can be found in Refs. 17–19.
3. Metallic bonds In adhesive wafer bonding, a polymer adhesive is placed
4. Ionic bonds in between the bonded wafer pair, bearing the forces
These bond types are based on electromagnetic 共coulom-
bic兲 forces resulting from the attraction of opposing electri-
cal charges. Covalent bonds and van der Waals bonds are the
dominant bonding mechanisms in most wafer bonding tech-
niques. Metallic bonds occur only in metals and ionic bonds
occur in ionic materials. Table II shows a comparison of the
typical energy content of the different bond types and Fig. 1
shows the energy content of a covalent and two van der
Waals bonds versus the distance between atoms. To accom-
plish covalent and van der Waals bonds, the atoms of two
opposing surfaces must be less than 0.3– 0.5 nm apart19 as
depicted in Fig. 1. The resulting bonds have varying energies
that depend on the surface materials and the distance be-
tween the atoms of the surfaces, but none of the bonds ex-
tend further than 0.5 nm.4,19 Macroscopically flat surfaces,
such as the surfaces of polished silicon 共Si兲 wafers, have a
root-mean-square roughness of 0.3– 0.8 nm. Nevertheless,
the profile depth 共peak to valley兲 of these surfaces is several
nanometers, which typically prevents bonding over larger FIG. 1. The energy content of covalent and two van der Waals bonds vs the
surface areas. Figure 2共a兲 shows a schematic drawing of the distance between atoms 共Ref. 19兲.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-5 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

FIG. 3. Surface energy of different solid and liquid materials 共Ref. 19兲.

Figure 2共b兲 shows a schematic drawing of a liquid that does


not wet the surface and Fig. 2共c兲 shows a liquid that does wet
the surface. For wetting to occur, the solid surface must have
a greater surface energy than the liquid. The surface energy
is a result of unbalanced cohesive forces at the material sur-
face. A higher cohesive force between the atoms or mol-
ecules of a material correlates to a higher surface energy.19
The surface energies of different solid and liquid materials
are listed in hierarchical order in Fig. 3. A liquid can wet a
solid material only if placed on a lower level in Fig. 3, i.e., if
the liquid has a lower surface energy than the solid.
The degree of wetting of a surface with a liquid adhesive
can be reduced by surface contaminants, such as the weakly
adsorbed organic molecules or by condensed moisture. The
degree of wetting can also be influenced by the microscopic
surface profile and by dust particles on the surface. Clean
and contaminant free surfaces can be achieved with cleaning
procedure using solvents, oxidants, strong acids, or bases.
Surface pretreatment with adhesion promoters can signifi-
FIG. 2. 共a兲 Contact interface of two macroscopically flat solid surfaces, 共b兲 cantly improve the wettability of a surface. Adhesion pro-
boundary layer of a solid surface and a liquid that is not wetting and 共c兲 one moters typically consist of a very thin coating of a few
that is wetting the surface 共Ref. 19兲. monolayers that bonds well to the surface and that enhances
the bonding of the polymer adhesive to the surface. Specific
adhesion promotes are often recommended by the material
involved to hold the wafer surfaces together. Wafer bonding suppliers for certain combinations of surface material and
with polymer adhesives is, like most bonding techniques, polymer adhesive. A detailed discussion of surface energy
based on the fact that atoms and molecules adhere to each measurements and surface wettability can be found in Ref.
other when they are brought in sufficiently close contact. For 17.
atoms and molecules to adhere, they must be brought to a The more complete the polymer adhesive flows into and
distance of less than 0.5 nm. When an intermediate polymer fills the troughs of a surface profile the better is the resulting
adhesive is used to join two solid-state surfaces, the polymer bond quality and the long-term stability of the bond. Polymer
adhesive deforms to fit the surfaces to be bonded. Polymer adhesives that have low viscosity and low shrinkage during
adhesives are typically in a liquid or semiliquid phase during hardening generally achieve better filling of the troughs of a
part of the bonding process and wet the surfaces to be surface profile which decreases the amount of unfilled space
bonded by flowing into the troughs of the surface profile. at the bond interface. Small molecules such as water or gas
The liquid polymer adhesive must then harden into a mate- molecules can creep or diffuse in the unfilled space at the
rial that is capable of bearing the forces involved to hold the boundary layer between the adhesive and the surface and
surfaces together. Wetting of the surfaces by the liquid or may decrease the bond energy or affect the materials at the
semiliquid polymer adhesive is critical in adhesive bonding. boundary layer.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-6 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

III. POLYMER ADHESIVES vents in thermosetting materials are often employed to real-
ize low viscosity adhesives, with the solvents evaporated be-
Polymers are large molecules 共macromolecules兲 consist-
fore or during the curing 共polymerization兲 process. Another
ing of large numbers of linked small molecules 共monomers兲.
example of combining the hardening and curing principles is
The joining process of the monomers is called polymeriza-
a two component adhesive for which the start of the curing
tion. The molecular chains, typically 0.2– 1 nm wide and up
process is triggered with UV light illumination. The curing
to several hundreds of nanometers long,20,21 and their inter-
process continues to proceed even after the UV light illumi-
nal structure determine the specific properties of polymers.
nation is removed. Very often, the polymerization process of
Polymers can be placed into the four broad material classes
UV curable polymers can be supported and intensified by
共I兲 thermoplastics, 共II兲 thermosettings, 共III兲 elastomers, and
additional heat treatment. Tacky, pressure sensitive adhe-
共IV兲 hybrid polymers. Thermoplastic polymers solidify by
sives, such as used on tapes, are highly viscous polymers that
cooling and can be remelted. Thermosetting polymers un-
deform and flow very slowly into surface troughs to bond to
dergo cross-linking to form a three-dimensional network and,
a surface. These types of polymer adhesives remain highly
unlike thermoplastics, cannot be remelted or reshaped. How-
viscous, do not harden and provide comparably low bond
ever, they do flow for a short time when heated the first time
strength. Commonly used hardening methods for polymer
to achieve cross-linking. The distinguishing characteristics of
adhesives in the semiconductor industry are the evaporation
elastomeric materials are their ability to sustain large defor-
of solvents 共drying兲, thermal curing, two component curing,
mations 共five to ten times the unstretched dimensions兲 with
UV light curing, and the combination of evaporation of sol-
relatively low stresses and their ability to spontaneously re-
vents together with thermal curing or UV light curing.20,21
cover their original shape without rupturing. Hybrid poly-
mers are alloys and blends of polymers from the three pre-
vious classes, which form materials whose properties and B. General properties of polymers
characteristics can be quite different from the individual
components. In principle, polymers from all four material Most polymers can be used as adhesive and a large num-
classes can be used as adhesive materials.20,21 ber of polymer materials are commercially available that
have widely varying material properties and chemistries.20,21
A. Hardening and polymerization of polymers Polymers typically have excellent cohesive properties and
adhere well to a large variety of substrate materials. In gen-
A polymer adhesive must exist in a liquid, semiliquid, or
eral, polymers are hard and brittle, but soften when heated.
viscoelastic phase during the adhesive bonding process to
The transformation of a polymer from a hard 共glassy兲 state to
achieve sufficiently close contact with the surfaces to be
a rubberlike state is called the glass transition; the tempera-
bonded. The adhesive must then transform into a solid ma-
ture at which this occurs is the glass transition temperature.
terial to achieve a lasting bond. The three basic ways for
All polymers creep if influenced by a load, an effect
polymer adhesives to harden and transform from a liquid
called the viscoelastic effect. The amount of creep is depen-
phase into a solid phase are19
dent on the ambient temperature and the time during which
• Polymers dissolved in water or in solvents harden the load is present. Different types of polymers have differ-
when the water or solvents are evaporated, are called ent creep strengths 共load-bearing capabilities兲.19–21
drying adhesives. Polymers are subject to similar environmental concerns
• Thermoplastic polymers melt when heated to their as other materials such as glass and metals. They are affected
melting temperature and solidify upon cooling below by chemicals, temperature, radiation 共ultraviolet and gamma
their melting temperature, are called hot melts. radiation兲, stress, and biological deterioration and thus, their
• Polymer precursors cure 共polymerize兲 by chemical re- properties can change over time.19
actions that form larger molecules or molecular chains, Polymers are typically several orders of magnitude more
with the polymer precursor either in a liquid phase permeable to moisture than glass or metals as depicted in
共e.g., resins兲 prior to curing or transform from a solid Fig. 4.22 Water molecules with dimensions of slightly more
into a liquid phase for some time during the curing than 0.1 nm diffuse in the free space between the molecular
process. The curing process can be triggered or main- chains of polymers. Thus, polymers cannot be directly used
tained by various mechanisms such as, e.g., to achieve hermetically sealed cavities and bonds.19,22
Thermoplastic polymers have a useful temperature range
共1兲 Mixing of two or more components (e.g., two-component
up to 200– 300 ° C and are limited at the low temperature
epoxies)
end by their brittleness. Thermoplastic polymers can be elon-
共2兲 Heating (e.g., many thermosetting adhesives and ep-
gated and deformed to a large extend when heated and if the
oxies)
temperature is further increased, they are converted to a vis-
共3兲 Illumination with light (e.g., UV light curable adhesives)
cous melt. Typically they have poor creep resistance but
共4兲 Presence of moisture (e.g., some polyurethanes and cy-
good peel strength. Chemical resistance ranges from poor to
anoacrylates)
excellent depending on the polymer.19–21
共5兲 Absence of oxygen (e.g., anaerobic adhesives)
Thermosetting polymers can operate at temperatures up
In practical cases, the above mentioned hardening and to 300– 450 ° C, are more rigid than thermoplastics, and gen-
curing principles are very often combined in various ways. erally offer better chemical resistance. Cross-linked thermo-
For example, solvent-based epoxies both dry and cure. Sol- setting polymers cannot flow but continue to soften until

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-7 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

D. Polymer adhesives for wafer bonding


Several aspects must be considered when selecting a
polymer adhesive for a specific adhesive wafer bonding ap-
plication. Selection of previously accepted polymer materials
eases incorporation of any industrial process, particularly in
the electronic industry. In particular, good availability, mini-
mum material and process incompatibilities, and applicable a
priori characterization can be expected. The polymer adhe-
sive, including the solvents and impurities involved must be
compatible with the wafer surface materials, with devices
that are integrated in the wafer surfaces 共e.g., CMOS cir-
cuitry兲, as well as with the previously deposited films, and
subsequent processing procedures. Many material suppliers
offer adhesion promoters together with their polymer mate-
rials to enhance the adhesion between specific substrate ma-
FIG. 4. Times scale for moisture to penetrate inside various materials 共Ref. terials and polymer adhesives. The physical properties of
22兲.
polymer adhesives, such as thermal stability, mechanical sta-
bility, and creep strength have to be considered when choos-
degradation occurs when exposed to increasing temperatures. ing a polymer for an adhesive wafer bonding application.
Typically they have good creep resistance but only fair peel The chemical resistance to acids, bases, or solvents is an-
strength.19–21 other important factor that must be considered. Many pro-
Elastomeric polymers can operate over a broad tempera- cesses in electronic and MEMS fabrication technologies in-
ture range up to about 260 ° C. They have high peel strength, volve solvents and enchants to which the polymer adhesive
low overall strength, and high flexibility. Chemical resistance may be exposed. In applications where the polymer adhesive
is variable depending on the elastomer.19–21 remains as a functional material on the device, chemical sta-
Hybrid polymers can have the properties of all the other bility and aging effects are critical. In applications where the
material classes but with a more balanced combination. wafer bonding is of temporary nature, the polymer adhesive
Some high performance polymers, e.g., polybenzimidazoles at the bond interface should be easily etchable or dissolvable.
共PBI兲, can survive temperatures of up to 760 ° C for short In these cases the long-term stability and aging effects of the
times without degradation. Specialized polymer adhesives polymer are not very crucial.
can have very low water absorption and are comparably Table III lists some polymer adhesives that have been
good diffusion barriers for moisture.19–21 proposed for adhesive wafer bonding for various application
areas.13–16,25–223 In general, semiconductor wafers are not po-
rous or permeable to liquids and gases. If volatile substances,
such as solvents or water, evolve from the polymer adhesive
C. Deposition of polymers on wafer surfaces during the hardening process, they cannot escape the thin
Most applications for adhesive wafer bonding in micro- bond line in between the wafers. The volatile substances get
electronics and MEMS require uniform thicknesses of the trapped as voids and deteriorate the bond interface. Thus, the
intermediate bonding material of 0.1– 100 ␮m. There are polymer adhesives that use the evaporation of solvents or
several ways to obtain uniform polymer layers in this thick- water for hardening during bonding are generally not suit-
ness range. The most common method in electronic and able for adhesive wafer bonding applications. The same is
MEMS fabrication technology is the spin coating of a liquid true for the polymer adhesives that outgas or otherwise pro-
polymer precursor on a wafer.13 The viscosity of the polymer duce by-products during the hardening process after the wa-
precursor and the spin speed of the wafer determine the re- fers are joined.13,70 For example, many polyimide coatings
sulting layer thickness. Highly uniform coatings with very used by the electronic industry produce water vapor as by-
well defined thicknesses and smooth surfaces can be product during the curing 共imidization兲 process.13,55,70 Thus,
achieved. only thermoplastic polyimides, which are being fully imi-
The spray coating,23 electrodeposition,24 stamping,16 dized prior to wafer bonding and that can melt again during
screen printing,19 brushing,19 and dispensing15 of liquid poly- the bonding process will provide void-free bond interfaces.
mer precursors are alternative methods that are relatively For polymer adhesive in which the evaporation of solvents or
easy to use. However, these methods often do not achieve the water does not occur in the final hardening step, the evapo-
uniformity and thickness control of spin-coated layers. A less ration can be done before the wafers are joined for bonding.
frequently used method to deposit thin polymer films is However, it is important that the volatile substances, such as
chemical-vapor deposition.24 Some polymers are available as water, are not created during the final curing process of the
thin films or sheets.20,21 These films can be laminated to a polymer adhesive after the wafers are joined.13,70
wafer surface. The thickness uniformity of laminated poly- Drying or outgassing polymer adhesives may be used for
mer layers depends on the tolerances of the films as delivered adhesive wafer bonding applications if at least one of the two
from the material supplier. bonded substrate materials are permeable to moisture216 or if

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-8 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

TABLE III. Polymers for adhesive wafer bonding.

Polymer adhesives Features References

Epoxies - thermosetting materials 25, 27, 29, 33–35, 39, 40, 46, 48, 69, 91, 150, 151, 158, 197,
- thermal curing and 190, 209, and 220
two component curing
- strong and chemically stable
bond

UV epoxies 共e.g., SU8兲 - thermosetting materials 15, 42, 47, 57, 66, 78, 80, 85, 89, 109, 111, 127, 139, 150, 151,
- UV curing 共one of the substrates 158, 161, 162, 170, 173, 184, 190, 211, 213, 214, and 218
has to be transparent to UV light兲
- strong and chemically stable bond
- bonding with patterned films

Positive photoresists - thermoplastic materials 13, 41, 59, and 70


- hot melt
- void formation at the bond
interface, weak bond

Negative photoresists - thermosetting materials 13, 27, 31, 41, 59, 70, 73, 75, 89, 107, 112, and 147
- thermal curing and UV curing
- weak bond
- low thermal and chemical
stability
- bonding with patterned films

Benzocyclobutene 共BCB兲 - thermosetting materials 13, 14, 16, 49, 59, 60, 62, 65, 68, 70–72, 76, 77, 82–84, 87, 88,
- thermal curing 94, 96, 97, 101, 106, 108, 113, 114, 116–120, 129, 132, 133,
- high yield on wafer scale 136–138, 142, 143, 145, 152, 159, 160, 164–167, 175–188, 181,
- very strong, chemically and 182, 189, 194, 196, 198–200, 202, 203, 205, 207, 208, 210, and
thermally stable bond 212
- bonding with patterned films

Flare - thermosetting materials 14, 84, and 97


- thermal curing
- high yield
- bonding with patterned films

Polymethylmeth- - thermoplastic materials 28, 30, 122, 139, 171, 186, 191, and 221
acrylate 共PMMA兲 - hot melt

Polydimethylsiloxane - elastomeric materials 32, 54, 155, 157, 174, 206, 216, and 217
共PDMS兲 - thermal curing

Fluoropolymers - thermoplastic and 53, 58, and 92


thermosetting materials
- thermal curing or hot melt
- chemically very stable bond
- bonding with patterned films

Polyimides - thermosetting and 13, 27, 33, 36–38, 55, 59, 70, 98, 123, 28, 156, and 163
thermoplastic materials
- thermal curing and hot melt
- void formation during
imidization process
- mainly chip-scale process
- bonding with patterned films

Methylsilsesquioxane - thermosetting materials 62


共MSSQ兲 - thermal curing
- very strong, chemically and
thermally stable bond
- void formation during curing
process

Polyetheretherketone - thermoplastic materials 26


共PEEK兲 - hot melt

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-9 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

TABLE III. 共Continued.兲

Polymer adhesives Features References

Thermosetting - thermosetting materials 67


copolyesters 共ATSP兲 - thermal curing

Thermoplastic - thermoplastic materials 88


copolymers 共PVDC兲 - hot melt

Parylene - thermoplastic materials 104, 125, 168, 169, 185, 204, and 222
- hot melt

Liquid-crystal - thermoplastic materials 110


polymer 共LCP兲 - hot melt
- very good moisture barrier
- not available as a liquid
polymer precursor

Waxes - thermoplastic materials 33 and 57


- hot melt
- low thermal stability
- mainly for temporary bonds

the ventilation channels are incorporated in the bond IV. ADHESIVE WAFER BONDING TECHNOLOGY
line,36,55,90,128 allowing the volatile substances from the bond
Most applications of adhesive wafer bonding require
interface to be discharged.
well defined and high yield bond interfaces and often precise
Thermal curing of thermosetting polymers13,70 or melt-
alignment of the bonded wafers. To achieve the bonding re-
ing of thermoplastic polymers90,128 are suitable techniques
sults with repeatable high quality, the bonding process and
for polymer bonding of wafers that consist of identical ma-
parameters, as well as wafer-to-wafer alignment where re-
terials or wafers that consist of materials with similar coef-
quired, must be precisely controlled. Bonding parameters
ficients of thermal expansion. When two wafers with dis-
such as bonding pressure, bonding temperature, chamber
similar materials with different coefficients of thermal
pressure, and temperature ramping profile have significant
expansion are bonded, the bonded wafer stack bends after
impact on the resulting bonding quality and defect density.
cooling down to room temperature since the wafer bond is
The influence and mechanisms of the adhesive wafer bond-
created at an elevated temperature. The wafer with the higher
ing parameters are presented in this section, along with a
coefficient of thermal expansion is expanded more and con-
brief description of the commercial equipment available for
sequently shrinks more during the cooling to room tempera-
both the wafer bonding and wafer alignment. Examples of
ture than the wafer with the lower coefficient of thermal
bonding procedures and suitable bonding parameters for wa-
expansion. The resulting stresses in the wafer stack at room
fer bonding with unpatterned polymer adhesives and for wa-
temperature can bend and even crack the wafers. Large co-
fer bonding with patterned polymer adhesives 共selective or
efficient of thermal expansion differences of the substrate
localized bonding兲 are presented.
materials and high bonding temperatures increase this effect.
For example, bonding at room temperature with two compo-
A. Wafer bonding tools and wafer-to-wafer alignment
nent or UV-curable epoxies can prevent thermally induced
techniques
stresses. However, when using UV curable polymer adhe-
sives at least one of the substrate materials must be transpar- A wafer bonder typically consists of a vacuum chamber,
ent to UV light.15,42,57,111,170,173 a mechanism for joining the wafers inside the vacuum cham-
If the polymer adhesive is in a solid or gel-like state ber, a wafer chuck, and a bond tool. Figure 5共a兲 shows sche-
prior to the curing process and if curing of the polymer is matic drawings of a typical commercial wafer bonder. The
promoted by curing parameters other than time only, wafers wafer stack is placed between the bottom wafer chuck and
with deposited adhesives coatings can be stored in between the bond tool. Thus, the wafer stack can be pressed together
the adhesive deposition process and the bonding process. with the bond tool using a controlled pressure 共force per
Polymer adhesives with such characteristics are thermoplas- wafer or bond area兲. The wafer stack can be heated through
tic polymers or solvent-based thermosetting polymers the bottom wafer chuck and the top chuck 共bond tool兲. The
共B-stage polymers兲 that are in a solid or gel-like state after top chuck can be a stiff flat plate or stiff flat plate with a soft
the solvents are evaporated. Polymer adhesives that work plate or sheet in between the top chuck and the wafer stack.
specifically well for adhesive wafer bonding applications are Soft plates or sheets typically adapt better to the nonunifor-
B-stage polymers 关e.g., benzocyclobutene 共BCB兲 and mities of the wafer stack and thus distribute the pressure
SU8兴13–15,49,60,66,158 and most thermoplastic adhesives 关e.g., more evenly over the wafer stack.
Polymethylmeth-acrylate 共PMMA兲, copolymers and The leading suppliers of wafer bonding equipment pres-
waxes兴.28,30,33,57,122,139,171,186,191 ently are Electronic Vision Group 共Austria兲 and Karl Suss

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-10 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

FIG. 5. Schematic drawings of 共a兲 a commercial wafer bonder and 共b兲 a


substrate lamination tool.

FIG. 6. Techniques for precise wafer-to-wafer alignment 共Ref. 226兲.


AG 共Germany兲, with equipment also available from AML
共United Kingdom兲 and Ayumi 共Japan兲. Logitech 共United
Kingdom兲 and Dynatex 共USA兲 offer substrate lamination age of the alignment keys of the first wafer is digitized and
tools that are typically used for temporarily laminating sub- stored. The second wafer is superimposed and alignment to
strates on handling wafers, for chemical mechanical polish- the alignment keys on the digitized image.
ing 共CMP兲 and wafer grinding processes. A substrate lami- For the SmartView® method as shown in Fig. 6共b兲, a
nation tool typically consists of a low-pressure chamber with pair of top and bottom side microscopes in combination with
a hotplate as bottom chuck and an elastic top membrane as a precision alignment stage are used to align the wafers.
shown in Fig. 5共b兲. The top membrane can be gas- First, the positions of the top and bottom microscopes are
pressurized and thus, applies pressure to the wafer stack on calibrated. The top wafer is then moved between the micro-
the hotplate. While the substrate lamination tools do not al- scopes and the alignment key position is registered and
low precise wafer-to-wafer-aligned bonding and are usually stored by the bottom microscope. After the top wafer is re-
restricted to bonding temperatures below 200 ° C, the com- tracted, the bottom wafer is brought in between the micro-
plexity and cost of ownership of the substrate lamination scopes and aligned to the existing digitized image of the top
tools are significantly lower than those of traditional wafer wafer. Finally, the two wafers are automatically moved into
bonders. Printed circuit board lamination tools have also alignment by calculating the relative X and Y locations of the
been used for adhesive wafer bonding.209 alignment keys on each wafer and moving the wafers into
For many adhesive wafer bonding applications, accurate the final alignment position.
alignment is essential between the bonded wafers. Different For alignment with an intersubstrate microscope, the in-
techniques have been implemented for precise wafer-to- tersubstrate microscope is inserted in between two wafers
wafer alignment during bonding.13,106,123,163,198,200,205,223–227 and can view simultaneously both the top and bottom wafer
Commonly used wafer alignment techniques are 共a兲 wafer surfaces with the alignment keys 关see Fig. 6共c兲兴. After the
backside alignment with digitized image, 共b兲 the Smart- wafers are aligned relative to each other, the intersubstrate
View® method, 共c兲 intersubstrate microscope, 共d兲 infrared microscope is retracted and then the wafers are moved in
共IR兲 transmission microscopy, 共e兲 transparent wafer and op- vertical direction to join the wafer surfaces.
tical microscope, and 共f兲 through-wafer holes and optical mi- For infrared transmission microscopy as shown in Fig.
croscope. Figures 6共a兲–6共f兲 shows schematic views of these 6共d兲, an infrared light source is placed on one side and an
wafer-to-wafer alignment mechanisms.226 infrared imaging sensor is placed on the opposite side of a
For wafer backside alignment as shown in Fig. 6共a兲, one wafer pair. The alignment keys at the wafer surfaces are
wafer has alignment keys on the wafer side that faces the visible in the infrared image and thus, the wafers can be
bond interface 共wafer frontside兲, while the second wafer has aligned relative to each other. This method can only be used
the alignment keys on the wafer side that faces away from if both wafers are transparent in the infrared spectrum. In
the bond interface 共wafer backside兲. These alignment keys order to get good image quality, the wafer surfaces should be
are aligned to structures at the front side 共wafer side that polished and the metal lines or patterned surfaces need to be
faces towards the bond interface兲 of the same wafer. An im- avoided in the alignment areas.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-11 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

If at least one of the wafers is transparent in the visible dissimilar materials either their coefficients of thermal ex-
light spectrum, an optical microscope can be used to view pansion must match or the polymer bonding must be per-
the alignment keys at the wafer surfaces and thus, the wafers formed near room temperature.198,205
can be aligned relative to each other 关see Fig. 6共e兲兴.
Through wafer via holes can be fabricated 共e.g., by deep
etching兲 in one of the wafers. An optical microscope can be B. Adhesive wafer bonding processes
used to view the alignment keys at the wafer surfaces and
Table IV describes a typical process scheme for wafer
thus, the wafers can be aligned relative to each other 关see bonding with an intermediate polymer adhesive. The process
Fig. 6共f兲兴. flow involves the use of wafer or substrate bonding tool and
The above described wafer-to-wafer alignment schemes applies generally to the bonding with thermoplastic polymer
are typically executed on dedicated wafer alignment tools. adhesives and with uncured 共soft baked兲 or to partially cured
After aligning the wafers relative to each other, they are thermosetting polymer adhesives. Adhesive wafer bonding
clamped on a transport fixture. The transport fixture is trans- schemes that use UV light curable
ferred from the alignment tool to the bond chamber, where adhesives15,42,57,111,170,173,218 or special surface activation of
the bonding process takes place as described in Sec. IV B. the adhesive prior to wafer bonding215–217 typically consist of
The transport fixture may or may not provide spacers that are slightly modified process schemes.
placed between the wafers to prevent them from becoming The bond strength and the amount of void or defect for-
attached to each other outside the bond chamber. Some bond mation at the bond interface in adhesive wafer bonding is
tool suppliers have implemented wafer-to-wafer alignment influenced by the polymer adhesive, wafer materials, size
mechanisms directly in the bond tool, although a long bond- and amount of particles at the wafer surfaces, wafer surface
ing time makes a cluster tool more attractive in high-volume topography, polymer thickness, bonding pressure, degree of
manufacturing. precuring 共level of polymerization兲 of the polymer adhesive,
All of the above mentioned alignment techniques can be wafer thickness, polymer curing conditions, and atmospheric
used in combination with adhesive wafer bonding. Currently condition in the bond chamber before wafer bonding. All
achievable wafer-to-wafer alignment accuracies with some these bonding parameters and their qualitative influences on
of these techniques are in the range of 1 ␮m in laboratory- the resulting bond quality are listed in Table V.
environments and in the range of 2 – 3 ␮m for device fabri-
cation. Wafer-to-wafer alignment capabilities below 1 ␮m
should be achievable with additional equipment and process 1. Adhesive wafer bonding with unpatterned adhesive
layers
development.224–226 However, the adhesive wafer bonding
based on softening, deforming, and hardening of the interme- In the following example, an adhesive wafer bonding
diate polymer adhesives may cause additional problems for process with a self-contained dry-etch BCB coating is de-
the achievable postbond wafer-to-wafer alignment accuracy. scribed that creates very strong, durable, and defect-free
When the wafer stack is pressed together with the bond tool bonds. The thermosetting polymer BCB was selected in this
during the bonding process, shear forces acting in parallel to example for its excellent properties as adhesive material and
the bond line almost inevitably occur. If the polymer adhe- because it is a material widely used in the semiconductor
sive obtains a low viscous phase during the bonding process, industry.
these shear forces result in the wafers shifting relative to The adhesive bonding process starts out by applying
each other. Although the initial alignment accuracy between BCB with the required layer thickness on one or both wafer
the wafers may be 1 – 3 ␮m before the bonding, the wafer- surfaces to be bonded using spin coating. Prior to applying
to-wafer alignment during the adhesive bonding process can the BCB coating, the wafer surface may be cleaned and an
increase significantly 共⬎10 ␮m兲. To prevent such a large adhesion promoter may be applied. Next, the BCB coatings
are soft baked at a temperature between 100 and 170 ° C for
alignment shift while the intermediate polymer is in a low
a few minutes to remove the solvents. Soft baking at these
viscous state, surface structures, which create additional
temperatures does not significantly increase the cross-linking
friction,13,105 mechanical interlocking structures,123,163 or self
level of the BCB coatings.13,60,72,108,198,200,205 The wafers are
alignment structures13,223 that interlock the wafers, can be
placed on the bond fixture and transferred to the bond cham-
introduced at the bond interface. Partially cross-linked ther- ber. After the bonding process is started, the bond chamber is
mosetting polymer adhesives, which do not reflow and trans- evacuated to an atmosphere of about 10−3 mbar. Then a
form into a low viscous phase during the bonding process, bonding pressure of about 0.2– 0.5 MPa is applied with the
can also be used to prevent bonding-induced bond tool and the wafer stack is heated. The curing tempera-
misalignment.198,200,205 ture of dry-etch BCB layers can be chosen between 200 and
Wafers that consist of dissimilar materials expand to a 300 ° C with the curing time dependent on the curing tem-
different degree when heated. At a temperature increase of perature. A curing temperature of 250 ° C requires a curing
100 ° C, the difference in thermal expansion between two time of about 1 h. During the curing process, the BCB coat-
wafers consisting of dissimilar materials can be several tens ing reaches a low viscous phase while cross-linking, com-
of micrometers 共e.g., for silicon and gallium arsenide wa- pensating extremely well for topographic features on the wa-
fers兲. To accurately align and bond wafers that consist of fer surfaces and achieving very strong and durable

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-12 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

TABLE IV. Typical process steps for adhesive wafer bonding 共Refs. 13, 14, 70, and 205兲.

No. Process step Purpose of the process step

1 Cleaning and drying of the wafers. Remove particles, contaminations, and moisture from the wafer
surfaces.

2 Treating the wafer surfaces with an adhesion promoter Adhesion promoters can enhance the adhesion between the
共optional兲. wafer surfaces and the polymer adhesive 共see Sec. II兲.

3 Applying the polymer adhesive to the surface of one or both The most commonly used application method is spin coating.
wafers; patterning the polymer adhesive 共optional兲. Alternative application methods are described in Sec. III C.
Polymer patterning is described below in Sec. IV B.

4 Soft baking or partially curing of the polymer. Solvents and volatile substances are removed from the polymer
coating. Thermosetting adhesives should not be polymerized, or
may only be partially polymerized. Thermoplastic adhesives
may be completely polymerized, since they can be remelted to
achieve bonding.

5 Placing the wafers in the bond chamber, establishing a vacuum The wafers are joined in a vacuum atmosphere to prevent voids
atmosphere, and joining the wafers inside the bond chamber. and gases from being trapped at the bond interface. The vacuum
atmosphere can also be established after the wafers are joined,
as long as trapped gases at the bond interface can be pumped
away before the bond is initiated.

6 Applying pressure to the wafer stack with the bond tool. The wafer and polymer adhesive surfaces are forced into
intimate contact over the entire wafer. For thermosetting
polymer adhesives, the bonding pressure should be applied
before the curing temperature is reached. If thermoplastic
polymer adhesives are used, the bond pressure can be applied
after the bonding temperature is reached.

7 Remelting or curing the polymer adhesive while applying The hardening procedure depends on the curing mechanism of
pressure with the bond tool. the used polymer adhesive as described in Sec. III A. The
reflow of the polymer adhesive is typically triggered through
elevated temperature.

8 Chamber purge, cool down, and bond pressure release. End bonding process. The sequence of chamber purge, bond
force release, and cool down is largely interchangeable.
However, when thermoplastic polymer adhesives are used, the
cool down should happen before the bond pressure release. This
is to solidify the polymer adhesive before the bond pressure is
released.

bonds.13,60,72,192 Soft-baked and partially cured BCB coatings where bonding desired13,16,36,44,50,51,55,56,77,79,80,85,88,89
is
95,108,111,122,132,142,150–152,170,171,173,178,184,189,193,194,210,213,218
can be stored several days or weeks in particle-free environ- or
ments before the actual wafer bonding is performed without by locally heating the bond interface with integrated heaters
compromising the bonding results. Substrates with diameters at the wafer surfaces to create local bonding at the desired
of up to 200 mm have been successfully bonded using BCB areas.79,90,128 Methods to place the polymer adhesive only on
in combination with the presented bonding certain wafer areas are spraying with a shadow mask, local
parameters.13,14,70,84,96,192 dispensing, screen printing, and stamping of a liquid polymer
Figure 7 shows the bond interfaces of a 200-mm-diam precursor. The lamination of a polymer sheet that is patterned
bonded wafer pair in which the top wafer has been sacrifi- by local punching, or cutting with a water jet or laser is
cially removed to expose the BCB adhesive layer. The color
another suitable way to apply a polymer adhesive only on
fringes at the wafer surface result from small BCB thickness
certain wafer areas. All these methods have limitations con-
variations that result form BCB reflow during the wafer
cerning the polymer thickness control and the smallest
bonding process. If the BCB is partially cured prior to the
wafer bonding process, the thickness variations of the BCB achievable feature sizes of the bonded and
adhesive after bonding can be reduced dramatically since the unbonded areas. To achieve very precise dimensions of the
partially curing of the BCB does not reflow during wafer areas to be bonded, the intermediate polymer adhesive can
bonding.198,200,205 be patterned with the use of
photolithography.13,16,65,77,88,108,184 Suitable techniques are
2. Adhesive wafer bonding with patterned adhesive polymer etching with a lithographically defined mask or the
layers use of photosensitive polymers. Other alternatives for poly-
Selective or localized adhesive wafer bonding is mer patterning with the help of lithographic techniques are
achieved by applying the polymer adhesive only on areas lift-off processes and selective polymer deposition.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-13 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

TABLE V. Influence of various bonding parameters on bond defects 共Refs. 4, 13, 14, 60, 70, 72, and 205兲.

Bonding
parameter Influence on bond defects Importance

Polymer - The intermediate polymer adhesive must not release Very high
adhesive and solvents or by-products during the hardening process if
wafer materials the wafer materials are not permeable to gases. Volatile
substances get trapped as voids at the bond interface if
they evolve from the polymer adhesive after the wafers
are joined.
- The polymer adhesive must provide sufficient wetting
of the wafer surfaces and flow or achieve a
viscoelastic state during the bonding process.
- The wafer materials must be compatible with the
bonding process 共e.g., temperatures, UV light
transparency兲.

Amount and - Particle-free surfaces are key to good bonding results. Very high
size of particles Particles at the wafer surface that are larger than the
at wafer thickness of the polymer adhesive may cause bonding
surfaces, wafer defects or extended unbonded areas.
surface - If the wafer surface topography is high compared to
topography, and the thickness of the polymer layer, unbonded areas can
adhesive result. The polymer reflow and the wafer deformation
thickness may not compensate for the topographic features on the
wafer surface.
- The use of very thin 共1 ␮m兲 polymer layers more
likely results in unbonded areas than the use of thicker
polymer layers. Thin polymer layers compensate for
surface nonuniformities and particles at the bond
interface to a lesser extend.

Bonding - The bonding pressure facilitates deformation of the High


pressure/force intermediate polymer adhesive and the wafers, and
brings the surfaces in sufficiently close contact to
achieve bonding.
- High bonding pressures increase the conceivable
deformation of the polymer adhesive and the wafers.
However, excessive bonding pressures may cause high
stress. Thus, structures that are present on the wafers
can be destroyed or the wafers may crack.
- The bonding pressure that is introduced to the wafer
stack should be uniform to avoid differences in the
resulting thickness of the intermediate polymer material.
Polymer adhesives tend to flow from areas of higher
pressure towards areas of lower pressure while they are
in a liquid phase.

Polymerization - Thermosetting polymer adhesives should be High


level of the unpolymerized or partly polymerized prior to bonding.
polymer If the amount of polymerization before creating the
adhesive bond is too high, the polymer adhesive does not deform
and adapt sufficiently to the wafer surfaces.
- For thermoplastic polymer adhesives the amount of
polymerization before the bonding is not decisive. They
remelt during the bonding process to achieve bonds
between the surfaces.

Wafer - Thin wafers are more easily deformed by the bonding Medium
thickness pressure to compensate to surface nonuniformities at the
bond interface and thus, less stress is introduced at the
bond interface.

Polymer curing - The bonding temperature and the temperature ramping Medium
conditions cycles have to be adjusted to the requirements of the
used polymer adhesive.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-14 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

TABLE V. 共Continued.兲

Bonding
parameter Influence on bond defects Importance

- Very fast temperature ramping cycles may cause nonuniform


and incomplete heating of the wafer stack, leading to
incomplete adaptation of the intermediate polymer adhesive to
the wafer surfaces and resulting in excessive stress, unbonded
areas, and delamination of the bond interface.
- If two wafers consisting of materials with large coefficient of
thermal expansion differences are bonded, large stresses
between the bonded wafers can occur. These stresses can lead
to delamination of the bond and to bending and cracking of
the wafers.

Atmospheric - Gas pressure in the bond chamber of 100 mbars or lower Medium
condition in the while joining the wafers is typically sufficient to prevent
bond chamber gasses from being trapped at the bond interface.
before the - If wafers are joined and bonded at atmospheric pressure,
wafer bonding small bubbles or larger voids of gas can get trapped at the
is initiated bond interface. The trapped gases mark unbonded areas, which
can expand if the wafer stack is heated and thus, significantly
deteriorate the bond quality.

The patterned polymer adhesive has to remain sufficiently ting polymer BCB was selected. The deformability during
firm during the bonding process to retain the shape of the bonding of thermosetting polymers such as dry-etch BCB
patterned structures and must be deformable to adapt to the and photosensitive BCB can be influenced by their degree of
wafer surfaces. If the polymer adhesive is too firm during the polymerization prior to bonding. The BCB polymerization
bonding process, some areas of the wafer cannot wet, which levels of 40%–60% prior to the bonding have shown to be
results in incomplete bonding. If the polymer adhesive be- suitable for achieving complete bonding between the BCB
comes too low viscous, the lithographically defined polymer coating and a wafer surface. Nevertheless, these BCB coat-
structures lose their shape and start flowing during the bond- ings are sufficiently firm to retain the patterned structures
ing process. Thus, the bonded wafer areas become larger during the bonding process. The selective or localized BCB
than the initially defined areas and the resulting gap width bonding process starts out by cleaning the wafer surfaces,
between the two wafer surfaces, defined by the polymer applying an adhesion promoter and spin-coating dry-etch
thickness, decreases in an uncontrolled way.16,77,108 In the BCB layers to one or both wafers. The BCB coatings are
adhesive wafer bonding with patterned adhesive layers, the precured at temperatures between 190 and 200 ° C for
effective bonding pressure is typically the applied bonding 30 min in an oxygen-free atmosphere, which increases the
force divided by the sum of the areas to be bonded. polymerization level of the BCB coating from 35% 共as de-
In the following example, detailed process parameters livered from the material supplier兲 to nearly 50%. The BCB
for wafer bonding with a patterned BCB coating for local- coating is patterned using reactive ion etching 共RIE兲 and
ized adhesive bonding is described.13,16,77,108 The thermoset- applying photoresist as the masking material. A detailed pro-
cess description for patterning of dry-etch BCB can be found
in Refs. 77, 108, and 227. Next, the wafers with the pat-
terned BCB coatings are placed on the bond fixture and
transferred to the bond chamber. After initiating the bonding
process, the bond chamber is evacuated to an atmosphere of
about 10−3 mbar. Then a bonding pressure of approximately
0.4 MPa is applied with the bond tool and the wafer stack is
heated. The curing temperature of dry-etch BCB layers can
be chosen between 200 and 300 ° C with the curing time
dependent on the curing temperature. A curing temperature
of 250 ° C requires a curing time of about 1 h. Figure 8
shows a glass wafer that is selectively bonded to a silicon
wafer using lithographically patterned dry-etch BCB as the
polymer adhesive. The dark areas on the photo are bonded
and the light areas are unbonded cavities.
FIG. 7. Bonded wafer pairs 共200 mm diameter兲 in which the top wafer has
been sacrificially removed to expose the BCB adhesive layer. The color V. BOND CHARACTERIZATION
fringes result from BCB thickness variations. No color fringes can be ob-
served on wafers bonded using partially cured BCB, indicating uniform The quality of a wafer bond can be described by defect
BCB thickness 共⬍0.5% 兲 共Refs. 198, 200, and 205兲. rate, bonding strength, hermeticity, induced stresses in the

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-15 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

FIG. 8. Glass wafer that is selectively bonded to a silicon wafer using


lithographically patterned dry-etch BCB as the polymer adhesive. The dark
areas are bonded and the light areas are unbonded 共Refs. 77 and 108兲.

wafer and adhesive materials, and stability towards harsh


environments. A number of nondestructive and destructive
techniques can be used to characterize a bond. The most
common techniques are bond interface imaging, bond
strength measurements, and bond hermeticity measurements.

A. Bond interface imaging


Useful imaging methods for wafer bond characterization
are the bonding of glass wafers, infrared transmission, x-ray
topography, acoustic microscopy, interface etching, and FIG. 9. Schematics of bond strength measurement techniques 共Refs. 4, 13,
cross-sectional analysis.4–6,13,17,18 The former four methods and 14兲.
are nondestructive while the latter two are destructive meth-
ods. are performed in water or in another liquid. Acoustic micros-
If one of the bonded wafers is transparent to visible light copy measurements are easy to operate, fast, and applicable
共e.g., a glass wafer兲 the bond interface can be inspected di- to practically all materials.
rectly or with optical microscopy. This inexpensive and effi- In the interface etching, one of the bonded wafers is
cient method is especially useful in the adhesive wafer bond- sacrificially etched to a thin etch-stop layer with a suitable
ing since the bond defects in adhesive wafer bonding are etching technique. Voids and defects can be visually in-
usually not dependent on the wafer materials. However, wa- spected through the thin etch-stop layer using optical micros-
fer surface and wafer edge effects important in semiconduc- copy. If larger defects are present at the bond interface the
tor manufacturing are not duplicated with a transparent wafer etch-stop layer buckles or bursts open, indicating unbonded
used for bond defect characterization. areas. For silicon wafers the interface etching can be per-
In infrared transmission imaging the bonded wafers are formed using wet etching or deep reactive ion etching
located between an infrared source and an infrared sensitive 共DRIE兲; silicon dioxide 共SiO2兲 is typically used as the etch-
camera, with defects at the bond interface displayed as con- stop layer. This technique is particularly attractive with SOI
trasts in the infrared image. Infrared transmission can only be starting wafers.
used if all wafer materials are transparent to infrared light Cross-sectional analysis of a bond interface is done by
共e.g., silicon兲. However, this comparably simple, fast, and cleaving or dicing a bonded wafer pair perpendicular to the
inexpensive technique has limitations in the lateral resolution bond interface. The cross section of the bond interface is
of defects, particularly if any interfaces are not smooth 共e.g., inspected using optical microscopy, scanning electron mi-
the nonpolished backside of silicon wafers兲. croscopy 共SEM兲, or focused ion beam milling in combina-
X-ray topography is a comparably expensive and time tion with scanning electron microscopy 共FIB/SEM兲. Cross-
consuming method used to obtain an image of deviations sectional analysis is especially useful for the inspection of
from ideal, undistorted lattice planes. X-ray topography can bonds with thin intermediate bonding materials such as ad-
only be applied to single-crystalline materials, but has a typi- hesives.
cal spatial resolution of 2 – 20 ␮m 共much better than that of
the infrared transmission techniques兲.
B. Bond strength measurements
Acoustic microscopy is based upon the acoustic wave
propagation through solid-state materials. Since the scatter- Figure 9 illustrates five bond strength measurement tech-
ing of acoustic waves depends on changes in elastic proper- niques that are useful for wafer bond
ties of the material, voids, and defects at a bond interface can characterization,4–6,13,14,17,18 all of which are destructive
be readily detected. Depending on the frequency range used, techniques. The tensile load test measures the tensile strength
the resolution of acoustic microscopy can approach 10 ␮m. of a bond, while the crack opening test, the blister test and
The equipment is relatively expensive and the measurements the four-point bending test measures the surface energy of a

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-16 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

bond. A mathematical correlation between the tensile The negative ion detection method 共NID兲 is another
strength and the surface energy is not established. gross leak test in which the bonded cavity is penetrated with
In the tensile and shear load measurements, bonded a fluorocarbon liquid 共e.g., FC-84, 3M Electronic Products兲
samples are pulled apart as illustrated in Fig. 9共a兲 while mea- in a manner similar to that used in the bubble method. There-
suring the load necessary for destroying the bond. The tensile after, the cavity is placed in a metal chamber and heated
strength of a bond equals the tensile forces at the time of 共e.g., 125 ° C兲. The metal chamber is attached to a gas ana-
fracture divided by the bond area of the sample. Load mea- lyzer that can detect the fluorocarbon molecules that emerge
surements are typically performed on strain test machines, from the cavity. Thus, a measure for the leak rate of the
with Fig. 9共b兲 depicting a setup for tensile strength measure- cavity bond can be determined.228,229
ments of bonds between a thick substrate and a thin film. The helium leak test is a fine leak test and is widely used
In the crack opening test, also referred to as razor blade
to test the hermeticity of electronic packages.88,228,229 A
test, a blade of a defined thickness is introduced in between
sealed cavity is subjected to helium gas under several atmo-
the bonded wafers as illustrated in Fig. 9共c兲. The length of
spheres pressure. After pressurizing, the cavity is introduced
the resulting crack can be measured with infrared inspection
into a vacuum chamber that is attached to a helium sensitive
and gives a measure of the surface energy keeping the wafers
together. The crack opening method cannot be used if the mass spectrometer. The helium that leaks out of the cavity is
bond is too strong to introduce the blade without cracking detected and converted into a standard leak rate. Helium is
one of the bonded wafers. used due to its high diffusion rate and its inert chemical
A schematic drawing of the blister test or pressure burst behavior. While well established, the helium leak test for
test is shown in Fig. 9共d兲. The test sample consists of two hermeticity in method 1014.4 of the military standard MIL-
bonded wafers with one wafer containing a hole. Hydrostatic STD-883E is not directly applicable to very small cavities, as
oil pressure is applied through the wafer hole while the wafer the minimum detectable leak range is too large.88 A variation
containing the hole is fixed to the surface underneath. The of the helium leak test is a method, in which a hole estab-
pressure at which the bond cracks gives a measure of the lished in the lid of the cavity is connected through a sealed
surface energy of the bond. pipe to a helium sensitive mass spectrometer. The outside of
In the four-point bending test, a precrack is formed in the cavity is exposed to a helium atmosphere and the mass
one of the substrate layers of a bonded sample by sawing spectrometer detects the helium that leaks from the outside to
and/or etching as shown in Fig. 9共e兲. The bonded and pre- inside of the cavity. This provides a measure for the leak rate
cracked sample is mounted in a four-point bending machine of the sealed cavity.208
where the applied load and the resulting displacement are The radioisotope method can be used as a fine and gross
measured. As the load is applied, the sample deforms elasti- leak test. A tracer gas 共e.g., Kr85兲 is forced into a bonded
cally and the precrack propagates to the weak interface, cavity by pressurization. The cavity is then placed in a scin-
which is “below” the precrack. The load versus displacement tillation counter, which detects the gamma emission that ac-
curve gives a measure for the surface energy of the bond. companies the beta decay of the tracer gas. The gamma
emission directly yields a measure of the tracer gas concen-
C. Bond hermeticity measurements
tration within the cavity. In this method the amount of gas
leaking into the cavity is measured directly; thus, the leak
A hermetic, or gas-tight, bond must work as a barrier rate detection is independent of the cavity volume.225,226
towards gasses and moisture. Most hermeticity test methods The internal vapor analysis 共IVA兲 test analyses the gas
to evaluate gas tightness use a cavity that is sealed with a composition of the atmosphere that is present inside a
bond. The gas leakage into or out of the cavity through the bonded cavity.230 Thus, information about the hermeticity of
bond is measured to determine the hermeticity of the bond. the sealing and the corrosiveness of the atmosphere inside
The range of leak rates of interest is 10−1 – 10−4 atm cm3 s−1 the cavity can be extracted. In the internal vapor analysis test
for gross leaks and 10−5 – 10−12 atm cm3 s−1 for fine leaks.
a bonded and sealed cavity is pierced 共opened兲 and the gases
The most important testing methods are briefly described
that escape the cavity are analyzed with mass spectroscopy.
below.
In this way, it is possible to see if the moisture or other gases
The bubble method is a gross leak test, in which the
such as oxygen, nitrogen, and carbon dioxide were present
bonded cavity is subjected to vacuum evacuation. The cavity
is immersed in a fluorocarbon liquid 共e.g., FC-84, 3M Elec- inside the cavity. Also the pressure of the atmosphere inside
tronic Products兲 without breaking the vacuum to facilitate the cavity prior to the piercing can be determined. The inter-
easy entry of the liquid into the cavity through any leak. The nal vapor analysis test is a destructive test method.
cavity is then immersed in a bath with another fluorocarbon Another possibility to test the hermeticity of a bond is to
liquid 共e.g., FC-40, 3M Electronic Products兲 having a higher place or integrate a pressure, gas, or moisture sensitive de-
boiling temperature than the first liquid. The temperature of vice 共e.g., bolometer, resonator, moisture sensor, or pressure
the bath is held between the respective boiling temperatures sensor兲 in a cavity that is sealed. The atmosphere inside the
of the two fluorocarbon liquids. Any fluorocarbon that has cavity is monitored with the sensing device over time. Thus,
leaked into the bonded cavity during the fill phase will ex- changes in the pressure or the atmospheric composition in
pand in volume and appear as bubbles observable in the the cavity can be measured with the device in the cavity and
fluorocarbon bath.228,229 the leak rate of the bond can be determined.88,225,226

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-17 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

FIG. 10. Three-dimensional integration for future ICs and heterogeneous


systems with interchip via contacts 共Ref. 105兲.

VI. APPLICATIONS OF ADHESIVE WAFER BONDING

Adhesive wafer bonding is a generic technology with


unique features. Adhesive wafer bonding is used for the fab-
rication of three-dimensional integrated circuits
13,14,43,52,62,71,76,82–84,96–98,106,116–120,145,174–177,189,192,198–200,202
203,205
and for the integration of integrated circuits with mi-
crosystems such as infrared focal plane arrays,13,73,75,147 spa-
tial light modulators 共micromirror arrays兲,13,69,107,129,214 mi-
crotip arrays for data storage systems,123,163 and laser
systems.37,38,63,71,76,103,136,137 Adhesive wafer bonding is also
used for the fabrication of microcavities in packaging
applications,13,16,36,56,77,87–89,91,93–95,108,113,114,133,142–144,152,168
169,170,194,208,210
for manufacturing of liquid crystal on silicon
共LCoS兲 components,50,51 for thin-film solar cells,81 for radio-
frequency components,15,39,40,42,47,130,131,135,154,207 for micro-
fuel cells,217 for hard disk drive microactuators,213 and for
the bioMEMS and micrototal analysis systems 共␮TAS兲.
13,54,58,60,66,72,74,79,80,85,111,122,132,148–151,155,157,171,173,184,185,191,211
216,218,220,221
We have grouped the various applications in five
sections, depending on the application area and the use of FIG. 11. Fabrication process for three-dimensional integrated circuits 共I兲
共Ref. 145兲 and damage-free interconnect structures after adhesive bonding
adhesive wafer bonding. Section VI A describes ways of us- to a 200 mm glass wafer and Si substrate removal 共II兲 共Ref. 116兲.
ing adhesive wafer bonding for the manufacturing of three-
dimensional integrated circuit; Sec. VI B describes the appli-
cation of adhesive wafer bonding for the integration of quire very high density of vias between the layers, such as,
MEMS components and integrated circuits; Sec. VI C shows e.g., stacked memories, processors, and smart imaging
applications in which temporary adhesive wafer bonding is components.82,83,96,120,176,188
used to transfer thin films and components using an interme- Aligned wafer-to-wafer bonding with dielectric polymer
diate handling wafer; Sec. VI D describes the use of adhesive layers such as BCB is one of the promising approaches for
wafer bonding for wafer-level packaging applications; and the fabrication of
Sec. VI E shows the applications of adhesive wafer bonding 3D-ICs.13,14,70,71,76,82,96,116,120,145,176,198,200,205 Figure 11 shows
for manufacturing of microfluidic components in bioMEMS a schematic of a typical process flow, where the fully pro-
and ␮TAS. cessed wafers 共with active devices and multilevel on-chip
interconnects兲 are aligned and bonded using BCB as the in-
termediate adhesive layer, followed by a top wafer thinning
A. Fabrication of three-dimensional integrated and interwafer interconnection.71,83,105,145 Initially, two pro-
circuits
cessed wafers are aligned to the required tolerances 共typi-
Wafer-level 3D integration is an emerging technology to cally within 1 – 2 ␮m兲 and bonded using a dielectric adhesive
increase the performance and functionality of integrated layer under back-end-of-the-line 共BEOL兲 compatible condi-
circuits.85,187,188 3D integration holds promise for reducing tions. The top wafer is thinned to ⬃1 ␮m by a three-step
interconnect delays in future integrated circuits by reducing thinning process, i.e., backside grinding, CMP, and wet etch-
length and number of long interconnect lines82,83,85,187 as ing to an etch stop 关e.g., implanted, epi, or buried oxide
well as offering heterogeneous integration of processes and 共BOX兲 layer with SOI technology兴 as shown in Fig. 11共I兲共a兲.
devices as shown in Fig. 10.105,176 In principle, several de- Subsequently, bridge-type, and/or plug-type interwafer inter-
vice layers can be stacked on top of each other, which also connects are formed using a copper dual-inlaid patterning
can include the integration of high performance passive com- process, involving high-aspect-ratio via etching, copper/
ponent substrates directly with active integrated circuit sub- barrier deposition, and CMP as in advanced BEOL process
strates. The wafer-level three-dimensional integration of flows as shown in Figs. 11共I兲共b兲, 11共I兲共c兲, and 11共I兲共d兲. If
electronic circuits is of special interest for devices that re- required, a third wafer 共or more兲 can be aligned, bonded,

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-18 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

FIG. 13. Cross section of a bonded copper-copper and BCB-BCB interface


fabricated using the via-first process 共Refs. 199, 202, and 203兲.

This via-first approach to 3D integration combines the


advantages of dielectric adhesive bonding, which is a robust
bonding process and provides a high wafer bond strength,
with the prebonding interwafer copper interconnects for pro-
cess integration simplicity. A copper-BCB redistribution
layer as typically needed in wafer-level packaging 共WLP兲
techniques can easily be incorporated with a dual damascene
patterning approach 共see Sec. VI D兲.

B. Film and device transfer for integration of MEMS


and electronic circuits
Adhesive wafer bonding can be used to transfer thin
films for MEMS or micro-opto-electromechanical system
共MOEMS兲 devices from one substrate wafer 共sacrificial wa-
FIG. 12. Via-first process for three-dimensional integrated circuits 共Refs. fer兲 to a second substrate wafer 共target wafer兲. Thus, the
199, 202, and 203兲. transducers and electronic circuits can be optimized and fab-
ricated independently on separate wafers with cost-efficient
processes before they are combined with an integration pro-
thinned, and interwafer interconnected with the same process
cess. The adhesive wafer bonding is CMOS compatible and
flow to achieve multilayer 3D structures. The picture in Fig.
it allows wafer-level transfer of extremely thin 共⬍0.3 ␮m兲
11共II兲 shows integrated circuit structures that have been
films and devices that have very small feature sizes
transferred from the original 200-mm-diam substrate to a
共⬍1 ␮m兲. Very small electrical via contacts between the
200-mm-diam glass substrate using BCB bonding in combi-
transferred devices and the new substrate wafer can be
nation with substrate thinning.
realized.13,71,76,84 Wafer-level transfer of thin films and de-
Another technology platform to fabricate 3D-ICs using
vices is a specifically attractive technology for devices that
adhesive wafer bonding is the via-first, BEOL compatible,
require a very high density of via interconnect with very
monolithic wafer-level technology platform shown in Fig.
small dimensions, such as in imaging or other arrayed
12.199,202,203 This technology platform employs wafer bond- components.13,73,75,107,112,123,147,163
ing of damascene-patterned metal/adhesive redistribution Figure 14 shows one possible process flow for device
layers on two wafers, thus providing interwafer electrical transfer including the fabrication of electrical via contacts
interconnects 共via first兲 and adhesive bonding of two wafers between the transferred devices and the target
in a one unit processing step. In one possible process flow wafer.13,71,73,75,76,106,111,146 In device transfer, a device is
for this technology, the partially cured BCB was used as a placed on top of an etch-stop layer on a sacrificial device
dielectric bonding layer. The BCB films are spin coated on wafer 共e.g., SiO2 as the etch-stop layer in the case of silicon
the wafer surfaces and partially cured on a 250 ° C hotplate etching兲. The sacrificial device wafer is bonded to the target
under a nitrogen purge for 60 s.199,202,203 The BCB films are wafer 共e.g., a CMOS wafer兲 with adhesive wafer bonding as
patterned using a photoresist mask in combination with re- shown in Fig. 14共b兲. In the next step, the device wafer is
active ion etching or deep reactive ion etching. Tantalum and sacrificially thinned down to the etch-stop layer as illustrated
copper are then sputtered over the patterned BCB. A baseline in Fig. 14共c兲. The thinning of the sacrificial device wafer can
two-stage CMP process employing commercially available
two-component 共abrasive and oxidizer兲 slurries is utilized to
polish the copper and tantalum199,202,203 as shown in Fig.
12共a兲. These damascene patterned films are aligned and
bonded under controlled vacuum, temperature 共e.g., 350 ° C兲,
and pressure parameters 共e.g., 10 000 N兲 as shown in Fig.
12共b兲. The top silicon wafer is then thinned to ⬃100 ␮m
thickness by grinding and polishing with the final thinning in
tetramethyl ammonium hydroxide 共TMAH兲 as shown in Fig.
12共c兲. Figure 13 shows a cross-sectional FIB/SEM image of
a bonded copper via and BCB dielectric interface.199,202,203 FIG. 14. Process sequence for thin film and device transfer.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-19 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

probing pads. A 0.2-␮m-thick silicon nitride layer is depos-


ited on top of the addressing electrodes and patterned to de-
fine the distant holders. Then, the holes for the posts are
etched in the silicon nitride layer that covers the gold seed
layer. The locally exposed gold seed layer is used as the
plating base for electroplating 1.45 ␮m gold. Consequently,
the gold posts extend 0.8 ␮m over the surface of the alumi-
num addressing electrodes. Figure 15共a兲 shows a schematic
cross section of the target wafer with the addressing elec-
trodes and the electroplated posts. The sacrificial wafer 共do-
nor wafer兲 is a SOI wafer with a 0.34-␮m-共±5 % 兲 thick sili-
FIG. 15. Process flow for CMOS compatible fabrication of monocrystalline
silicon micromirrors using film transfer with adhesive wafer bonding. con device layer and a 1-␮m-thick SiO2 layer. This SOI
wafer is bonded to the target wafer using a 0.8-␮m-thick
polymer layer that is spin coated on the SOI wafer as illus-
be done using dry etching 共e.g., DRIE兲, wet etching 关e.g., trated in Fig. 15共b兲. In the bonding procedure, the electro-
potassium hydroxide 共KOH兲 etching兴 or a combination of plated posts are pressed in the adhesive bonding material.
grinding, polishing, and etching. If the transferred devices Their height of 0.8 ␮m defines the distance between the ad-
can withstand the applied etching process no etch-stop layer dressing electrodes and mirror membranes. The sacrificial
is required. Throughholes can be etched in the polymer ad- wafer 共SOI bulk material兲 is removed by a combination of
hesive 共e.g., using reactive ion etching兲 as illustrated in Fig. grinding and DRIE, stopping at the previously defined SiO2
14共d兲; electrical via contacts between the target wafer and layer as shown in Fig. 15共c兲. The SiO2 layer is removed
the transferred devices can be processed in a subsequent step using a buffered HF etch solution, leaving the 0.34-␮m-thick
as shown in Fig. 14共e兲. The electrical via contacts can be monocrystalline silicon layer on top of the adhesive bonding
deposited with electroplating, electroless plating, sputtering, material on the target wafer as illustrated in Fig. 15共c兲. After
metal evaporation, or chemical-vapor deposition 共CVD兲 of the monocrystalline silicon film is transferred from the sac-
metal. If the free-hanging membrane structures are needed, rificial SOI wafer to the target wafer, it is patterned to define
the polymer adhesive can be sacrificially removed using dry the micromirror membranes as shown in Fig. 15共d兲. Next,
etching as shown in Fig. 14共f兲. To fabricate more complex the electrical and mechanical via connectors between the
transducer devices, also a sandwich of several patterned and gold posts and the mirror membranes are formed by electro-
unpatterned materials can be transferred from the sacrificial plating another 0.8 ␮m of gold on top of the posts as illus-
device wafer to the target wafer using this trated in Fig. 15共e兲. Thus, the silicon micromirror mem-
technique.13,71,73,75,76,147 branes are joined and mechanically locked to the posts.
Transfer bonding of films, devices, or membranes en- Finally, the adhesive bonding material under the membranes
ables the integration of electronic circuits and transducers is sacrificially removed to free the monocrystalline silicon
that are fabricated with incompatible techniques such as micromirrors as shown in Fig. 15共f兲. Figure 16共a兲 shows a
standard CMOS technology, III–V technologies 关gallium ar- SEM image of a transfer-bonded monocrystalline silicon mi-
senide 共GaAs兲, indium phosphide 共InP兲兴 and high- cromirror arrays with 4 ⫻ 4 pixels.13,107,112 The micromirrors
temperature deposition and annealing techniques. Transfer consist of very flat, uniform, and low stress monocrystalline
bonding is used for the fabrication and integration of the silicon membranes. They measure 16⫻ 16 ␮m2, the mirror
optical transducer arrays on standard CMOS wafers, i.e., un- hinges are 4 ␮m long, 0.6 ␮m wide, and the mirror posts
cooled infrared focal plane arrays 共e.g., bolometer detectors, measure 3 ⫻ 3 ␮m2.
pyroelectric detectors兲,13,71,73,147 optical transducer systems Figure 16共b兲 shows transfer-bonded uncooled infrared
共e.g., spatial light modulators, tunable vertical coupled sur- bolometer arrays that have been fabricated using a similar
face emitting lasers兲,13,106,111,214 and imaging systems and la- process flow as one for the miromirror arrays.13,73,75,147 The
ser systems.37,38,46,103,136,137 Transfer bonding of films and transfer-bonded uncooled infrared bolometers are made of a
devices is also used to process material films 共e.g., single- multiplayer structure with boron-doped polycrystalline sili-
crystalline silicon, gallium arsenide, etc.兲 on the front- and con as the temperature sensing material. The bolometers
backside13,34,46,49,68,71,76 and to transfer high-frequency com- have a pitch size of 40⫻ 40 ␮m2 and smallest feature size of
ponents to new, low-loss 1 ␮m.
substrates.15,39,40,42,47,130,131,135,154,209
Figure 15 shows an example for the process flow for
fabrication of monocrystalline silicon micromirrors using C. Temporary adhesive bonding for film and device
transfer using handling wafer
membrane transfer bonding.13,107,112 In this process flow, a
1-␮m-thick gold 共Au兲 layer is evaporated onto a silicon wa- Temporary adhesive wafer bonding can be used for
fer 共target wafer兲, which is then covered with a 0.5-␮m-thick transferring thin films or devices from one substrate to an-
plasma-enhanced chemical-vapor deposited 共PECVD兲 sili- other substrate with the help of an intermediate handling
con nitride layer and a 0.15-␮m-thick sputtered aluminum wafer. Thus, the thin films or devices are in a first step trans-
共Al兲 layer. The top aluminum layer is patterned to define the ferred from the original substrate to an intermediate handling
addressing electrodes of the micromirrors and the connecting wafer 共often a glass wafer兲 using adhesive wafer bonding

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-20 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

FIG. 16. SEM image of 共a兲 free-hanging monocrystalline silicon micromir-


rors and 共b兲 free-hanging uncooled infrared bolometers.

and then transferred from the handling wafer to the final


target wafer using any bonding technique of choice, includ- FIG. 17. Process flow showing a cross section of the basic cantilever-
transfer processing steps 共Refs. 123 and 163兲.
ing metal-to-metal bonding and adhesive bonding. The thin
films or devices are released from the handling wafer by
debonding the adhesive bond between the handling wafer electrical connection path between the cantilever and the
and the thin film or devices. Two main reasons for the use of driving/sensing integrated analog electronics. The cantilever
an intermediate handling wafer are: 共1兲 when a transparent itself is fabricated in the silicon membrane of an SOI wafer.
intermediate handling wafer 共e.g., a glass wafer兲 is used, the The first step is the lamination of the wafer on a glass wafer
wafer-to-wafer alignment and alignment testing procedures using adhesive wafer bonding. Next, the silicon wafer is re-
can be simplified because a optical microscopy can be used moved by grinding to the final tens of micrometer, then by
to look at corresponding alignment keys at the bond interface plasma etching to stop on the SiO2 layer. The SiO2 layer is
during alignment of the wafers:123,163 共2兲 when transferring subsequently wet etched with buffered hydrofluoric acid
the thin films or devices to an intermediate handling wafer, 共BHF兲. Backside processing is performed to define a metal
they can be processed on the backside and the backside of pad for the electrical interconnection as well as a polyimide
the thin films and devices can be contacted to the frontside of via. On the CMOS wafer side, a copper/tin stud is electro-
the target wafer.123,163 plated. Metal/solder studs based on a copper/tin alloy are
Figure 17 shows an example of a process flow that uses selected because the alloy-formation temperature
adhesive wafer bonding and a temporary handling wafer for 共⬍300 ° C兲 is compatible with the process and the studs are
fabrication of a scanning-probe array on the CMOS-based stable after the alloying process. The CMOS and the cantile-
driving electronics. The goal is to transfer the cantilever ar- vers wafer are laminated together, using the stud/polyimide
ray on a dedicated CMOS chip in order to have a very short via as interlock feature to provide the high alignment accu-

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-21 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

FIG. 18. SEM closeup of a transferred cantilever array 共Refs. 123 and 163兲.

racy as shown in Fig. 17 共II兲 共I兲. The debonding of the ad-


hesive bond to the temporary glass handling wafer is per-
formed by laser ablation and finally, the polymers are etched
away by oxygen plasma to release the cantilevers. Figure 18
shows a section of the transferred cantilever array with a
density of about 100 cantilevers per mm2; the cantilevers are
typically 70 ␮m long and 500 nm thick, with a sub-20 nm
radius tip. A similar transfer technique as the one described
in Fig. 17 with an intermediate handling wafer has been used
for fabricating 3D-ICs.123,163
Figure 19 shows a process flow using adhesive wafer
bonding and a temporary handling wafer for the fabrication
of thin-film solar cells.81 The process flow starts with the
adhesive wafer bonding of the fabricated solar cell wafer to
an intermediate glass handling wafer. This is to transfer the
active thin silicon film with its backside to a near-Lambertian FIG. 19. Fabrication process of a thin-film solar cell. 共a兲 SOI wafer, 共b兲
aluminum ceramic substrate. The SOI wafers are used as the fabricated cell on the SOI wafer, 共c兲 attachment of glass and etching of
silicon solar cell base material. A boron-doped p+ layer and supporting substrate and SiO2 of SOI wafer, and 共d兲 thin-film cell by adher-
ing the Al2O3 substrate 共adapted from Ref. 81兲.
phosphorous-doped n+ layers are formed by thermal diffu-
sion, followed by thermal growth of a 110-nm-thick SiO2
film at 950 ° C. Then, an emitter electrode and a base elec- are available.228,229 WLP has advantages over the chip-level
trode are formed by aluminum evaporation as shown in Fig. packaging as the wafer-level packaging enables highly par-
19共b兲. After fabricating the cell on the SOI layer, the cell is allel wafer processes, while allowing complex three-
adhesively bonded to an intermediate glass handling wafer. dimensional system designs. Another inherent advantage of
The supporting SOI substrate and the buried oxide layer are the wafer-level packaging, sometimes referred to as zero-
etched, leaving the 10-␮m-thick silicon layer on the adhesive level packaging,142,143,160,189 is that the packages can protect
layer on top of the intermediate glass handling wafer as the transducers and circuits during the wafer dicing process.
shown in Fig. 19共c兲. Next, an aluminum ceramic substrate is Processing techniques that are typically used in wafer-level
attached to the silicon layer with adhesive wafer bonding. packaging are thin-film deposition processes229 and various
Finally, the intermediate glass handling wafer is debonded as
wafer bonding processes.4–6,13,16,25,36,56,65,77,87–89,91
shown in Fig. 19共d兲. 93–95,108,113,114,133,142–144,152,168–170,194,208,210,219
Adhesive wafer
bonding is one very attractive candidate for the wafer-level
D. Wafer-level packaging
packaging applications since it is CMOS compatible, low-
Packaging is an important manufacturing step for com- cost, and relatively insensitive to wafer surface conditions.
mercial electronic, MEMS, MOEMS, and sensor systems. Figure 20 shows a few alternatives of using polymer
Typically, at least some part of the packaging is done on chip bonding to create cavities and surface protection for wafer-
level and a huge variety of chip-level packaging techniques level packaging applications. The choice of wafer material

FIG. 20. Fabrication methods for mi-


crocavities and component protection
in wafer-level packaging applications
共Ref. 13兲.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-22 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

FIG. 21. Fabrication scheme for hermetically sealed packages using adhe-
sive wafer bonding in combination with an additional diffusion barrier ma-
terial 共Refs. 13 and 152兲.

for these packages is practically unrestricted. The packages


in Figs. 20共a兲 and 20共e兲 use adhesive wafer bonding with a
self-contained polymer film, and the packages in Figs.
20共b兲–20共d兲 use a localized adhesive wafer bonding with a
patterned polymer adhesive. Cavities that extend into the wa- FIG. 22. 共a兲 Wafer-level fabrication of cavities 共Ref. 189兲 and 共b兲 wafer-
fer material, as shown in Figs. 20共a兲 and 20共b兲, can be cre- level package for CMOS imaging sensors with through wafer contacts
ated with standard etching techniques such as DRIE or wet 共Refs. 56 and 61兲.
etching. The package shown in Fig. 20共c兲 consists of dis-
tance holders that may be a patterned metal, polymer, or wafer-level fabrication of hermetically sealed packages using
another patterned material. The distance holder is then cov- adhesive wafer bonding.13,16,94,152 The top wafer of one of
ered with the adhesive material. In the package shown in Fig. the packages from Fig. 20 is diced and/or etched in a way
20共d兲, the polymer layer acts at the same time as both the that the polymer adhesive at the bond interface is exposed at
polymer adhesive and as spacer material between the two the chip edges as shown in Fig. 21共b兲. A diffusion barrier
wafer surfaces. The package schemes shown in Fig. 20 can material is deposited on top of the polymer adhesive to her-
be combined with each other in various ways and for all metically seal the chip packages as shown in Fig. 21共c兲.
packages the adhesive bonding layer can be present on both Chemical-vapor deposited metals, a preferred diffusion bar-
wafer surfaces. rier layer, provide complete and full conformal coating of the
To establish electrical contacts between the components polymer adhesive. For cavities that are fabricated using pat-
inside a package and the outside world, feed-through con- terned BCB as the polymer adhesive, the atmosphere inside a
tacts can be used that are placed underneath the bond line or cavity adapts to the outside atmosphere within seconds.88,93
that are embedded in one of the substrates. To access the Thus, if a package is placed in vacuum, the surrounding
bond pads of the feed-through lines on the wafer surface, the vacuum will also be present inside the package after a short
top capping wafer is diced and/or etched after the wafer time. This effect can be used to obtain defined atmospheres
bonding.13,16,77,87,95,108,114,159,165,166 Another method to pro- inside cavities by depositing the diffusion barrier material at
vide an electrical contact between the inside and the outside the desired atmospheric condition. The cavity is sealed and
of a package is to use through-wafer contacts. Through- the predefined gas pressure or vacuum is preserved inside the
wafer contacts are fabricated by drilling or etching via cavity.
through-holes in the wafer. The holes are then filled with Adhesive wafer bonding is used for wafer-level packag-
electrically conducting materials.56,61 ing and capping of electronics,15,47,133,194 CMOS imaging
For many applications, hermetically sealed packages are chips,56,61 radio-frequency 共RF兲
necessary. These include components for military and other devices,13,16,77,87,88,91,94,95,108,113,114,142–144,152,210 and MEMS
high-end applications as well as transducers that are moisture devices and MOEMS
sensitive or that have to be operated in a controlled atmo- device.13,16,77,88,89,93,94,108,113,114,152,168–170,194 Figure 22共a兲
sphere or vacuum. Polymer adhesives are generally perme- shows an example of wafer-level packages for radio-
able to gases and moisture as depicted in Fig. 4.22 Thus, they frequency devices189 and Fig. 22共b兲 shows an example of
are typically not suitable as the diffusion barriers in hermetic wafer-level package for the CMOS imaging sensors.56,61 Fig-
sealing applications. To benefit from the advantages of poly- ure 23 shows an example of a LCoS chip that is manufac-
mer adhesive wafer bonding while obtaining hermetically tured using localized adhesive wafer bonding as shown in
sealed packages, an additional diffusion barrier is required. Fig. 20共d兲 and described in Sec. IV B 2. Liquid crystal on
Figure 21 shows a concept of one possible process flow for silicon chips make up high-resolution displays. The most at-

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-23 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

FIG. 23. Wafer-level fabrication of cavities for liquid crystal on silicon


共LCoS兲 components 共Ref. 50兲.

tractive application area of liquid crystal on silicon chips is


as fast, high-resolution reflective light modulators for projec-
tion displays.50,51

E. Fabrication of microfluidic and bioMEMS


components
Microfluidic systems have been used in the past for ap-
plications such as inkjet print heads172 and device cooling.
The recent, rapid development of microfluidic systems for
use in micrototal analysis systems 共␮Tas兲, microchemical FIG. 24. Fabrication methods for fluidic channels and devices with adhesive
synthesis applications, and bioMEMS, has triggered the need wafer bonding 共Refs. 72 and 186兲.
for fabrication techniques of microfluidic channels, devices,
and systems. the combination of excessive temperatures and strong elec-
Adhesive wafer bonding is an attractive candidate that is tric fields. The hydrophobic valves are fabricated as follows:
increasingly used for sealing of microfluidic channels and The 50– 100 ␮m-wide and 50-␮m-deep channels are formed
components since it can provide low-temperature bonding by deep reactive ion etching of a silicon wafer as shown in
and even to room-temperature bonding, which is compatible
with many temperature sensitive biochemical agents. The
methods for the fabricating cavities described in Sec. VI D
and shown in Figs. 20共a兲–20共d兲 can be used to manufacture
microfluidic channels and components. The manufacturing
of microfluidic channels and devices has been demonstrated
using various adhesive materials and bonding
schemes.13,16,54,58,60,66,72,74,79,80,85,111,122,132,148–151,155,157,171,173
184,185,191,211,216,218,220,221
The most commonly used substrate
materials for the fabrication of microfluidic systems are sili-
con, glass, and plastics. Microfluidic systems may also be
integrated with electronic circuits and optical components for
the applications such as on-chip signal analysis of large
amounts of signal data in micrototal analysis systems.186 Fig-
ure 24 shows examples of a filter chamber72 and a lab-on-a-
chip device with integrated optical sensors.186 Adhesive wa-
fer bonding can be used to seal microchannels in compact
disk 共CD兲 based analytical chemistry systems.
Figure 25 shows an example of manufacturing of micro-
fluidic channels that include hydrophobic patches sealed us-
ing adhesive wafer bonding. Thus, hydrophobic valves are
created in the sealed channels that can stop capillary filling
of the channels.13,60,72,74 The progressing mensicus of a liq-
uid inside the channel is stopped at the hydrophobic patch.
The hydrophobic patch can be overrun with the liquid when
an external pressure drop is applied between the channel in-
let and the channel outlet. Alternative bonding methods such
as anodic bonding cannot be used for sealing the channels
since they cause damage to the hydrophobic patches due to FIG. 25. Process flow for the fabrication of hydrophobic valves 共Ref. 74兲.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-24 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

Fig. 25共a兲. A thick photoresist is applied and openings are adhesive wafer bonding in combination with thin-film depo-
patterned as illustrated in Fig. 25共b兲. The polymer for the sition, which can provide hermetically sealed packages.
hydrophobic patches is deposited using a plasma process The recent, rapid development of microfluidic systems
with octafluorcyclobutane 共C4F8兲 gas. 74 A lift-off process by for use in micrototal analysis systems 共␮Tas兲, microchemical
stripping the thick photoresist leaves a patterned polymer synthesis applications, and bioMEMS has accelerated the use
film inside the channels. The fluidic channels with the hydro- of adhesive wafer bonding for manufacturing of channels
phobic valves and the connected fluidic devices are then and microfluidic components. For these applications, adhe-
sealed by bonding a glass lid on top of the silicon wafer sive wafer bonding is one of the most attractive and most
using a self-contained intermediate adhesive layer 共e.g., easy to use manufacturing technologies, while compatible
BCB兲 as shown in Fig. 25共c兲. with many materials used in such systems.
Adhesive wafer bonding is a generic CMOS compatible
technology that provides unique possibilities for fabrication
and improvement of microsystems. Process schemes and pa-
VII. FUTURE DEVELOPMENTS AND CONCLUSIONS
rameters for adhesive wafer bonding with the self-contained
Three-dimensional integrated circuit 共3D-IC兲 technology intermediate polymer films and with the photolithographi-
was proposed in the International Technology Roadmap for cally patterned polymer adhesives 共localized adhesive wafer
Semiconductors 共ITRS兲 in 2003 共Ref. 231兲 as one of the bonding兲 are readily available in literature. Polymer adhe-
possible techniques to reduce global interconnect delays. sives that work specifically well for wafer bonding applica-
Wafer-level three-dimensional integration of electronic cir- tions are epoxylike B-stage polymers 共e.g., BCB, SU8, and
cuits also benefits devices that require a high via density as some negative photoresists兲 and most thermoplastic poly-
connectors in between the layers, such as stacked memories, mers 共e.g., PMMA, copolymers兲. The main advantages of
processors, and imaging components. Aligned wafer-to- adhesive wafer bonding are the insensitivity to surface to-
wafer bonding with dielectric adhesive layers 共e.g., BCB兲 is pography, the low bonding temperatures, the compatibility
one of the most promising approaches for three-dimensional with standard integrated circuit wafer processing and the
integrated circuit manufacturing. If the 3D technology plat- ability to join practically any kind of wafers. Adhesive wafer
forms using adhesive wafer bonding techniques become bonding requires no special wafer surface treatments such as
technologies of choice in integrated circuit manufacturing, planarization. Structures and particles at the wafer surfaces
other application areas of adhesive wafer bonding will can be tolerated and compensated for some extent by the
greatly benefit from the technology advances that can be ex- polymer adhesive.
pected. In conclusion, the underline physics of adhesive wafer
In recent research, a clear trend is to integrate MEMS bonding has been extensively investigated. Various adhesive
and MOEMS components with standard integrated electronic wafer bonding technologies and characterization methodolo-
circuits. If high temperature deposited and high-quality ma- gies are developed. As a simple, robust, and low-cost bond-
terials for the MEMS or MOEMS components shall be inte- ing process, adhesive wafer bonding can be used for many
grated on the integrated circuit wafers, some form of the applications, such as wafer-level 3D-ICs, wafer-level pack-
material transfer on to the integrated circuit wafer has to be aging, and a variety of microsystems that can be integrated
done. Various thin film and device transfer techniques that with CMOS ICs.
are based on adhesive wafer bonding show great promise to
provide the layer transfer in an easy to implement, robust, ACKNOWLEDGMENTS
and cost-efficient way. The first commercial MEMS devices The authors would like to thank their colleagues in the
that use adhesive wafer bonding for material transfer may be 3D group at Rensselaer Polytechnic Institute 共RPI兲 in Troy,
the arrayed devices with a very high via density, such as USA and in the Microsystem Technology Group at Royal
uncooled infrared focal plane arrays, arrays of torsional mi- Institute of Technology 共KTH兲 in Stockholm, Sweden for the
cromirrors, and cantilever scanning-probe arrays. fruitful discussions on the various aspects of the adhesive
Packaging is an important 共in some cases, critical兲 wafer bonding.
manufacturing step for commercial electronic, MEMS,
MOEMS, and sensor systems and a large part of the compo- 1
J. E. Gragg, W. E. McCulley, W. B. Newton, and C. E. Derrington, Pro-
nent costs can be attributed to their packaging. Wafer-level ceedings of IEEE Solid State Sensor and Actuator Workshop, Hilton Head,
packaging is used increasingly as a very promising technol- SC, 1984 共unpublished兲, pp. 21–27.
2
K. Petersen, P. W. Barth, J. Poydock, J. Brown, J. Mallon, and J. Bryzek,
ogy to reduce the total packaging costs. This is specifically Proceedings of IEEE Solid State Sensor and Actuator Workshop, Hilton
true when a wafer is populated with a very large amount of Head, SC, 1988 共unpublished兲, pp. 144–147.
3
small, but similar devices. Thus, all devices on one wafer can M. A. Schmidt, Proc. IEEE 86, 1575 共1998兲.
4
be packaged simultaneously in a single step. Adhesive wafer Q.-Y. Tong and U. Gösele, Semiconductor Wafer Bonding: Science and
Technology 共Wiley, New York, 1999兲.
bonding is one very promising technology that provides ro- 5
S. S. Iyer and A. J. Auberton-Hervé, Silicon Wafer Bonding Technology
bust, low cost, and high yield bonding processes for many for VLSI and MEMS 共INSPEC, London, UK, 2002兲.
6
packaging applications. In many application areas, hermetic M. Alexe and U. Gösele, Wafer Bonding Applications and Technology
共Springer-Verlag, Berlin, 2004兲.
sealing of the components are an important feature. Adhesive 7
D. Sparks, G. Queen, R. Weston, G. Woodward, M. Putty, L. Jordan, S.
wafer bonding typically cannot provide hermetically sealed Zarabadi, and K. Jayakar, J. Micromech. Microeng. 11, 630 共2001兲.
8
packages; however, packaging schemes are available with Y. T. Cheng, L. Lin, and K. Najafi, J. Microelectromech. Syst. 9, 3 共2000兲.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-25 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

9 45
M. M. Maharbiz, M. B. Cohn, R. T. Howe, R. Horowitz, and A. P. Pisano, J. Simon, S. Saffer, and C. J. Kim, J. Microelectromech. Syst. 6, 208
Proceedings of MEMS, Orlando, FL, 1999 共unpublished兲, pp. 482–489. 共1997兲.
10 46
P. Morrow et al., Proceedings of Advanced Metallization Conference, San E. Booth, C. E. Hunt, W. E. Brown, and R. J. Stover, Proceedings of
Diego, CA, 2004 共unpublished兲, pp. 125–130. Semiconductor Wafer Bonding: Science, Technology and Applications,
11
J. Kim, M. Chiao, and L. Lin, Proceedings of MEMS, Las Vegas, NV, Paris, France, 1998 共unpublished兲, Vols. 97–136, pp. 584–591.
2002 共unpublished兲, pp. 415–418. 47
R. Dekker, M. H. W. A. van Deurzen, W. T. A. van der Einden, H. G. R.
12
S. A. Audet and K. M. Edenfeld, Proceedings of Transducers, Chicago, IL, Maas, and A. G. Wagemans, Proceedings of Bipolar/BiCMOS Circuits
1997 共unpublished兲, pp. 287–289. and Technology Meeting, Piscataway, NJ, 1998 共unpublished兲, pp. 132–
13
F. Niklaus, Ph.D. thesis, Royal Institute of Technology, 2002. 135.
14 48
Y. Kwon, Ph.D. thesis, Rensselaer Polytechnic Institute, 2003. G. Klink and B. Hillerich, Proc. SPIE 3514, 50 共1998兲.
15 49
R. Dekker, Ph.D. thesis, University of Technology, 2004. S. R. Sakamoto, C. Ozturk, Y. T. Byun, J. Ko, and N. Dagil, IEEE Photon.
16
J. Oberhammer, Ph.D. thesis, Royal Institute of Technology, 2004. Technol. Lett. 10, 985 共1998兲.
17 50
B. G. Yacobi, S. Martin, K. Davis, A. Hudson, and M. Hubert, J. Appl. P. T. Kazlas, K. M. Johnson, and D. J. McKnight, Opt. Lett. 23, 972
Phys. 91, 6227 共2002兲. 共1998兲.
18 51
B. G. Yacobi and M. Hubert, Adhesive Bonding in Photonics Assembly P. T. Kazlas, K. M. Johnson, Y.-C. Lee, and S. Hareb, Proc. SPIE 3289, 52
and Packaging 共American Scientific, Stevenson Ranch, CA, 2003兲. 共1998兲.
19
C. Nobel, Industrial Adhesives Handbook 共Casco Nobel, Fredensborg, 52
T. Matsumoto, M. Satoh, K. Sakuma, H. Kurino, N. Miyakawa, H. Itani,
Denmark, 1992兲. and M. Koyanagi, Jpn. J. Appl. Phys., Part 1 37, 1217 共1998兲.
20
W. M. Alvino, Plastics for Electronics: Materials, Properties, and Design 53
B. Ilic, P. Neuzil, T. Stanczyk, D. Czaplewski, and G. J. Maclay, Electro-
共McGraw-Hill, New York, 1995兲. chem. Solid-State Lett. 2, 86 共1999兲.
21
E. W. Flick, Adhesives, Sealants and Coatings for the Electronic Industry 54
B. H. Jo and D. J. Beebe, Proc. SPIE 3877, 222 共1999兲.
共Noyes, Park Ridge, 1986兲. 55
I. K. Glasgow, D. J. Beebe, and V. E. White, Sens. Mater. 11, 269 共1999兲.
22
R. K. Traeger, Proceedings of Electronic Components Conference, San 56
A. Badihi, Proceedings of Advanced Packaging Materials: Processes,
Francisco, CA, 1976 共unpublished兲, pp. 361–367. Properties and Interfaces, Braselton, GA, 1999 共unpublished兲, pp. 236–
23
N. P. Pham, E. Boellaard, J. N. Burghartz, and P. M. Sarro, J. Microelec- 240.
tromech. Syst. 13, 491 共2004兲. 57
H. Nguyen, P. Patterson, H. Toshiyoshi, and M. C. Wu, Proceedings of
24
S. J. Limb, C. B. Labelle, K. K. Gleason, D. J. Edell, and E. F. Gleason,
MEMS, Miyazaki, Japan, 2000 共unpublished兲, pp. 628–632.
Appl. Phys. Lett. 68, 2810 共1996兲. 58
25 A. Han, K. W. Oh, S. Bhansali,H. T. Henderson, and C. H. Ahn, Proceed-
R. L. Smith and S. D. Collins, IEEE Trans. Electron Devices 35, 787
ings of MEMS, Miyazaci, Japan, 2000 共unpublished兲, pp. 414–418.
共1988兲. 59
26 F. Niklaus, P. Enoksson, E. Kälvesten, and G. Stemme, Proceedings of
A. A. Shores, Proceedings of Electronic Components Conference, Hous-
MEMS, Miyazaki, Japan, 2000 共unpublished兲, pp. 247–252.
ton, TX, 1989 共unpublished兲, pp. 891–895. 60
27 F. Niklaus, H. Andersson, P. Enoksson, and G. Stemme, Proceedings of
C. den Besten, R. E. G. van Hal, J. Munoz, and P. Bergveld, Proceedings
Eurosensors, Copenhagen, Denmark, 2000 共unpublished兲, pp. 243–244.
of MEMS, Travemunde, Germany, 1992 共unpublished兲, pp. 104–109. 61
28 A. Badihi, IEEE Trans. Adv. Packag. 23, 212 共2000兲.
W. P. Eaton, S. H. Risbud, and R. L. Smith, Appl. Phys. Lett. 65, 439 62
共1994兲. J.-Q. Lu et al., Proceedings of Advanced Metallization Conference, San
29
S. K. Malik, R. Srinath, R. A. Pearson, R. Kodnani, J. Dzwilefsky, and A. Diego, CA, 2000 共unpublished兲, pp. 515–521.
63
Call, Proc. SPIE 2649, 130 共1995兲. Y. Ma, G. Chang, S. Park, L. Wang, and S. T. Ho, IEEE Photonics Tech-
30
G. A. C. M. Spierings, J. Haisma, and F. J. H. M. van der Kruis, Philips J. nol. Lett. 12, 1495 共2000兲.
64
Res. 49, 139 共1995兲. C. Colinge, B. Roberds, and B. Doyle, J. Electron. Mater. 30, 841 共2001兲.
65
31
V. L. Spiering, J. W. Berenschot, M. Elwenspoek, and J. H. J. Fluitman, J. T.-K. A. Chou and K. Najafi, Proceedings of Transducers, Munich, Ger-
Microelectromech. Syst. 4, 151 共1995兲. many, 2001 共unpublished兲, pp. 1570–1573.
66
32
P. Arquint, P. D. van der Wal, B. H. van der Schoot, and N. F. de Rooij, R. J. Jackman, T. M. Floyd, R. Ghodssi, M. A. Schmidt, and K. F. Jensen,
Proceedings of Transducers, Stockholm, Sweden, 1995 共unpublished兲, pp. J. Micromech. Microeng. 11, 263 共2001兲.
67
263–264. J. C. Selby, M. A. Shannon, K. Xu, and J. Economy, J. Micromech.
33
E. Booth, C. E. Hunt, W. E. Brown, and R. J. Stover, Proceedings of Microeng. 11, 672 共2001兲.
68
Semiconductor Wafer Bonding: Science, Technology and Applications, P. P. Absil, J. V. Hryniewicz, B. E. Little, F. G. Johnson, K. J. Ritter, and
Pennington, NJ, 1995 共unpublished兲, Vol. 95–97, pp. 201–211. P.-T. Ho, IEEE Photonics Technol. Lett. 13, 49 共2001兲.
69
34
M. V. Weckwerth, J. A. Simmons, N. E. Harff, M. E. Sherwin, M. A. G.-D. J. Su, H. Toshiyoshi, and M. C. Wu, IEEE Photonics Technol. Lett.
Blount, W. E. Baca, and H. C. Chui, Superlattices Microstruct. 20, 561 13, 606 共2001兲.
70
共1996兲. F. Niklaus, P. Enoksson, E. Kälvesten, and G. Stemme, J. Micromech.
35
M. O. Horo, J. O. Neil, E. Peeters, and S. Vandebroek, Proceedings of Microeng. 11, 100 共2001兲.
71
Eurosensors, Leuven, Belgium, 1996 共unpublished兲, pp. 431–435. F. Niklaus, P. Enoksson, P. Griss, E. Kälvesten, and G. Stemme, J. Micro-
36
A. B. Frazier, Proceedings of Midwest Symposium on Circuits and Sys- electromech. Syst. 10, 525 共2001兲.
72
tems, Rio de Janeiro, Brazil, 1996 共unpublished兲, pp. 505–508. F. Niklaus, H. Andersson, P. Enoksson, and G. Stemme, Sens. Actuators, A
37
S. Matsuo, T. Nakahara, K. Tateno, and T. Kurokawa, IEEE Photonics 92, 235 共2001兲.
73
Technol. Lett. 8, 1507 共1996兲. F. Niklaus, E. Kälvesten, and G. Stemme, J. Micromech. Microeng. 11,
38
S. Matsuo, K. Tateno, T. Nakahara, and T. Kurokawa, Electron. Lett. 33, 509 共2001兲.
74
1148 共1997兲. H. Andersson, W. van der Wijngaart, P. Griss, F. Niklaus, and G. Stemme,
39
S. Van der Groen, M. Rosmeulen, P. Jansen, K. Baert, and L. Deferm, Sens. Actuators B 75, 136 共2001兲.
75
Proceedings of Transducers, Chicago, IL, 1997 共unpublished兲, pp. 629– F. Niklaus, E. Kälvesten, and G. Stemme, Proceedings of AeroSense, Or-
632. lando, FL, 2001 共unpublished兲.
40 76
S. Van der Groen, M. Rosmeulen, K. Baert, P. Jansen, and L. Deferm, J. F. Niklaus, P. Enoksson, P. Griss, E. Kälvesten, and G. Stemme, Proceed-
Micromech. Microeng. 7, 108 共1997兲. ings of Transducers, Munich, Germany, 2001 共unpublished兲, pp. 1594–
41
I. B. Kang, M. Manda, A. Hariz, N. D. Samaan, and M. R. Haskard, 1597.
77
Proceedings of Australian Microelectronics Conference, Melbourne, Aus- J. Oberhammer, F. Niklaus, and G. Stemme, Proceedings of MME, Cork,
tralia, 1997 共unpublished兲, pp. 129–133. Ireland, 2001 共unpublished兲, pp. 54–57.
42 78
R. Dekker, P. Baltus, M. Van Deurzen, W. van der Einden, H. Maas, and S. K. Sampath, L. St. Clair, W. Xingtao, D. V. Ivanov, Q. Wang, C. Ghosh,
A. Wagemans, Proceedings of International Electron Devices Meeting, and K. R. Farmer, Proceedings of Microelectronics Symposium, Rich-
Washington, DC, 1997 共unpublished兲, pp. 921–923. mond, VA, 2001 共unpublished兲, pp. 158–161.
43 79
P. M. Sailer, P. Singhal, J. Hopwood, D. R. Kaeli, P. M. Zavracky, K. Y.-C. Su and L. Lin, IEEE Proceedings of MEMS, Interlaken, Switzerland,
Warner, and D. P. Vu, IEEE Circuits Devices Mag. 13, 27 共1997兲. 2001 共unpublished兲, pp. 50–53.
44 80
J. Simon, L. S. Huang, B. Sridharan, and C. J. Kim, Proceedings of Z. Huang, J. C. Sanders, C. Dunsmor, H. Ahmadzadeh, and J. P. Landers,
MEMS, Dallas, TX, 1997 共unpublished兲, Vol. 62, pp. 29–34. Electrophoresis 22, pp. 3924 共2001兲.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-26 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

81 115
H. Takato and R. Shimokawa, IEEE Trans. Electron Devices 48, 2090 V. Dragoi, T. Glinsner, G. Mittendorfer, B. Wieder, and P. Lindner, Proc.
共2001兲. SPIE 5116, 160 共2003兲.
82 116
J.-Q. Lu, Y. Kwon, R. P. Kraft, R. J. Gutmann, J. F. McDonald, and T. S. J.-Q. Lu, A. Jindal, Y. Kwon, J. J. McMahon, M. Rasco, R. Augur, T. S.
Cale, IEEE Proceedings of the International Interconnect Technology Con- Cale, and R. J. Gutmann, IEEE Proceedings of IITC, Burlingame, KS,
ference, Burlingame, KS, 2001 共unpublished兲, pp. 219–221. 2003 共unpublished兲, pp. 74–76.
83 117
R. J. Gutmann, J.-Q. Lu, Y. Kwon, J. F. McDonald, and T. S. Cale, IEEE A. Jindal et al., Mater. Res. Soc. Symp. Proc. 766, 21 共2003兲.
118
Proceedings of Polymers and Adhesives in Microelectronics and Photon- Y. Kwon, A. Jindal, J. J. McMahon, J.-Q. Lu, R. J. Gutmann, and T. S.
ics, Potsdam, Germany, 2001 共unpublished兲, pp. 173–180. Cale, Mater. Res. Soc. Symp. Proc. 766, 27 共2003兲.
84 119
J.-Q. Lu et al., Proceedings of Advanced Metallization Conference, Mon- R. J. Gutmann, J.-Q. Lu, J. J. McMahon, P. D. Persans, T. S. Cale, E. T.
treal, Canada, 2001 共unpublished兲, pp. 151–157. Eisenbraun, J. Castracane, and A. E. Kaloyeros, Proceedings of Nano-
85
H. van der Linden, W. Olthuis, and P. Bergveld, Proceedings of Nanotech- technology Conference, San Francisco, CA, 2003 共unpublished兲, Vol. 1,
nology, Montreux, Switzerland, 2001 共unpublished兲. pp. 530–533.
86 120
X. Wang, L.-H. Lu, and C. Liu, IEEE Proceedings of MEMS, Interlaken, R. J. Gutmann et al., Proceedings of AMC, Montreal, Canada, 2003 共un-
Switzerland, 2001 共unpublished兲, pp. 126–130. published兲, pp. 19–26.
87 121
H. A. C. Tilmans et al., Proceedings of Electron Devices Meeting, Wash- T.-J. Hwang, D. O. Popa, J.-Q. Lu, B.-H. Kang, and H. E. Stephanou,
ington, DC, 2001 共unpublished兲, pp. 41.4.1–41.4.4. Proceedings of IPACK, Hawaii, 2003 共unpublished兲.
88 122
A. Jourdain, P. de Moor, S. Pamidighantam, and H. A. C. Tilmans, Pro- B. Bilenberg, T. Nielsen, D. Nilsson, B. Clausen, and A. Kristensen,
ceedings of MEMS, Las Vegas, NV, 2002 共unpublished兲, pp. 677–680. Proceedings of Eurosensors, Guimaraes, Portugal, 2003 共unpublished兲,
89
C.-T. Pan, H. Yang, S.-C. Shen, M.-C. Chou, and H.-P. Chou, J. Micro- pp. 486–489.
mech. Microeng. 12, 611 共2002兲. 123
M. Despont, U. Drechsler, R. Yu, H. B. Pogge, and P. Vettiger, Proceed-
90
A. Bayrashev and B. Ziaie, Proceedings of MEMS, Las Vegas, NV, 2002 ings of Transducers, Boston, MA, 2003 共unpublished兲, Vol. 21, pp. 1907–
共unpublished兲, pp. 419–422. 1910.
91
Y.-K. Park et al., Proceedings of MEMS, Las Vegas, NV, 2002 共unpub- 124
M. Feil, C. Adler, G. Klink, M. König, C. Landesberger, S. Scherbaum,
lished兲, pp. 681–684. G. Schwinn, and H. Spöhrle, Microsyst. Technol. 9, 176 共2003兲.
92 125
K. W. Oh, A. Han, S. Bhansali, and C. H. Ahn, J. Micromech. Microeng. H. S. Kim and K. Najafi, Proceedings of Transducers, Boston, MA, 2003
12, 187 共2002兲. 共unpublished兲, Vol. 1, pp. 790–793.
93 126
C. Fu, T. Koller, R. Ahrens, Z. Rummler, and W. K. Schomburg, Proceed- C. Fu, Z. Rummler, and W. Schomburg, J. Micromech. Microeng. 13, 96
ings of Eurosensors, Prague, Czech Republic, 2002 共unpublished兲, pp. 共2003兲.
127
149–150. V. Loryuenyong, T. Sands, and N. W. Cheung, Mater. Res. Soc. Symp.
94
J. Oberhammer, F. Niklaus, and G. Stemme, Proceedings of Eurosensors, Proc. 768, 123 共2003兲.
Prague, Czech Republic, 2002 共unpublished兲, pp. 298–299. 128
A. Bayrashev and B. Ziaie, Sens. Actuators, A 103, 16 共2003兲.
95 129
M. Goetz and C. Jones, IEEE Proceedings of Electronics Manufacturing Y.-S. Choi, J.-S. Park, H.-D. Park, Y.-H. Song, J.-S. Jung, and S.-G.
Technology Symposium, San Jose, CA, 2002 共unpublished兲, pp. 63–66. Kang, Sens. Actuators, A 108, 201 共2003兲.
96 130
J.-Q. Lu et al., IEEE Proceedings of International Interconnect Technology P. M. Mendes, A. Polyakov, M. Bartek, J. N. Burghartz, and J. H. Cor-
Conference, Burlingame, KS, 2002 共unpublished兲, pp. 78–80. reia, Proceedings of European Microwave Conference, Munich, Ger-
97
Y. Kwon, J.-Q. Lu, R. P. Kraft, J. F. McDonald, R. J. Gutmann, and T. S. many, 2003 共unpublished兲, Vol. 2, pp. 723–266.
131
Cale, Proceedings of Polymer Interfaces and Thin Films Symposium, Bos- P. M. Mendes, M. Bartek, J. N. Burghartz, and J. H. Correia, IEEE Pro-
ton, MA, 2002 共unpublished兲, pp. 231–236. ceedings of Antennas and Propagation Society International Symposium,
98
K. W. Guarini et al. Proceedings of International Electron Devices Meet- Columbus, OH, 2003 共unpublished兲, Vol. 2, pp. 667–670.
ing, San Francisco, CA, 2002 共unpublished兲, pp.943–945. 132
P. Turmezei, A. Polyakov, J. R. Mollinger, M. Bartek, A. Bossche, and J.
99
V. Dragoi, T. Glinsner, G. Mittendorfer, M. Wimplinger, and P. Lindner, N. Burghartz, Proceedings of Transducers, Boston, MA, 2003 共unpub-
Proceedings of International Semiconductor Conference, Sinaia, Romania, lished兲, Vol. 1, pp. 107–110.
2002 共unpublished兲, Vol. 2, pp. 331–334. 133
A. Polyakov, A. Bartek, and J. N. Burghartz, Proceedings of Polytronic,
100
Y. Awatani, Y. Matsumoto, and K. Kato, IEEE Proceedings of Interna- Montreaux, Switzerland, 2003 共unpublished兲, pp. 119–122.
tional Conference on Optical MEMS, Lugano, Switzerland, 2002 共unpub- 134
Y. Matsumoto, Y. Awatani, and K. Kato, Trans. Inst. Electr. Eng. Jpn.,
lished兲, pp. 137–138. Sect. E 123, 255 共2003兲.
101 135
Y. S. Choi, J. S. Park, J. H. Hwang, W. S. Hong, H. D. Park, Y. H. Song, R. Dekker et al., IEEE Proceedings of International Electron Devices
and S. G. Kang, Proceedings of Pacific Rim Workshop on Transducers Meeting, Washington, DC, 2003 共unpublished兲, pp. 15.4.1–15.4.4.
and Micro/Nano Technologies, Xiamen, China, 2002 共unpublished兲, pp. 136
A. Katsnelson, V. E. Tokranov, M. Yakimov, M. Lamberti, and S. Okty-
147–150. abrsky, Proc. SPIE 4997, 198 共2003兲.
102 137
D. J. Mould and J. C. Moore, Proceedings of GaAs MANTECH Confer- A. Katsnelson, V. E. Tokranov, M. Yakimov, M. Lamberti, and S. Okty-
ence, San Diego, CA, 2002 共unpublished兲, pp. 109–112. abrsky, Mater. Res. Soc. Symp. Proc. 741, 123 共2003兲.
103 138
F. Sarvar, D. A. Hutt, and D. C. Whalley, IEEE Proceedings of Poly- J. Oberhammer, B. Lindmark, and G. Stemme, IEEE Proceedings of
tronic, Zalaegerszeg, Hungary, 2002 共unpublished兲, pp. 22–28. IMOC, Foz do Iguacu, Brazil, 2003 共unpublished兲, pp. 537–540.
104 139
H. Noh, P. J. Hesketh, and G. C. Frye-Mason, J. Microelectromech. Syst. R. Truckenmüller, P. Henzi, D. Herrmann, V. Saile, and W. K. Schom-
11, 718 共2002兲. burg, IEEE Proceedings of Design, Test, Integration and Packaging of
105
J.-Q. Lu et al., Proceedings of VMIC, 2002 共unpublished兲, pp. 445–454. MEMS/MOEMS, Cannes, France, 2003 共unpublished兲, pp. 265–267.
106 140
F. Niklaus, P. Enoksson, E. Kälvesten, and G. Stemme, Sens. Actuators, A Y. Lu, J. P. Yang, J. Chen, and S. X. Chen, IEEE Trans. Magn. 39, 2240
107, 273 共2003兲. 共2003兲.
107 141
F. Niklaus, S. Haasl, and G. Stemme, J. Microelectromech. Syst. 12, 465 S.-C. Wang, C.-F. Yeh, C.-K. Huang, and Y.-T. Dai, Jpn. J. Appl. Phys.,
共2003兲. Part 2 42, L1044 共2003兲.
108 142
J. Oberhammer, F. Niklaus, and G. Stemme, Sens. Actuators, A 105, 297 A. Jourdain, H. Ziad, P. De Moor, and H. A. C. Tilmans, IEEE Proceed-
共2003兲. ings of Design, Test, Integration and Packaging of MEMS/MOEMS,
109
S. Li, C. B. Freidhoff, R. M. Young, and R. Ghodssi, J. Micromech. Cannes, France, 2003 共unpublished兲, pp. 239–244.
Microeng. 13, 732 共2003兲. 143
A. Jourdain, X. Rottenberg, G. Carchon, and H. A. C. Tilmans, Proceed-
110
J. Wang, J. Engel, and C. Liu, J. Micromech. Microeng. 13, 628 共2003兲. ings of Transducers, Boston, MA, 2003 共unpublished兲, Vol. 2, pp. 1915–
111
S. Schlautmann, G. A. J. Besselink, G. R. Prabhu, and R. B. M. Schas- 1918.
foort, J. Micromech. Microeng. 13, 81 共2003兲. 144
G. Carchon, X. Rottenberg, G. Posada, A. Jourdain, H. A. C. Tilmans,
112
S. Haasl, F. Niklaus, and G. Stemme, IEEE Proceedings of MEMS, and W. De Raedt, Proceedings of ESA Workshop on Millimetre Wave
Kyoto, Japan, 2003 共unpublished兲, pp. 271–274. Technology and Applications: Circuits, Systems, and Measurement Tech-
113
F. Niklaus, J. Oberhammer, and G. Stemme, Proceedings of Workshop on niques, Espoo, Finland, 2003 共unpublished兲, pp. 485–490.
MEMS Sensor Packaging, Copenhagen, Denmark, 2003 共unpublished兲. 145
J.-Q. Lu et al., Proceedings of VMIC, Marina Del Rey, CA, 2003 共un-
114
J. Oberhammer and G. Stemme, Proceedings of Transducers, Boston, published兲, pp. 227–236.
MA, 2003 共unpublished兲, pp. 1832–1835. 146
Y. Oya et al., IEEE Proceedings of Electron Devices Meeting, San Fran-

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-27 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

cisco, CA, 2004 共unpublished兲, pp. 31–34. 181


U. Christiaens, D. Van Thourhout, and R. Baets, IEEE Proceedings of
147
F. Niklaus, J. Pejnefors, M. Dainese, M. Häggblad, P.-E. Hellström, U. IPRM, Kagoshima, Japan, 2004 共unpublished兲, pp. 425–429.
Wållgren, and G. Stemme, Proc. SPIE 5406, 521 共2004兲. 182
I. Christiaens, D. Van Thourhout, and R. Baets, Electron. Lett. 40, 560
148
H. Suzuki, Y. Kato-Yamada, H. Noji, and S. Takeuchi, IEEE Proceedings 共2004兲.
of MEMS, Maastricht, The Netherlands, 2004 共unpublished兲, pp. 272– 183
S.-C. Wang, C.-T. Hsu, C.-F. Yeh, and J.-C. Lou, Proc. SPIE 5276, 376
275. 共2004兲.
149 184
C.-H. Lin, S.-K. Hsiung, and G.-B. Lee, IEEE Proceedings of MEMS, P. Svasek, E. Svasek, B. Lendl, and M. Vellekoop, Sens. Actuators, A
Maastricht, The Netherlands, 2004 共unpublished兲, pp. 304–307. 115, 591 共2004兲.
150 185
F. J. Blanco, M. Agirregabiria, J. Garcia, J. Berganzo, M. Tijero, M. T. H.-S. Noh, Y. Huang, and P. J. Hesketh, Sens. Actuators B 102, 78
Arroyo, J. M. Ruano, I. Aramburu, and K. Mayora, J. Micromech. Mi- 共2004兲.
croeng. 4, 1047 共2004兲. 186
S. Balslev, B. Bilenberg, O. Geschke, A. M. Jorgensen, A. Kristensen, J.
151
F. J. Blanco et al., Proc. SPIE 5276, 131 共2004兲. P. Kutter, K. B. Mogensen, and D. Snakenborg, IEEE Proceedings of
152
J. Oberhammer, F. Niklaus, and G. Stemme, Sens. Actuators, A 110, 407 MEMS, Maastricht, The Netherlands, 2004 共unpublished兲, pp. 89–92.
187
共2004兲. J.-Q. Lu, T. S. Cale, and R. J. Gutmann, Proceedings of Dielectrics for
153
A. Katsnelson, V. Tokranov, M. Yakimov, and S. Oktyabrsky, Proceed- Nanosystems: Materials, Science, Processing, Reliability, and Manufac-
ings of Materials, Integration and Packaging Issues for High-Frequency turing, 2004 共unpublished兲, pp. 312–323.
188
Devices Symposium, Boston, MA, 2004 共unpublished兲, pp. 217–222. J.-Q. Lu, S. Devarajan, A. Y. Zeng, K. Rose, and R. J. Gutmann, Mater.
154
P. Coquet, R. Sauleau, K. Shinohara, H. Lhermite, and T. Matsui, Micro- Res. Soc. Symp. Proc. 833, G6.8.1 共2004兲.
189
wave Opt. Technol. Lett. 40, 41 共2004兲. J. Oberhammer and G. Stemme, J. Microelectromech. Syst. 14, 419
155
U. Kloter, H. Schmid, H. Wolf, B. Michel, and D. Juncker, IEEE Pro- 共2005兲.
190
ceedings of MEMS, Maastricht, The Netherlands, 2004 共unpublished兲, M. Agirregabiria, F. J. Blanco, J. Berganzo, M. T. Arroyo, A. Fullaondo,
pp. 745–748. K. Mayora, and J. M. Ruano-Lopez, Lab Chip 5, 545 共2005兲.
156 191
K. Cheung, S. Gawad, and P. Renaud, IEEE Proceedings of MEMS, R.-H. Horng, P. Han, H.-Y. Chen, K.-W. Lin, T.-M. Tsai, and J.-M. Zen,
Maastricht, The Netherlands, 2004 共unpublished兲, pp. 343–346. J. Micromech. Microeng. 15, 6 共2005兲.
157 192
G. Tresset and S. Takeuchi, IEEE Proceedings of MEMS, Maastricht, The Y. Kwon, J. Seok, J.-Q. Lu, T. S. Cale, and R. J. Gutmann, J. Electro-
Netherlands, 2004 共unpublished兲, pp. 25–28. chem. Soc. 152, G286 共2005兲.
158 193
J. M. Ruano et al., IEEE Proceedings of MEMS, Maastricht, The Neth- S. Satyanarayana, R. N. Karnik, and A. Majumdar, J. Microelectromech.
erlands, 2004 共unpublished兲, pp. 121–124. Syst. 14, 392 共2005兲.
159 194
J. Oberhammer and G. Stemme, IEEE Proceedings of MEMS, Maas- A. Polyakov, M. Bartek, and J. N. Burghartz, J. Electron. Packag. 127, 7
tricht, The Netherlands, 2004 共unpublished兲, pp. 637–640. 共2005兲.
160 195
J. Oberhammer and G. Stemme, IEEE Proceedings of MEMS, Maas- S.-C. Wang, C.-F. Yeh, C.-T. Hsu, and J.-C. Lou, J. Electrochem. Soc.
tricht, The Netherlands, 2004 共unpublished兲, pp. 713–716. 152, G227 共2005兲.
161 196
R. Truckenmüller, P. Henzi, D. Herrmann, V. Saile, and W. K. Schom- I. Christiaens, G. Roelkens, K. De Mesel, D. Van Thourhout, and R.
burg, IEEE Proceedings of MEMS, Maastricht, The Netherlands, 2004 Baets, J. Lightwave Technol. 23, 517 共2005兲.
197
共unpublished兲, pp. 761–764. J.-Y. Park, K.-T. Kim, H.-J. Shin, S. Moon, and J. J. Pak, Microsyst.
162
R. Truckenmüller, P. Henzi, D. Herrmann, V. Saile, W. K. Schomburg, Technol. 11, 168 共2005兲.
198
Microsyst. Technol. 10, 372 共2004兲. F. Niklaus et al., Mater. Res. Soc. Symp. Proc. 863, B10.8.1 共2005兲.
163 199
M. Despont, U. Drechsler, R. Yu, H. B. Pogge, and P. Vettiger, J. Micro- J. J. McMahon, F. Niklaus, R. J. Kumar, J. Yu, J.-Q. Lu, and R. J.
electromech. Syst. 13, 895 共2004兲. Gutmann, Mater. Res. Soc. Symp. Proc. 867, W4.4.1 共2005兲.
164 200
S. Pozder et al., IEEE Proceedings of International Interconnect Technol- R. J. Kumar, F. Niklaus, J. Yu, J. J. McMahon, J.-Q. Lu, P. D. Persans,
ogy Conference, Burlingame, KS, 2004 共unpublished兲, pp. 102–104. and R. J. Gutmann, Proceedings of EMC, Santa Barbara, CA, 2005 共un-
165
J. Oberhammer and G. Stemme, J. Microelectromech. Syst. 13, 421 published兲, Vol. 863.
201
共2004兲. C.-H. Lin, J.-M. Lu, and W. Fang, J. Micromech. Microeng. 15, 1433
166
J. Oberhammer and G. Stemme, IEEE Trans. Electron Devices 51, 149 共2005兲.
202
共2004兲. J. J. McMahon, J.-Q. Lu, and R. J. Gutmann, IEEE Proceedings of Elec-
167
D. N. Pascual, Mater. Res. Soc. Symp. Proc. 782, 187 共2004兲. tronic Components and Technology, Lake Buena Vista, FL, 2005 共unpub-
168
H. Noh, K.-S. Moon, A. Cannon, P. J. Hesketh, and C. P. Wong, IEEE lished兲, pp. 331–336.
203
Proceedings of Electronic Components and Technology Conference, Las R. J. Gutmann, J. J. McMahon, S. Rao, F. Niklaus, and J.-Q. Lu, Pro-
Vegas, NV, 2004 共unpublished兲, pp. 924–930. ceedings of IWLPC, San Jose, CA, 2005 共unpublished兲.
169 204
H. Noh, K.-S. Moon, A. Cannon, P. J. Hesketh, and C. P. Wong, J. H. S. Kim, K. Najafi, Proceedings of Transducers, Seoul, Korea, 2005
Micromech. Microeng. 14, 652 共2004兲. 共unpublished兲, pp. 790–793.
170 205
Z.-H. Liang, Y. T. Cheng, W. Hsu, and Y.-W. Lee, IEEE Proceedings of F. Niklaus, R. J. Kumar, J. J. McMahon, J. Yu, J.-Q. Lu, T. S. Cale, and
Electronic Components and Technology Conference, Las Vegas, NV, R. J. Gutmann, J. Electrochem. Soc. 共to be published兲.
206
2004 共unpublished兲, Vol. 2, pp. 1486–1491. S. Bhattacharya, A. Datta, J. M. Berg, and S. Gangopadhyay, J. Micro-
171
B. Bilenberg, T. Nielsen, B. Clausen, and A. Kristensen, J. Micromech. electromech. Syst. 14, 590 共2005兲.
207
Microeng. 14, 814 共2004兲. F. Niklaus, J. J. McMahon, J. Yu, S. H. Lee, J.-Q. Lu, T. S. Cale, and R.
172
R. Nayve, M. Fujii, A. Fukugawa, T. Takeuchi, M. Murata, Y. Yamada, J. Gutmann, Proceedings of VMIC, Fremont, CA, 2005 共unpublished兲,
and M. Koyanagi, J. Microelectromech. Syst. 13, 814 共2004兲. pp. 486–493.
173 208
J. Carlier, S. Arscott, V. Thomy, J. C. Fourrier, F. Caron, J. C. Camart, C. A. Jourdain, P. De Moor, K. Baert, I. De Wolf, and H. A. C. Tilmans, J.
Druon, and P. Tabourier, J. Micromech. Microeng. 14, 619 共2004兲. Micromech. Microeng. 15, S89 共2005兲.
174 209
J. J. McMahon, Y. Kwon, J.-Q. Lu, T. S. Cale, and R. J. Gutmann, Mater. W. H. Teh, G. Lihui, R. Kumar, and D.-L. Kwong, IEEE Electron Device
Res. Soc. Symp. Proc. 795, 99 共2004兲. Lett. 26, 802 共2005兲.
175 210
J.-Q. Lu, G. Rajagopalan, M. Gupta, T. S. Cale, and R. J. Gutmann, K. Kim, J.-M. Kim, J.-M. Kim, C.-W. Baek, and Y.-K. Kim, Proceedings
Mater. Res. Soc. Symp. Proc. 816, 217 共2004兲. of Transducers, Seoul, Korea, 2005 共unpublished兲, pp. 1092–1095.
211
176
R. J. Gutmann, J.-Q. Lu, S. Devarajan, A. Y. Zeng, and K. Rose, IEEE S. Tuomikoski and S. Franssila, Sens. Actuators, A 120, 408 共2005兲.
212
Proceedings of Topical Meeting on Silicon Monolithic Integrated Circuits J.-M. Kim, D. H. Oh, J. Yoon, S. Cho, N. Kim, J. Cho, Y. Kwon, C.
in RF Systems, Atlanta, GA, 2004 共unpublished兲, pp. 45–48. Cheon, and Y.-K. Kim, J. Micromech. Microeng. 15, N11 共2005兲.
213
177
Y. Kwon, J. Yu, J. J. McMahon, J.-Q. Lu, T. S. Cale, and R. J. Gutmann, J. Chen, Y. Lu, and J. P. Yang, Microsyst. Technol. 11, 612 共2005兲.
214
Mater. Res. Soc. Symp. Proc. 812, 321 共2004兲. C. Friese and H. Zappe, Proceedings of Transducers, Seoul, Korea, 2005
178
T. Hwang, D. Popa, S. Jeongsik, H. E. Stephanou, and E. M. Leonard, 共unpublished兲, pp. 1342–1345.
215
Proc. SPIE 5342, 182 共2004兲. J. Mizuno et al., Proceedings of Transducers, Seoul, Korea, 2005 共unpub-
179
P. Premchander, R. Jayavel, D. Arivuoli, and K. Baskar, J. Cryst. Growth lished兲, pp. 1346–1349.
216
263, 454 共2004兲. S. U. Son, J.-H. Seo, Y. H. Choi, S. S. Lee, and S.-J. Kang, Proceedings
180
C. T. Pan, J. Micromech. Microeng. 14, 522 共2004兲. of Transducers, Seoul, Korea, 2005 共unpublished兲, pp. 1752–1755.

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp
031101-28 Niklaus et al. J. Appl. Phys. 99, 031101 共2006兲

217 225
N. S. Korivi and J.-W. Choi, Proceedings of Transducers, Seoul, Korea, A. R. Mirza, Proceedings of Thermal and Thermomechanical Phenomena
2005 共unpublished兲, pp. 287–290. in Electronic Systems, Las Vegas, NV, 2000 共unpublished兲, pp. 113–119.
218 226
R. Bodén, M. Lehto, U. Simu, G. Thornell, K. Hjort, and J. Å. Schweitz, A. R. Mirza, Proceedings of IEEE Electronic Components and Technol-
Proceedings of Transducers, Seoul, Korea, 2005 共unpublished兲, pp. 201– ogy Conference, Las Vegas, NV, 2000 共unpublished兲, pp. 676–680.
227
204. The Dow Chemical Company, Processing Procedures for Cyclotene 4000
219
W. Y. Zhang, J. P. Labukas, S. Tatic-Lucic, and G. S. Ferguson, Proceed- Series Photo BCB Resins, 1999, Midland, USA.
ings of Transducers, Seoul, Korea, 2005 共unpublished兲, pp. 924–927. 228
R. R. Tummala, E. J. Rymaszewski, and A. G. Klopfenstein, Microelec-
220
J. W. Kwon, S. Kamal-Bahl, and E. S. Kim, Proceedings of Transducers, tronics Packaging Handbook, Part I, 2nd ed. 共Chapman and Hall, New
Seoul, Korea, 2005 共unpublished兲, pp. 940–943. York, 1997兲.
221 229
C.-H. Lin, L.-M. Fu, C.-H. Tsai, C.-H. Chao, and C.-W. Lan, Proceedings R. R. Tummala, E. J. Rymaszewski, and A. G. Klopfenstein, Microelec-
of Transducers, Seoul, Korea, 2005 共unpublished兲, pp. 944–947. tronics Packaging Handbook, Part II, 2nd ed. 共Kluwer, Boston, MA,
222
H. Kim and K. Najafi, J. Microelectromech. Syst. 14, 1347 共2005兲. 1997兲.
223
S. H. Lee et al., Mater. Res. Soc. Symp. Proc. 共accepted兲. 230
Oneida Recherche Services 共ORS兲, France, Internal Vapour Analysis
224
C. Reyerse, S. Kanev, and E. Meusel, Proceedings on Symposium on 共IVA兲 Services, http://www.orsfr.com
231
Semiconductor Wafer Bonding: Physics and Applications, Reno, NV, International Technology Roadmap for Semiconductors 共ITRS兲, 2003 edi-
1995 共unpublished兲, pp. 174–183. tion, Semiconductor Industry Association, 2003, http://public.itrs.net/

Downloaded 31 Aug 2006 to 128.39.117.202. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Вам также может понравиться