Вы находитесь на странице: 1из 9

1984 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO.

5, MAY 2016

A Low-Jitter Fast-Locked All-Digital Phase-Locked


Loop With Phase–Frequency-Error Compensation
Yung-Hsiang Ho and Chia-Yu Yao, Member, IEEE

Abstract— The previous fast-locked all-digital phase-locked loop bandwidth control technique always need to vary the
loop (ADPLL) usually suffers from large timing jitter due to loop parameters [1]–[7]. It increases the circuit complexity of
the steep frequency transfer curve of its digitally controlled the PLLs. To further speed up the acquisition process of the
oscillator (DCO). This paper presents an ADPLL that possesses
a coarse frequency selection function. All DCO frequency PLL, Chiu et al. [4] proposed a three-stage discriminator-aided
transfer curves of the ADPLL have gentle slopes. The ADPLL phase detector to roughly compensate for the accumulated
selects one transfer curve before acquisition. To fulfill the phase error during the frequency acquisition process.
fast-acquisition requirement, the proposed ADPLL employs On the other hand, ADPLLs with the digital loop fil-
the phase–frequency-error compensation technique. In the ters (DLFs) were proposed for the fast acquisition time
acquisition mode, the phase-error compensator resolves the
problem of phase-error accumulation. Meanwhile, the frequency- applications because of the easily digital control of the loop
error compensator predicts a proper control code by calculating bandwidth [8]–[13]. Meanwhile, the signals are processed in
the cycle time difference between the reference clock and the the digital domain such that many algorithms of digital signal
derived signal fed back from the DCO. Therefore, the proposed processing can be applied in designing ADPLLs [14]–[18].
ADPLL can compensate for the phase and the frequency errors Moreover, the design of digital circuits can be completely
simultaneously. The experimental results show that the proposed
ADPLL possesses a fine-tuning acquisition within 5 reference done using highly efficient electronic design automatic tools
clock cycles. After acquisition, the code updates in a fractional nowadays. This trend lowers the effort for designing
manner in the tracking mode to enhance the tracking jitter the ADPLL circuit and also improves the portability of
performance. The ADPLL output frequency ranges from the ADPLL design. In this paper, most circuits of the proposed
860 MHz to 1 GHz. The measured rms jitter is 1.31 ps at ADPLL are synthesized by standard cells, and their layouts are
1-GHz frequency.
generated by a placement and routing tool. Hence, the design
Index Terms— All-digital phase-locked loop (ADPLL), labor work is minimized, and the design time is dramatically
digitally controlled oscillator (DCO), phase–frequency-error shortened.
compensator (PFEC).
From the jitter-performance point of view, the conventional
I. I NTRODUCTION ADPLLs are inferior to their analog counterparts mainly
because of the digitally controlled oscillators (DCOs) used in

T HE phase-locked loop (PLL) technique is widely used


in realizing clock generators in electronic systems.
In addition to the output jitter, which may be the most
the ADPLLs usually possessing steep frequency transfer curve
that a slight change of its DCO code leads to large deviation
of its output frequency. Similar to [19], this paper selects
important performance index of a PLL, the PLL acquisition
a coarse frequency among several frequency transfer curves
time is another important performance index that we have to
of the DCO before frequency acquisition. All frequency
consider in some applications, such as the clock generators in
transfer curves of the DCO have gentle slopes. Furthermore,
dynamic frequency scaling (DFS) systems. In this paper, we
we propose a phase–frequency-error compensator (PFEC)
propose a simple all-digital PLL (ADPLL) as a clock generator
that consists of a phase-error compensator (PEC) and a
prototype for the DFS application.
frequency-error compensator (FEC). The proposed PEC is
Since the settling time of a PLL is inversely proportional
different from those given in [4], [20], and [21]. Our PEC
to the loop bandwidth, a well-known method for achieving
directly counts the number of clock cycles of the DCO output
fast settling time is to design a PLL that possesses a variable
within the phase-error duration. The proposed ADPLL then
loop bandwidth. The PLLs can use the wide loop bandwidth
varies the divisor of the frequency divider (FD) accordingly
to achieve the fast settling time and switch to the narrow
to compensate for the phase error in the next reference
loop bandwidth for a better jitter performance in the tracking
clock cycle. Meanwhile, with the phase-error information,
mode. However, the analog approaches using the variable
the proposed FEC calculates the required offset of the
Manuscript received March 29, 2015; revised July 11, 2015; accepted DCO’s control code to adjust the DCO’s output frequency.
August 16, 2015. Date of publication September 18, 2015; date of current Consequently, the proposed ADPLL can achieve fast phase
version April 19, 2016. This work was supported by the Ministry of Science and frequency acquisition, while maintaining a relatively
and Technology, Taiwan, under Grant MOST 103-2221-E-011-059.
The authors are with the Department of Electrical Engineering, National small timing jitter compared with the previously reported
Taiwan University of Science and Technology, Taipei 10607, Taiwan (e-mail: ADPLLs fabricated by the similar CMOS processes [14], [16].
D9807403@mail.ntust.edu.tw; chyao@mail.ntust.edu.tw). This paper is organized as follows. Section II
Color versions of one or more of the figures in this paper are available
online at http://ieeexplore.ieee.org. presents the operating principle of the proposed PFEC.
Digital Object Identifier 10.1109/TVLSI.2015.2470545 Section III shows the detailed circuit design, including the
1063-8210 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
HO AND YAO: LOW-JITTER FAST-LOCKED ADPLL WITH PHASE–FREQUENCY-ERROR COMPENSATION 1985

Fig. 1. PEC timing example assuming frequency is in lock. Fig. 2. FEC timing example.

coarse frequency selector (CFS). Section IV shows the at the nth reference clock cycle; i.e., τ (n) = 0. At the
experimental results. The performance comparison with some next reference clock cycle, the time difference |τ (n + 1)|
prior arts is also given in Section IV. Finally, the conclusions between the CLKref edge and the CLKfb edge contains
are drawn in Section V. x CLKdco cycles, as shown in Fig. 2. Then, |τ (n + 1)| can
be expressed as
II. P RINCIPLE OF THE P ROPOSED PFEC
A. Operation of the PEC |τ (n + 1)| = x · τdco (n) (1)
The PEC of this paper employs the ADPLL output clock where τdco (n) denotes the cycle time of CLKdco between the
to measure the duration of the phase difference between nth edge of CLKref and the (n + 1)th edge of CLKfb . Since
the reference clock and the derived signal fed back from the nth cycle of CLKfb is sure to contain M cycles of CLKdco ,
the ADPLL output. The duration of the phase difference is the CLKdco pulse number within the nth reference clock cycle
measured in terms of the number of cycles of the ADPLL is M − x. Hence, τdco (n) can be approximated by
output clock. As an example in Fig. 1, we assume that the
τref
positive edge of the reference clock, CLKref , leads the positive τdco (n) = (2)
edge of the derived feedback signal, CLKfb , from the DCO by M−x
τ of time in the beginning. Let the frequency multiplier of where τref denotes the cycle time of the reference clock.
the PLL be M. If the duration corresponding to τ contains |τ (n+1)| should be uniformly compensated for among the
x cycles of the DCO’s output clock (denoted by CLKdco ), next M cycles of CLKdco ; i.e., |τ (n +1)|/M amount of time
the divisor of the FD, named Ndiv , will be changed to M − x should be compensated for in every CLKdco cycle within the
after the first positive edge of CLKfb . Hence, the second pulse (n + 1)th CLKfb cycle. We call it the cycle time decrement of
of CLKfb is advanced by x CLKdco cycles. Therefore, if the CLKdco in this case. According to (1) and (2), we can obtain
DCO’s output frequency is close to the desired frequency, the
|τ (n + 1)| τref x
phase-error accumulation can be eliminated well in the second = . (3)
reference clock cycle. If not, the phase error still becomes M M(M − x)
smaller when the output frequency of the PLLs is gradually Since the period of CLKdco is determined by the DCO control
close to the desired frequency in the acquisition process. code, we would better use the code step, denoted by Stepdco , to
On the other hand, if CLKfb leads CLKref by x CLKdco represent the cycle time decrement of CLKdco . Given the DCO
cycles in the beginning, Ndiv will be changed to M + x after resolution τres , Stepdco can be obtained by dividing (3) by τres .
the corresponding positive edge of the reference clock cycle. As long as the relationship between the period of CLKdco and
Thus, the second pulse of CLKfb is delayed by x CLKdco the DCO control code is sufficiently linear, the average DCO
cycles. All in all, once the output frequency of a PEC-equipped resolution can be represented by
PLL is very close to the desired frequency, the phase-error
τdco,max − τdco,min
accumulation can be quickly removed in the next reference τres ≈ (4)
clock cycle, as in [4]. 2b − 1
On the other hand, when the output frequency of the PLLs where τdco,max denotes the maximum period of CLKdco ,
is away from the desired frequency, the phase difference in the τdco,min denotes the minimum period of CLKdco , and b denotes
second reference clock cycle will still exist significantly, even the number of bits of the DCO control code. Thus
though a PEC works well. To resolve this problem, this paper
(2b − 1)τref x
employs a novel FEC to speed up the frequency acquisition Stepdco ≈ · . (5)
process. The detail operation of the FEC is presented next. τdco,max − τdco,min M(M − x)
The term τref /(τdco,max − τdco,min ) can be approximated as
B. Derivation of the Proposed FEC follows. Let N/ max denote the number of rising edges of
To illustrate the mechanism of the proposed FEC, we CLKdco with the longest period within one cycle of CLKref
assume that the phase between CLKref and CLKfb coincides and let N/ min denote the number of rising edges of CLKdco
1986 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 5, MAY 2016

with the shortest period within one cycle of CLKref . That is,
N/ max ≈ τref /τdco,max and N/ min ≈ τref /τdco,min . Thus
τref N/ max N/ min
≈ . (6)
τdco,max − τdco,min N/ min − N/ max
Fig. 2 only shows the case that CLKref leads CLKfd . Taking
the other case of CLKref lagging behind CLKfd into account
and defining


⎨−1, τ < 0 (CLKref leads CLKfb )
ε = sign(τ ) = 1, τ > 0 (CLKfb leads CLKref ) (7)


0, otherwise Fig. 3. Time-domain model of the ADPLL with the PFEC.

we can rewrite (5) as


(2b − 1)N/ max N/ min x Using (6), we obtain the condition for a converging |τ | as
Stepdco ≈ · . (8)
M(N/ min − N/ max ) (M + ε · x) τref
2τdco (n) > . (11)
The update equation for the cycle time of the CLKdco is M
Let ftarget = M/τref and f dco,temp = 1/τdco (n). The
τdco (n + 1) = τdco (n) + εStepdco τres . (9)
condition (11) becomes
Notably, when the proposed FEC finds the value of Stepdco
and modifies the DCO’s oscillating frequency, the PEC also f dco,temp < 2 ftarget .
compensates for the phase-error accumulation introduced This means, in any case, when the temporary DCO frequency
by τ (n + 1). Hence, PEC working with FEC can make is less than two times the DCO target frequency, the phase
the phase difference between the next CLKref edge and error will converge even though we let the PEC and the FEC
the next CLKfb edge reduced dramatically. However, since operate simultaneously.
τdco (n) = τdco (n + 1), the phase difference, τ (n + 2), Let f max and f min denote the maximum DCO frequency
still exists in the (n + 2)th reference clock cycle, as shown and the minimum DCO frequency on the same DCO transfer
in Fig. 2. Hence, the proposed ADPLL still needs a few curve, respectively. Then, fmax < 2 f min guarantees that (11) is
reference clock cycles to truly acquire both frequency and satisfied. If the slope of any DCO transfer curve is sufficiently
phase thereafter. To guarantee that |τ | will converge in this gentle, fmax < 2 f min can be easily achieved.
situation, we have to figure out the required condition. Notably, we let the phase difference between CLKref and
Fig. 2 shows the case that τ (n + 2) and τ (n + 1) CLKfb as small as possible in the beginning, as shown
have the same sign. It is easy to derive that the cycle-time in Fig. 2. Regarding how to achieve this will be discussed
update (9) guarantees |τ (n + 2)| ≤ |τ (n + 1)| in this case. in Section III.
Therefore, we have to discuss the case that τ (n + 2) and
τ (n + 1) have the opposite sign. If CLKfb leads CLKref near
the (n + 1)th CLKref edge and CLKref leads CLKfb near the C. Simulation of the ADPLL With the PEC or the PFEC
(n + 2)th CLKref edge, we can also obtain the same result of We use the block diagram in Fig. 3 to simulate the
|τ (n + 2)| ≤ |τ (n + 1)|. On the other hand, suppose that acquisition process of the proposed ADPLL. In Fig. 3, the FEC
CLKref leads CLKfb near the (n+1)th CLKref edge and CLKfb is modeled as the gain stage β = Stepdco . The PEC is modeled
leads CLKref near the (n + 2)th CLKref edge. In this case as a gain of M + εx in the feedback path. Fig. 3 system is a
|τ (n + 2)| = τref − [τdco (n)x + (M − x)τdco(n + 1)]. conventional ADPLL possessing a proportional-integral (PI)
DLF, without the FEC and the PEC. The phase–frequency-
Since |τ (n + 1)| = τdco (n)x, |τ (n + 2)| − |τ (n + 1)| < 0 detector (PFD) output, ε, goes to the PI DLF. This PI DLF
is equivalent to τref − (M − x)τdco (n + 1) < 2τdco (n)x. has a proportional path gain, α, and an integral path gain, β.
From (2), we can replace τref by (M − x)τdco (n) and write It is noticed that Fig. 3 shows a time-domain model. Its
(M − x)τdco(n + 1) > (M − 3x)τdco(n). output is the DCO’s cycle time τdco that equals the DCO’s
free-running period τdco,free plus the DCO time resolution
Thus τres (s/LSB) times the PI-DLF output σ . The term x in (8)
2x is approximately equal to (|τ (n)|/τdco (n − 1).
τdco (n + 1) > τdco (n) − τdco (n). (10)
M −x Fig. 4 shows the simulated acquisition processes of three
Comparing (10) with (9) and ε = −1, we can derive ADPLLs (the conventional one, the one with the PEC,
2x and the proposed one with the PFEC) with the following
τdco (n) > Stepdco τres . settings: τref = 1 μs, M = 1000 (so, the target τdco = 1 ns),
M −x
τres = 0.4 ps, and α = 0.5. Since the acquisition time of
Therefore the conventional ADPLL is very long, by setting a 1.007-ns
(τdco,max − τdco,min )N/ max N/ min τdco,free , we can quickly observe the conventional ADPLL
2τdco (n) > .
M(N/ min − N/ max ) reaching the steady state. The right side of Fig. 4 shows the
HO AND YAO: LOW-JITTER FAST-LOCKED ADPLL WITH PHASE–FREQUENCY-ERROR COMPENSATION 1987

Fig. 4. Comparison of the ADPLLs with the PEC or PFEC.

Fig. 6. Proposed ADPLL architecture.

bandwidth controller (LBC). In the proposed ADPLL, only


the DCO is custom-built. The other blocks are synthesized
by standard cells in the TSMCs 0.18-μm cell library. The
CLKDLF signal from the PFD is the clock signal for the DLF
and the PFEC. The signal PE from the PFD delivers the phase
difference information to the PFEC. The signals, RNPFD and
RNFD , produced by the CFS are employed to reset the PFD
Fig. 5. Lock-in processes with different τdco,free and α values. and the FD, respectively. The 8-bit digital codes, SM [7:0]
and S[7:0], determine the frequency multiplication ratio of the
ADPLL and the divisor of the FD, respectively. CodeDLF [12:4]
zoomed-in waveforms in the first sixty cycles. We can see and CodeCFS [8:0] are the DCO’s fine-tuning control code
that the initial trace of the DCO output period of the produced by the DLF and the CFS, respectively. When
PEC-equipped ADPLL follows that of the conven- RNPFD is logic high, Codedco[8:0] connects to CodeDLF [12:4];
tional ADPLL. However, the PEC-equipped ADPLL quickly otherwise, Codedco [8:0] connects to CodeCFS [8:0]. Moreover,
acquires the phase when the DCO’s output period is close to CodeDLF [3:0] represents the fractional part of the DLF’s
its target period. Furthermore, the proposed PFEC-equipped output.
ADPLL quickly acquires the target frequency from the The proposed ADPLL operates as follows. First, RNPFD
beginning, so the trace of the corresponding DCO output is pulled low to disable the PFD and the DLF. Now, the
period tends toward the target τdco much faster than the other CFS determines a proper DCO transfer curve that contains
two ADPLLs. the target frequency. The CFS also determines the values
Regarding the stability of an ADPLL, the proportional path of N/ max and N/ min . After the CFS determines the DCO
gain should be much greater than the integral path gain in the transfer curve, RNPFD is pulled high to enable the PFD and
PI DLF [9], [11], [13], [22]. However, in the proposed ADPLL, the DLF with γ = 1 and CodeDLF [12:4] = 256. Next, the
since Stepdco in (8) will eventually get very small as x → 0. PFEC compensates for the frequency and phase errors for the
We need not really trouble about the α/β ratio. The simulation ADPLL in a short time. In the meantime, the LBC of the DLF
results in Fig. 5 were all done with an initial β = 1. All monitors whether the acquisition process of the ADPLL is
acquisition processes with different values of αs and τdco,free s complete. If so, the LowBW signal is set to switch the value
complete the phase and frequency acquisition in three clock of γ to 1/16. This lowers the loop bandwidth and enhances
cycles. However, a large α worsens the jitter performance of the ADPLL’s jitter performance in the tracking mode.
the ADPLL in the tracking mode. Hence, the proposed ADPLL
should use a small α, e.g., 0.5. Furthermore, in Fig. 5, we also B. Digitally Controlled Oscillator
observe that the larger the difference between τdco,free and the
target DCO period is, the more serious the initial ringing in To get a good jitter performance in a conventional analog
the beginning of the acquisition process will be. PLL, it is well known that we should make the gain of
the voltage-controlled oscillator small. We adopt the same
concept in this paper and design our DCO possessing small
III. C IRCUIT AND S YSTEM I MPLEMENTATION
gain. To reduce the gain of the DCO and retain a wide
A. Proposed ADPLL operating-frequency range without increasing the bitwidth of
Fig. 6 shows the block diagram of the proposed ADPLL. the DCO control word, a common method is to design a
The ADPLL is composed of a traditional PFD, a CFS, DCO having multiple transfer curves [19]. In this paper, we
a DLF, a DCO, a pulse-swallow FD whose divisor ranges design a prototype ADPLL that serves as a clock generator
from 768 to 1023, and a PFEC. The DLF includes a loop with fast acquisition time for the DFS application. For a clock
1988 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 5, MAY 2016

Fig. 9. Searching flow of the CFS.


Fig. 7. DCO architecture.

among FF-0 °C, SS-80 °C, and TT-27 °C cases, which ranges
from 0.970 to 1.184 ns. The corresponding frequencies are
from 845 to 1031 MHz. The DCO resolution in the above
range is ∼0.34 ps.
Having eight DCO transfer curves, we need a coarse
frequency selection mechanism to target on one DCO transfer
curve before the acquisition process. This inevitably increases
the time required for the ADPLL clock generator to lock.
As mentioned before, this is what we have to trade for a better
jitter performance.

C. Coarse Frequency Selector


To determine, which curve the DCO’s target clock period
Fig. 8. Simulation results of the DCO transfer curves for eight differ- belongs to, the CFS employs an intuitional search method
ent Codedco [11:9] codes and three corner cases: FF-0 °C, SS-80 °C, and shown in Fig. 9. The CFS starts from the lower end of a middle
TT-27 °C. DCO transfer curve by setting Codedco[11:9] = 100 and
Codedco[8:0] = 9’b0. Next, the CFS checks the phase relation
between CLKref and CLKfb . If CLKref leads CLKfb , which
generator used in a digital system, the jitter performance is means the period of the DCO is too large, then Codedco[11:9]
more important than the phase noise performance. Hence, will be decreased by 1, as the search path for target 1 shows.
a ring oscillator suffices. A ring oscillator has an advantage This process continuous until CLKfb leads CLKref , and then
that it takes a smaller area than an LC DCO. Fig. 7 shows we can determine the DCO curve.
the proposed DCO schematic. It consists of a coarse-tuning On the contrary, if CLKfb leads CLKref in the beginning,
stage, a fine-tuning stage, and a ring oscillator built by Codedco[8:0] will be changed to 9’b1, as the search path
three inverters, I1–I3. The coarse-tuning stage utilizes eight for target 2 shows. Next, if the phase relation is unchanged,
transmission gates possessing different gate widths to control Codedco[11:9] is increased by 1 until CLKref leads CLKfb , and
the driving capability to I1. The coarse-tuning control code, then we can determine the DCO curve.
Codedco [11:9], is decoded by a 3-to-8 single-bit decoder to Next, we use an 11-bit counter to count the number of
produce D[7:0]. D[7:0] turns ON only one transmission gate CLKdco ’s rising edges corresponding to two ends of the
in the coarse-tuning stage. The fine-tuning stage includes desired DCO transfer curve within a reference clock cycle to
nine different-sized nMOS capacitors controlled by the fine- obtain the values of N/ min and N/ max , respectively. After both
tuning control code, Codedco [8:0], to vary the output load N/ min and N/ max are obtained, the CFS operation is complete,
of I1. To make the cycle resolution small, the MOS capacitor and RNPFD is set high to enable the PFD, as shown in Fig. 6.
connected to the LSB Codedco [0] is made by the minimum- Notably, we take two reference clock cycles to complete
sized nMOS transistor. Since the capacitance at I1’s output the phase detection, as shown in Fig. 10. In the first reference
node is easily affected by the parasitic capacitors, Cpar0 –Cpar8 , clock cycle, the ADPLL forces the phase of CLKfb to be
we shunt nine capacitors, C0 –C8 , to the corresponding gates synchronized with CLKref,de . CLKref,de is a delayed version
of MOS capacitors for neutralizing the effect caused by the of CLKref and will be discussed later. In the second reference
parasitic capacitors. Each capacitor of C0 –C8 has 10 times clock cycle, the ADPLL detects the phase relation. RNFD is
capacitance compared with the corresponding MOS capacitor. pulled high in the first 1.5 reference clock cycles and then
The postlayout simulation results of the DCO period versus pulled low in the following 0.5 reference clock cycle. At every
Codedco [8:0] corresponding to eight different Codedco[11:9] falling edge of RNFD , the CFS adjusts the DCO control code
are shown in Fig. 8. To cope with the process–temperature according to the result of the phase detection. To realize the
variations, the selected period range is the overlapped range phase synchronization, the FD is designed to output a pulse
HO AND YAO: LOW-JITTER FAST-LOCKED ADPLL WITH PHASE–FREQUENCY-ERROR COMPENSATION 1989

Fig. 10. Timing chart of the CFS’s phase comparison.

Fig. 12. Timing example of the LBC.

the PFD becomes logic low, a short negative pulse output by


theNAND gate resets the counter. Next, the counter is enabled
when the inverted PE signal is high. During the time that the
counter is enabled, it counts the number of CLKdco ’s positive
edges to obtain the x value, as presented in Section II.
Fig. 11(b) shows the pseudocode of the divisor controller
that calculates the required number, S, for the FD. The
8-bit SM determines the steady-state divisor M of the proposed
ADPLL by M = 768 + SM . The pseudocode is designed
straightforward according to the PEC operation presented in
Section II, and the fact that S is an 8-bit unsigned number.
Fig. 11(c) calculates Stepdco given in (8). In (8), M is
known and N/ max and N/ min are determined when the CFS
process completes. Thus, the first product in (8), denoted by K
in Fig. 11(c), is calculated before the PFEC starts. Next, the
computation of Stepdco is straightforward except that when
x < 2, which means the phase difference between CLKfb and
CLKref is very small, Stepdco is set to 1.
Fig. 11. (a) Counter of the PFEC. (b) Divisor controller. (c) Calculator of
the code step.
E. Digital Loop Filter
The DLF is composed of a PI controller and an LBC,
as soon as possible after it is enabled by the rising of RNFD .
as shown in Fig. 6. According to the simulations discussed
Since the FD consists of some D flip-flops, when it is triggered
in Section II-C, we let α be 0.5, β equal Stepdco , and the
by CLKdco , the FD’s output is delayed by the clock-to-Q
initial γ be 1 in the DLF. The LBC monitors the variation
delay of a D flip-flop, τdff . Hence, we let CLKref experience
of the DCO’s control code to determine whether the ADPLL
a replica delay of τdff to produce the CLKref,de signal for
is going to be locked. When the control code bounces back
the phase synchronization. Notably, after the rising of RNFD ,
and forth with equal count-up and count-down values, and the
the FD waits a random time for being triggered by CLKdco .
β value is steady, the LBC is aware that the ADPLL will be
This random time cannot be compensated for in producing
locked soon. Fig. 12 shows a timing example of the DLF.
the CLKref,de signal. Fortunately, the maximum of this random
The LBC employs two counters, CounterUP and CounterDN ,
time will not exceed one cycle of the DCO output clock, which
to record the count-up value and the count-down value of the
is 1.184 ns shown in Fig. 8. Since the reference clock cycle
DCO control code, respectively. The signal Failcount indicates
of this paper is 1 μs, this random time only occupies a small
whether the β value is steady or not. A high Failcount indicates
portion of a reference clock cycle. Moreover, as mentioned in
that the β value is not steady yet; thus, the LBC resets
Section II, this phase synchronization technique is also used
both counters. When the LBC detects a direction change of
in the FEC of this paper.
the DCO control code, it pulls low Failcount and checks the
The CFS search time of a proper DCO transfer curve can
contents of CounterUP and CounterDN . If they are the same,
be figured out by analyzing Fig. 9. It should take 6, 8, 10, or
and β is steady, the LBC sets the LowBW signal to logic high
12 reference clock cycles depending on, which DCO transfer
and modifies γ = 2−4 that equivalently reduces the bandwidth
curve the target frequency belongs to.
of the loop filter.

D. Phase- and Frequency-Error Compensator IV. E XPERIMENTAL R ESULT


The PFEC contains three parts shown in Fig. 11. Fig. 11(a) Fig. 13(a) shows the microphotograph of the proposed
shows a counter. When the phase-difference signal (PE) from ADPLL in the TSMC 0.18-μm CMOS technology. The active
1990 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 5, MAY 2016

Fig. 14. Measured lock-in process of fine-tuning control code


at 1-GHz output frequency.

Fig. 13. (a) Microphotograph of the proposed ADPLL. (b) Experimental


setup.

area of the core circuit is ∼0.476×0.375 mm2 . Only the DCO


and the DCO input buffer are custom-built. The rest of the chip
is synthesized by standard cells. The total power consumptions
are 4.52 and 5.49 mW for an 860-MHz output and a 1-GHz
output, respectively. The power consumptions of the DCO are
1.58 and 1.75 mW at 860 MHz and 1 GHz, respectively. Fig. 15. Jitter performance of the proposed ADPLL at 1 GHz.
The experimental setup is shown in Fig. 13(b). The input
reference clock CLKref is 1 MHz. The input clock signal and
the signal Start are generated by Agilent’s J-BERT N4903A sets Codedco[8:0] to 256 and forces phase synchronization.
pattern generator. The off-chip signals, CLKref,out , CLKdco,od , Next, the ADPLL evaluates the phase difference between
and CLKfb,od , corresponding to CLKref , CLKdco , and CLKfb , CLKref and CLKfb at 7 μs and then compensates for the phase
respectively, are measured by Tektronix’s DPO70804 oscillo- and frequency error at 8 μs. We can observe that the proposed
scope. The internal digital signal that we want to observe is ADPLL is almost in the locked state at 9 μs. Finally, the LBC
measured by Tektronix’s TLA5202B logic analyzer. The 8-bit detects that the control code bounces back and forth with equal
digital code, SM , for determining the frequency multiplication count-up and count-down values, so the LBC sets γ = 2−4
ratio of the proposed ADPLL is serially shifted into an 8-bit to lower the loop bandwidth at 11 μs; i.e., 5 reference clock
shift-register. cycles after the CFS operation.
Fig. 14 shows the measured DCO control code during Fig. 15 shows that the measured peak-to-peak jitter and
the acquisition process for a 1-GHz output. The zoomed-in the rms jitter are 28.75 and 1.31 ps, respectively, at 1-GHz
waveform is also provided in Fig. 14. Since the CFS adjusts the output clock. Table I summarizes the performance comparison
Codedco [8:0] value at every falling edge of RNdco , as shown between this paper and some prior arts. The proposed ADPLL
in Fig. 10, the Codedco [8:0] is changed at 1.5, 3.5, and 5.5 μs. with PFEC possesses sufficiently fast acquisition time. Since
The value of Codedco[11:9] is kept at 4; i.e., the target we take the jitter performance as the most important perfor-
frequency is on the middle DCO transfer curve. The CFS takes mance index, we design our DCO having a low DCO gain.
six reference clock cycles (6 μs) to complete the coarse tuning. Hence, the proposed DCO has to have several DCO transfer
After the CFS operation, the ADPLL enters the acquisition curves to cover the required frequency range. Therefore, the
mode. In the beginning of the acquisition mode, the ADPLL proposed DCO needs some time to determine a DCO transfer
HO AND YAO: LOW-JITTER FAST-LOCKED ADPLL WITH PHASE–FREQUENCY-ERROR COMPENSATION 1991

TABLE I
C OMPARISONS W ITH P REVIOUS W ORKS

curve, which the target frequency belongs to. Thus, the acqui- ACKNOWLEDGMENT
sition time of the proposed ADPLL includes 6–12 reference The authors would like to thank the Chip Implementation
clock cycles for the CFS operation. After the CFS operation, Center of Taiwan, ROC, for fabricating the prototype chips of
the time required for the phase and frequency acquisition the proposed all-digital phase-locked loop.
is not more than 5 reference clock cycles. Regarding the
settling time in clock cycles, the proposed ADPLL is only R EFERENCES
inferior to those of [10] and [16]. For the jitter performance
[1] J. Lee and B. Kim, “A low-noise fast-lock phase-locked loop with
in terms of % of a period, since the proposed DCO carries adaptive bandwidth control,” IEEE J. Solid-State Circuits, vol. 35, no. 8,
a small DCO gain, the rms jitter of the proposed ADPLL pp. 1137–1145, Aug. 2000.
is only inferior to [25], and the peak-to-peak jitter of the [2] C.-Y. Yang and S.-I. Liu, “Fast-switching frequency synthesizer with a
discriminator-aided phase detector,” IEEE J. Solid-State Circuits, vol. 35,
proposed ADPLL is only inferior to [20]. On the other hand, no. 10, pp. 1445–1452, Oct. 2000.
we employ the figure of merit (FOM) in [25] and a FOM2 , [3] B. Zhang, P. E. Allen, and J. M. Huard, “A fast switching PLL frequency
which is from normalizing FOM by the supply voltage, as synthesizer with an on-chip passive discrete-time loop filter in 0.25-μm
CMOS,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 855–865,
two performance indices for comparison. Regarding the FOM, Jun. 2003.
the ADPLL in [25] is the best, and the proposed ADPLL [4] W.-H. Chiu, Y.-H. Huang, and T.-H. Lin, “A dynamic phase error
is comparable with the one in [24]. After normalizing FOM compensation technique for fast-locking phase-locked loops,” IEEE
J. Solid-State Circuits, vol. 45, no. 6, pp. 1137–1149, Jun. 2010.
by the supply voltage, the FOM2 of the proposed ADPLL is [5] J. G. Maneatis, J. Kim, I. McClatchie, J. Maxey, and M. Shankaradas,
comparable with the ADPLL chips designed by the advanced “Self-biased high-bandwidth low-jitter 1-to-4096 multiplier clock gener-
CMOS technologies [20], [23]–[25]. ator PLL,” IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1795–1803,
Nov. 2003.
[6] M. Keaveney, P. Walsh, M. Tuthill, C. Lyden, and B. Hunt, “A 10 μs
V. C ONCLUSION fast switching PLL synthesizer for a GSM/EDGE base-station,” in IEEE
ISSCC Dig. Tech. Papers, Feb. 2004, pp. 192–522.
In this paper, an ADPLL with PFEC for shortening the [7] K. Woo, Y. Liu, E. Nam, and D. Ham, “Fast-lock hybrid PLL combin-
acquisition time was proposed. The phase-error information ing fractional-N and integer-N modes of differing bandwidths,” IEEE
was obtained using the DCO’s output to measure the time J. Solid-State Circuits, vol. 43, no. 2, pp. 379–389, Feb. 2008.
[8] J. Dunning, G. Garcia, J. Lundberg, and E. Nuckolls, “An all-digital
difference between the rising edges of the reference clock phase-locked loop with 50-cycle lock time suitable for high-performance
and the feedback signal. The PFEC modified the divisor of microprocessors,” IEEE J. Solid-State Circuits, vol. 30, no. 4,
the FD and the integral gain of the DLF to compensate for pp. 412–422, Apr. 1995.
[9] I. C. Hwang, S.-H. Song, and S.-W. Kim, “A digitally controlled phase-
the phase error and to adjust the DCO’s oscillating frequency, locked loop with a digital phase-frequency detector for fast acquisition,”
respectively. All circuits except the DCO and the DCO input IEEE J. Solid-State Circuits, vol. 36, no. 10, pp. 1574–1581, Oct. 2001.
buffer were synthesized using the TSMCs 0.18-μm cell library. [10] T. Watanabe and S. Yamauchi, “An all-digital PLL for frequency multi-
plication by 4 to 1022 with seven-cycle lock time,” IEEE J. Solid-State
The DCO and the DCO input buffer were custom-built. Circuits, vol. 38, no. 2, pp. 198–204, Feb. 2003.
The measurement results demonstrate that the acquisition [11] L. Xiu, W. Li, J. Meiners, and R. Padakanti, “A novel all-digital PLL
time of the proposed ADPLL requires no more than with software adaptive filter,” IEEE J. Solid-State Circuits, vol. 39, no. 3,
pp. 476–483, Mar. 2004.
17 reference clock cycles, including 6–12 clock cycles to [12] C.-C. Chung and C.-Y. Ko, “A fast phase tracking ADPLL for video
determine a proper DCO transfer curve, which the target pixel clock generation in 65 nm CMOS technology,” IEEE J. Solid-State
frequency belongs to. The rms jitter and the peak-to-peak Circuits, vol. 46, no. 10, pp. 2300–2311, Oct. 2011.
[13] R. B. Staszewski and P. T. Balsara, “All-digital PLL with ultra fast
jitter are 1.31 and 28.75 ps, respectively, measured at 1-GHz settling,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 2,
output clock. pp. 181–185, Feb. 2007.
1992 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 5, MAY 2016

[14] P.-L. Chen, C.-C. Chung, J.-N. Yang, and C.-Y. Lee, “A clock generator Yung-Hsiang Ho was born in Taichung, Taiwan,
with cascaded dynamic frequency counting loops for wide multiplica- in 1987. He received the B.S. and Ph.D. degrees in
tion range applications,” IEEE J. Solid-State Circuits, vol. 41, no. 6, electrical engineering from the National Taiwan Uni-
pp. 1275–1285, Jun. 2006. versity of Science and Technology, Taipei, Taiwan,
[15] X. Chen, J. Yang, and L.-X. Shi, “A fast locking all-digital phase-locked in 2009 and 2015, respectively.
loop via feed-forward compensation technique,” IEEE Trans. Very Large His current research interests include CMOS ana-
Scale Integr. (VLSI) Syst., vol. 19, no. 5, pp. 857–868, May 2011. log/digital IC design for the fast acquisition clock
[16] C.-T. Wu, W.-C. Shen, W. Wang, and A.-Y. Wu, “A two-cycle lock-in generator.
time ADPLL design based on a frequency estimation algorithm,” IEEE
Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 6, pp. 430–434,
Jun. 2010.
[17] R. B. Staszewski, K. Waheed, F. Dulger, and O. E. Eliezer, “Spur-free
multirate all-digital PLL for mobile phones in 65 nm CMOS,” IEEE
J. Solid-State Circuits, vol. 46, no. 12, pp. 2904–2919, Dec. 2011.
[18] T. Tokairin, M. Okada, M. Kitsunezuka, T. Maeda, and M. Fukaishi,
“A 2.1-to-2.8-GHz low-phase-noise all-digital frequency synthesizer Chia-Yu Yao (S’88–M’92) was born in Changhwa,
with a time-windowed time-to-digital converter,” IEEE J. Solid-State Taiwan, in 1963. He received the B.S. degree
Circuits, vol. 45, no. 12, pp. 2582–2590, Dec. 2010. from National Taiwan University, Taipei, Taiwan,
[19] V. K. Chillara et al., “An 860 μW 2.1-to-2.7GHz all-digital PLL-based in 1985, and the M.S. and Ph.D. degrees from
frequency modulator with a DTC-assisted snapshot TDC for WPAN the University of California at Los Angeles,
(Bluetooth Smart and ZigBee) applications,” in IEEE ISSCC Dig. Tech. Los Angeles, CA, USA, in 1988 and 1992,
Papers, Feb. 2014, pp. 172–173. respectively, all in electrical engineering.
[20] J.-Y. Lee, M.-J. Park, B.-H. Min, S. Kim, M.-Y. Park, and H.-K. Yu, He served as a second Lieutenant with the Chinese
“A 4-GHz all digital PLL with low-power TDC and phase-error com- Military Academy, Kaohsiung, Taiwan, from
pensation,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 8, 1985 to 1987. He was with LinCom Corporation,
pp. 1706–1719, Aug. 2012. Los Angeles, where he was involved in a program
[21] J.-Y. Lee, M.-J. Park, H.-K. Yu, and C.-S. Kim, “A 230 ns settling of satellite communication system analysis through the National Aeronautics
time type-I PLL with 0.96 mW TDC power and simple TV calculation and Space Administration, Houston, TX, USA, from 1990 to 1991, and
algorithm,” in Proc. IEEE 57th MWSCAS, Aug. 2014, pp. 370–373. the Department of Electronic Engineering, Huafan University, Taipei, from
[22] G. Marucci, S. Levantino, P. Maffezzoni, and C. Samori, “Analysis and 1992 to 2006. He joined the Department of Electrical Engineering, National
design of low-jitter digital bang-bang phase-locked loops,” IEEE Trans. Taiwan University of Science and Technology, Taipei, in 2006, where he is
Circuits Syst. I, Reg. Papers, vol. 61, no. 1, pp. 26–36, Jan. 2014. currently a Professor and the Associate Dean of Research and Development
[23] S. Hoppner, S. Haenzsche, G. Ellguth, D. Walter, H. Eisenreich, and Office. His current research interests include digital filter design, CMOS
R. Schuffny, “A fast-locking ADPLL with instantaneous restart capa- VLSI, CMOS RFIC, and neural networks.
bility in 28-nm CMOS technology,” IEEE Trans. Circuits Syst. II, Exp. Dr. Yao was one of the recipients of the Group Achievement Award from
Briefs, vol. 60, no. 11, pp. 741–745, Nov. 2013. Computer Sciences Corporation in 1991. He was the Adviser of the Best
[24] J. A. Tierno, A. V. Rylyakov, and D. J. Friedman, “A wide power supply Student Paper Award in signal processing from the National Symposium on
range, wide tuning range, all static CMOS all digital PLL in 65 nm SOI,” Telecommunications, Taoyuan, Taiwan, in 2000, and the Adviser of the Praise
IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 42–51, Jan. 2008. Award of Student Paper Contest from the Chinese Institute of Electrical
[25] N. August, H.-J. Lee, M. Vandepas, and R. Parker, “A TDC-less ADPLL Engineering in 2009. He received the Best Advisor Award of the Macronix
with 200-to-3200 MHz range and 3 mW power dissipation for mobile Golden Silicon Semiconductor Design and Application Competition in 2012,
SoC clocking in 22 nm CMOS,” in IEEE ISSCC Dig. Tech. Papers, and the Distinguished Teaching Award of the National Taiwan University of
Feb. 2012, pp. 246–248. Science and Technology in 2013.

Вам также может понравиться