Вы находитесь на странице: 1из 9

BB RYAN NOISE ONLY

kc705_fmc151 Project Status (07/01/2017 - 06:52:39)


Project File: kc705_fmc151.xise Parser Errors: No Errors
Programming File
Module Name: kc705_fmc151 Implementation State:
Generated

Target Device: xc7k325t-2ffg900  Errors:

Product
ISE 14.7  Warnings:
Version:

 Routing All Signals


Design Goal: Balanced
Results: Completely Routed

Design Xilinx Default  Timing


All Constraints Met
Strategy: (unlocked) Constraints:

 Final Timing
Environment: System Settings 0 (Timing Report)
Score:

Device Utilization Summary [-]


Slice Logic Utilization Used Available Utilization Note(s)
Number of Slice Registers 10 407,600 1%
Number used as Flip Flops 5
Number used as Latches 5
Number used as Latch-thrus 0
Number used as AND/OR logics 0
Number of Slice LUTs 9 203,800 1%
Number used as logic 9 203,800 1%
Number using O6 output only 7
Number using O5 output only 0
Number using O5 and O6 2
Number used as ROM 0
Number used as Memory 0 64,000 0%
Number used exclusively as route-thrus 0
Number of occupied Slices 9 50,950 1%
Number of LUT Flip Flop pairs used 14
Number with an unused Flip Flop 4 14 28%
Number with an unused LUT 5 14 35%
Number of fully used LUT-FF pairs 5 14 35%
Number of unique control sets 8
Number of slice register sites lost
54 407,600 1%
to control set restrictions
Number of bonded IOBs 6 500 1%
Number of LOCed IOBs 6 6 100%
Number of RAMB36E1/FIFO36E1s 0 445 0%
Number of RAMB18E1/FIFO18E1s 0 890 0%
Number of BUFG/BUFGCTRLs 1 32 3%
Number used as BUFGs 1
Number used as BUFGCTRLs 0
Number of
0 500 0%
IDELAYE2/IDELAYE2_FINEDELAYs
Number of
0 500 0%
ILOGICE2/ILOGICE3/ISERDESE2s
Number of
0 150 0%
ODELAYE2/ODELAYE2_FINEDELAYs
Number of
0 500 0%
OLOGICE2/OLOGICE3/OSERDESE2s
Number of PHASER_IN/PHASER_IN_PHYs 0 40 0%
Number of
0 40 0%
PHASER_OUT/PHASER_OUT_PHYs
Number of BSCANs 0 4 0%
Number of BUFHCEs 0 168 0%
Number of BUFRs 0 40 0%
Number of CAPTUREs 0 1 0%
Number of DNA_PORTs 0 1 0%
Number of DSP48E1s 0 840 0%
Number of EFUSE_USRs 0 1 0%
Number of FRAME_ECCs 0 1 0%
Number of GTXE2_CHANNELs 0 16 0%
Number of GTXE2_COMMONs 0 4 0%
Number of IBUFDS_GTE2s 0 8 0%
Number of ICAPs 0 2 0%
Number of IDELAYCTRLs 0 10 0%
Number of IN_FIFOs 0 40 0%
Number of MMCME2_ADVs 0 10 0%
Number of OUT_FIFOs 0 40 0%
Number of PCIE_2_1s 0 1 0%
Number of PHASER_REFs 0 10 0%
Number of PHY_CONTROLs 0 10 0%
Number of PLLE2_ADVs 0 10 0%
Number of STARTUPs 0 1 0%
Number of XADCs 0 1 0%
Average Fanout of Non-Clock Nets 2.94
BB RYAN ZIM & LPTV

kc705_fmc151 Project Status (07/01/2017 - 10:14:44)


Project File: kc705_fmc151.xise Parser Errors: No Errors
Programming File
Module Name: kc705_fmc151 Implementation State:
Generated

Target Device: xc7k325t-2ffg900  Errors:

Product
ISE 14.7  Warnings:
Version:

 Routing All Signals


Design Goal: Balanced
Results: Completely Routed

Design Xilinx Default  Timing


All Constraints Met
Strategy: (unlocked) Constraints:

 Final Timing
Environment: System Settings 0 (Timing Report)
Score:

Device Utilization Summary [-]


Slice Logic Utilization Used Available Utilization Note(s)
Number of Slice Registers 10 407,600 1%
Number used as Flip Flops 5
Number used as Latches 5
Number used as Latch-thrus 0
Number used as AND/OR logics 0
Number of Slice LUTs 9 203,800 1%
Number used as logic 9 203,800 1%
Number using O6 output only 7
Number using O5 output only 0
Number using O5 and O6 2
Number used as ROM 0
Number used as Memory 0 64,000 0%
Number used exclusively as route-thrus 0
Number of occupied Slices 9 50,950 1%
Number of LUT Flip Flop pairs used 14
Number with an unused Flip Flop 4 14 28%
Number with an unused LUT 5 14 35%
Number of fully used LUT-FF pairs 5 14 35%
Number of unique control sets 8
Number of slice register sites lost
54 407,600 1%
to control set restrictions
Number of bonded IOBs 6 500 1%
Number of LOCed IOBs 6 6 100%
Number of RAMB36E1/FIFO36E1s 0 445 0%
Number of RAMB18E1/FIFO18E1s 0 890 0%
Number of BUFG/BUFGCTRLs 1 32 3%
Number used as BUFGs 1
Number used as BUFGCTRLs 0
Number of
0 500 0%
IDELAYE2/IDELAYE2_FINEDELAYs
Number of
0 500 0%
ILOGICE2/ILOGICE3/ISERDESE2s
Number of
0 150 0%
ODELAYE2/ODELAYE2_FINEDELAYs
Number of
0 500 0%
OLOGICE2/OLOGICE3/OSERDESE2s
Number of PHASER_IN/PHASER_IN_PHYs 0 40 0%
Number of
0 40 0%
PHASER_OUT/PHASER_OUT_PHYs
Number of BSCANs 0 4 0%
Number of BUFHCEs 0 168 0%
Number of BUFRs 0 40 0%
Number of CAPTUREs 0 1 0%
Number of DNA_PORTs 0 1 0%
Number of DSP48E1s 0 840 0%
Number of EFUSE_USRs 0 1 0%
Number of FRAME_ECCs 0 1 0%
Number of GTXE2_CHANNELs 0 16 0%
Number of GTXE2_COMMONs 0 4 0%
Number of IBUFDS_GTE2s 0 8 0%
Number of ICAPs 0 2 0%
Number of IDELAYCTRLs 0 10 0%
Number of IN_FIFOs 0 40 0%
Number of MMCME2_ADVs 0 10 0%
Number of OUT_FIFOs 0 40 0%
Number of PCIE_2_1s 0 1 0%
Number of PHASER_REFs 0 10 0%
Number of PHY_CONTROLs 0 10 0%
Number of PLLE2_ADVs 0 10 0%
Number of STARTUPs 0 1 0%
Number of XADCs 0 1 0%
Average Fanout of Non-Clock Nets 2.94
BB RYAN TL

kc705_fmc151 Project Status (07/01/2017 - 10:34:26)


Project File: kc705_fmc151.xise Parser Errors: No Errors
Programming File
Module Name: kc705_fmc151 Implementation State:
Generated

Target Device: xc7k325t-2ffg900  Errors:

Product
ISE 14.7  Warnings:
Version:

 Routing All Signals


Design Goal: Balanced
Results: Completely Routed

Design Xilinx Default  Timing


All Constraints Met
Strategy: (unlocked) Constraints:

 Final Timing
Environment: System Settings 0 (Timing Report)
Score:

Device Utilization Summary [-]


Slice Logic Utilization Used Available Utilization Note(s)
Number of Slice Registers 10 407,600 1%
Number used as Flip Flops 5
Number used as Latches 5
Number used as Latch-thrus 0
Number used as AND/OR logics 0
Number of Slice LUTs 9 203,800 1%
Number used as logic 9 203,800 1%
Number using O6 output only 7
Number using O5 output only 0
Number using O5 and O6 2
Number used as ROM 0
Number used as Memory 0 64,000 0%
Number used exclusively as route-thrus 0
Number of occupied Slices 9 50,950 1%
Number of LUT Flip Flop pairs used 14
Number with an unused Flip Flop 4 14 28%
Number with an unused LUT 5 14 35%
Number of fully used LUT-FF pairs 5 14 35%
Number of unique control sets 8
Number of slice register sites lost
54 407,600 1%
to control set restrictions
Number of bonded IOBs 6 500 1%
Number of LOCed IOBs 6 6 100%
Number of RAMB36E1/FIFO36E1s 0 445 0%
Number of RAMB18E1/FIFO18E1s 0 890 0%
Number of BUFG/BUFGCTRLs 1 32 3%
Number used as BUFGs 1
Number used as BUFGCTRLs 0
Number of
0 500 0%
IDELAYE2/IDELAYE2_FINEDELAYs
Number of
0 500 0%
ILOGICE2/ILOGICE3/ISERDESE2s
Number of
0 150 0%
ODELAYE2/ODELAYE2_FINEDELAYs
Number of
0 500 0%
OLOGICE2/OLOGICE3/OSERDESE2s
Number of PHASER_IN/PHASER_IN_PHYs 0 40 0%
Number of
0 40 0%
PHASER_OUT/PHASER_OUT_PHYs
Number of BSCANs 0 4 0%
Number of BUFHCEs 0 168 0%
Number of BUFRs 0 40 0%
Number of CAPTUREs 0 1 0%
Number of DNA_PORTs 0 1 0%
Number of DSP48E1s 0 840 0%
Number of EFUSE_USRs 0 1 0%
Number of FRAME_ECCs 0 1 0%
Number of GTXE2_CHANNELs 0 16 0%
Number of GTXE2_COMMONs 0 4 0%
Number of IBUFDS_GTE2s 0 8 0%
Number of ICAPs 0 2 0%
Number of IDELAYCTRLs 0 10 0%
Number of IN_FIFOs 0 40 0%
Number of MMCME2_ADVs 0 10 0%
Number of OUT_FIFOs 0 40 0%
Number of PCIE_2_1s 0 1 0%
Number of PHASER_REFs 0 10 0%
Number of PHY_CONTROLs 0 10 0%
Number of PLLE2_ADVs 0 10 0%
Number of STARTUPs 0 1 0%
Number of XADCs 0 1 0%
Average Fanout of Non-Clock Nets 2.94

Вам также может понравиться