Вы находитесь на странице: 1из 138

SERVICIO NACIONAL DE ADIESTRAMIENTO EN TRABAJO INDUSTRIAL

PROGRAMA:
TECNICOS INDUSTRIALES
Curso Electrónica Industrial

FASCÍCULO DE APRENDIZAJE

CIRCUITOS DIGITALES
CONBINACIONALES

Técnico de Nivel Medio


AUTORIZACIÓN Y DIFUSIÓN

MATERIAL DIDÁCTICO ESCRITO

FAMILIA OCUPACIONAL ELECTROTECNIA

ESPECIALIDAD ELECTRÓNICA INDUSTRIAL

NIVEL TÉCNICO MEDIO

Con la finalidad de facilitar el aprendizaje en el desarrollo de la formación y capacitación en la


especialidad de ELECTRÓNICA INDUSTRIAL a nivel nacional y dejando la posibilidad de un
mejoramiento y actualización permanente, se autoriza la APLICACIÓN Y DIFUSIÓN de material
didáctico escrito referido a CIRCUITOS DIGITALES COMBINACIONALES.

Los Directores Zonales y Jefes de Unidades Operativas son los responsables de su difusión y
aplicación oportuna.

DOCUMENTO APROBADO POR EL


GERENTE TÉCNICO DEL SENATI

N° de Página……134……

Firma ……………………………………..
Nombre: Jorge Saavedra Gamón

Fecha: ………04.09.14…….

Registro de derecho de autor:


VCC= 5V

SW DIP-2

1 4
2 3

1
A 3 S
2

1
B
1

1
74LS86
1

330R
330R x 2

2
2,2K 2,2K
2

2
2

D3
D1 D2

No ORDEN DE EJECUCIÓN HERRAMIENTAS / INSTRUMENTOS


01 Comprobar el funcionamiento de las Protoboard.
puertas lógicas. Alicate de punta redonda.
Fuente DC.
Multímetro digital.
Punta Lógica

PZA CANT DENOMINACIÓN – NORMA / DIMENSIONES MATERIAL OBSERVACIONES


RECONOCIMIENTO DE PUERTAS LÓGICAS HT REF. HT - 01
Tiempo: 15 Horas HOJA 1/1
ELECTRÓNICA INDUSTRIAL Escala: 2003
LISTA DE MATERIALES

UNID.DE
ITEM CANTIDAD DESCRIPCIÓN CÓDIGO
MEDIDA
01 Pieza 01 CI. Puertas lógicas AND 74LS08
02 Pieza 01 CI. Puertas lógicas OR 74LS32
03 Pieza 01 CI. Puertas lógicas NOT 74LS04
04 Pieza 01 CI. Puertas lógicas NAND 74LS00
05 Pieza 01 CI. Puertas lógicas NOR 74LS02
06 Pieza 01 CI. Puertas lógicas XOR 74LS86
07 Pieza 01 CI. Puertas lógicas XNOR 74LS266
08 Pieza 01 CI. Puertas lógicas NAND
Colector abierto 74LS01
09 Pieza 01 Dip switch de 4 bits -
10 Pieza 04 Resistores de 2,2K ¼ W -
11 Pieza 04 Resistores de 330 Ω - ¼ W -
12 Pieza 04 Diodos LED -
13 Metros 01 Cable telefónico. -
CIRCUITOS DIGITALES COMBINACIONALES

PUERTAS LÓGICAS

INTRODUCCIÓN

Las puertas lógicas o compuertas lógicas, son elementos decisorios que reciben un
determinado número de señales de entrada y proporcionan una señal de salida que
depende de las condiciones de entrada y que puede asumir solamente dos estados
lógicos diferenciados; “1” lógico que significa presencia de un nivel de tensión
determinado y “0” lógico que implica un nivel de tensión cero ( lógica positiva ), o “1”
lógico que significa nivel de tensión cero y “0” lógico que implica la presencia de un nivel
de tensión determinado (lógica negativa).

Las puertas lógicas constituyen los dispositivos lógicos elementales cuyo funcionamiento
nos permite comprender circuitos lógicos más complejos, por tanto es necesario entender
a plenitud el funcionamiento de cada una de ellas.

Debido al uso de sólo dos estados “1” y “0” se dice que la lógica digital es binaria por
naturaleza, es por ello necesario también comprender los sistemas de numeración
utilizados en la electrónica digital como son el binario y el hexadecimal.

1. SISTEMAS DE NUMERACIÖN

1.1 Sistema binario: Está basado en la utilización exclusiva de dos números el 0 y el 1


para expresar cualquier magnitud. El sistema binario es compatible con los dispositivos
utilizados en electrónica digital puesto que todos los dispositivos utilizados trabajan con
dos estados lógicos 1 y 0 asimilables al 1 y 0 binarios.

Por ejemplo el número 110012 = 2510, lo cual se puede obtener de la forma siguiente:

110012 = 1x24 + 1x23 + 0x22 + 0x21 + 1x20 = 16 + 8 + 0 + 0 + 1 = 25.

En la siguiente tabla se muestra los pesos de las sucesivas posiciones de un número


binario cualquiera así como la forma de obtener el número decimal que éste representa:

PESOS 64 32 16 8 4 2 1
No Binario 1 0 1 1 1 0 0

El número binario del cuadro representa el siguiente número decimal:

10111002 = 64 + 16 + 8 + 4 = 9210. Este número se obtiene de la suma de los pesos


asociados a los dígitos 1 del número binario en cuestión.

Ahora bien, para convertir un número decimal a binario se realiza divisiones sucesivas del
número decimal entre la base binaria 2. El residuo de cada división se convierte en parte
del número binario: Por ejemplo:

Para convertir el número 50 decimal a binario se procede de la siguiente forma:

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 1 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

50 2
0 25 2
1 12 2
0 6 2
0 3 2
1 1

Sentido de formación del número binario.

Esto implica que:


El número 5010 = 1100102

1.2 Sistema Hexadecimal: Se trata de un sistema de numeración en base 16 que utiliza


los siguientes códigos: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F.
Este sistema es ampliamente utilizado simplifica notablemente la escritura de los
números binarios. Todos los números del sistema hexadecimal se pueden expresar con
cuatro dígitos o bits binarios, lo que facilita la transposición entre estos dos sistemas.
Por ejemplo el número 4F716 = 0100 1111 01112.

DECIMAL HEXADECIMAL BINARIO


0 0 0000
1 1 0001
2 2 0010
3 3 0011
4 4 0100
5 5 0101
6 6 0110
7 7 0111
8 8 1000
9 9 1001
10 A 1010
11 B 1011
12 C 1100
13 D 1101
14 E 1110
15 F 1111

Representación de números en el sistema hexadecimal.

Para convertir un número binario en hexadecimal se agrupan los dígitos de 4 en 4 de


izquierda a derecha, añadiendo los ceros que sean necesarios. Por ejemplo:
El número 01001011101112 = 0000 1001 0111 01112 = 097716.

Para convertir un número hexadecimal en decimal se emplea el sistema de sumar el


valor que representa cada dígito según su posición multiplicando por las diversas
potencias de la base que en este caso es 16. Por ejemplo:

6E416 = 6x162 + 14x161 + 4x160 = 1536 + 224 + 64 = 182410

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 2 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Para convertir un número decimal a hexadecimal, se efectuarán divisiones sucesivas


entre 16, cuando no sea posible continuar dividiendo, se formará el número hexadecimal
con el último cociente seguido de los residuos obtenidos. Por ejemplo:

225 16
224
1 14

22510 = E116 .

1.3 Código BCD: Código decimal codificado en binario.


Este código representa los números decimales del 0 al 9 utilizando 4 dígitos binarios para
cada número decimal. Por ejemplo: El número 45910 = 0100 0101 1001 (BCD)
Este código es ampliamente utilizado en las máquinas y computadoras.

DECIMAL BCD
0 0000
1 0001
2 0010
3 0011
4 0100
5 0101
6 0110
7 0111
8 1000
9 1001

2. PUERTAS LÓGICAS

2.1 Puerta AND “Y”: Dispositivo lógico cuyo nivel de salida representa el producto
lógico de los niveles lógicos presentes en sus entradas. La salida de una puerta lógica
AND es un nivel lógico “1” solamente si todas sus entradas poseen nivel lógico “1” , de lo
contrario el nivel lógico de salida es “0” . El símbolo lógico, la tabla de verdad y el circuito
eléctrico equivalente se muestran a continuación:
CIA S = A.B
1 A B
A 3 0 0 0
2 S
B 0 1 0
A B S
7408 1 0 0
1 1 1
Símbolo S = A.B Circuito Equivalente

2.2 Puerta OR “O”: Dispositivo lógico cuyo nivel de salida representa la suma lógica de
los estados lógicos presentes en sus entradas.. La salida de una puerta lógica OR es un
nivel lógico “1” si por lo menos una de sus entradas o ambas presenta nivel lógico “1”, por
el contrario la salida de una puerta OR es un nivel lógico “0” si todas sus entradas
presentan nivel lógico “0”. El símbolo lógico, la tabla de verdad y el circuito eléctrico
equivalente se muestran a continuación:

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 3 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

CIA
1 A B S = A+ B
A 3
A
2 S 0 0 0
B 0 1 1
7432 1 0 1 S
1 1 1 B
Símbolo S = A+ B Circuito Equivalente

2.3 Puerta NOT “NO”: Dispositivo lógico cuyo estado de salida representa la negación
del estado lógico presente en la entrada. La salida de una puerta NOT es un nivel lógico
“1” cuando su entrada presenta nivel lógico “0” y viceversa. El símbolo lógico, la tabla de
verdad y el circuito eléctrico equivalente se muestran a continuación:
CIA

1 2
A
A S A S=A
0 1
7404 1 0
S
Símbolo S=A Circuito Equivalente

2.4 Puerta NAND “NO Y”: Dispositivo lógico cuyo estado de salida representa la
negación de los estados lógicos de salida de una puerta AND. La salida de una puerta
NAND es un “1” lógico cuando por lo menos una de sus entradas o todas presentan nivel
lógico “0” , por el contrario la salida asume el estado lógico “0” cuando todas sus entradas
presentan estado lógico “1”. El símbolo lógico, la tabla de verdad y el circuito eléctrico
equivalente se muestran a continuación:

A B S = A.B
A B
CIA
1
A 3 0 0 1
2 S 0 1 1
B 1 0 1 S
7400
1 1 0
Símbolo S = A.B Circuito Equivalente

2.5 Puerta NOR “NO O”: Dispositivo lógico cuyo estado de salida representa la negación
de los estados lógicos de salida de una puerta OR. La salida de una puerta NOR es un
“0” lógico cuando por lo menos una de sus entradas o todas presentan nivel lógico “1” ,
por el contrario la salida asume el estado lógico “1” cuando todas sus entradas presentan
estado lógico “0”. El símbolo lógico, la tabla de verdad y el circuito eléctrico equivalente
se muestran a continuación:
A
CIA A B S = A+ B
1
A 3
0 0 1 B
2 S 0 1 0
B 1 0 0 S
7400
1 1 0
Símbolo S = A+ B Circuito Equivalente

2.6 Puerta XOR “OR Exclusiva”: Dispositivo lógico cuyo estado de salida es un nivel
lógico “1” cuando sus dos entradas presentan niveles lógicos diferentes, por el contrario
ELECTRÓNICA INDUSTRIAL REF HT – 01
HCT 4 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

su salida asume el estado lógico “0” cuando sus dos entradas presentan estado lógicos
iguales. El símbolo lógico, la tabla de verdad y el circuito lógico equivalente se muestran
a continuación:

CIA
A 1 A B S = A⊕B
3
2 S 0 0 0
B 0 1 1
7486 1 0 1
1 1 0
Símbolo S=A⊕B
B A
CIC
CIA
5 6 1
3
2 CIB
7404 4
6
7408
CIA 5 S
CIC 1
3
5 6 2 7432

7408
7404
Circuito Lógico Equivalente

2.7 Puerta XNOR “NOR Exclusiva”: Dispositivo lógico cuyo estado de salida representa
la negación del estado de salida de una puerta OR exclusiva. La salida de una puerta
NOR exclusiva asume el estado lógico “0” cuando sus dos entradas presentan niveles
lógicos diferentes, por el contrario su salida asume el estado lógico “1” cuando sus dos
entradas presentan estado lógicos iguales. El símbolo lógico, la tabla de verdad y el
circuito lógico equivalente se muestran a continuación:
CIA A B S = A⊕ B
1
A 3
0 0 1
2 S 0 1 0
B 1 0 0
74AHCT266
1 1 1
Símbolo S = A⊕ B
B A

CIA
1
3
2 CIB
4
CIC 7408
CIA 5
6
S
5 6 1
3
2 7432
7404
7408
CIC

5 6

7404

Circuito Lógico Equivalente

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 5 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

3. ALGEBRA DE BOOLE

3.1 AXIOMAS PARA LA RESOLUCIÓN DE ECUACIONES LÓGICAS:

Primer Axioma: El producto lógico de “1” por una función lógica cualquiera, es igual a la
función.
A . 1 = A CIA
A 1
3
A
1 2

7408

Segundo Axioma: El producto lógico de una función lógica cualquiera, por “0”, es igual
a cero.
A.0=0

CIA
A 1
3
0
0 2

7408

Tercer Axioma: El producto lógico de una función lógica cualquiera por la misma función,
es igual a la misma función.
A.A=A
CIA
A 1
3
A
A 2

7408

Cuarto Axioma: El producto lógico de una función lógica cualquiera por la negación de la
misma es igual a cero.
A.Ā=0
CIA
A 1
3
0
A 2

7408

Quinto Axioma: La suma lógica de “1” mas una función lógica cualquiera, es igual a “1”.
A+1=1

CIA
A 1
3
1
1 2

7432

Sexto Axioma: La suma lógica de una función lógica cualquiera, mas “0”, es igual a la
función.
A+0=A

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 6 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
CIA
A 1
3
A
0 2

7432

Sétimo Axioma: La suma lógica de una función lógica cualquiera, mas la misma función
es igual a la misma función.
A+A=A
CIA
1
A 3
2 A
A
7432

Octavo Axioma: La suma lógica de una función lógica cualquiera, mas la negación de la
misma función es igual a “1”.
A+Ā=1

CIA
1
A 3
2 1
A
7432

Noveno Axioma:
A+B=B+A
A.B=B.A

Décimo Axioma:
A + B + C = A + (B + C) = (A + B) + C = (A + C) + B
A . B . C = A (B . C) = (A . B) C = (A . C) B

Undécimo Axioma:
A (B + C) = A .B + A . C

Duodécimo Axioma:
A + B . C = (A + B) . (A + C)

Treceavo Axioma:
A + A.B = A

Catorceavo Axioma:
A+Ā.B=A+B

3.2 TEOREMAS DE MORGAN:


1er Teorema: La inversa de la suma lógica de dos o más variables es igual al producto
lógico de los inversos de dichas variables.
A + B = A . B
P1A P2A
2 2
A 1
A 1
3
S 3
S
B B
7402 7408
ELECTRÓNICA INDUSTRIAL REF HT – 01
HCT 7 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

2do Teorema: La inversa de un producto lógico de dos o más variables equivale a la


suma lógica de las inversas de dichas variables.
A . B = A + B
P1A P2A
1 1
A 3
A 3
2
S 2
S
B B
7400 7432

4. FAMILIAS LÓGICAS

Todos los dispositivos lógicos son encapsulados en circuitos integrados, los cuales
constituyen circuitos electrónicos funcionales fabricados en un mismo proceso sobre un
sustrato común llamado CHIP y dentro de una misma cápsula.

En un principio el CI podía solamente contener una o dos puertas lógicas, en la


actualidad con el avance de la tecnología en su fabricación, se ha conseguido que un CI
pueda contener miles de puertas lógicas. Con el fin de expresar el grado de complejidad
y capacidad de los CI digitales se han utilizado diferentes terminologías y parámetros en
función de la cantidad de compuertas lógicas que puede contener un CI:
SSI: Pequeña Escala de Integración, CI que contienen no más de 12 puertas lógicas.
MSI: Mediana Escala de Integración, CI que contiene de 12 a 99 puertas lógicas.
LSI y VLSI: Alta Escala de Integración y Muy alta escala de integración, CI que contiene
decenas de miles de puertas lógicas.
ULSI: Ultra alta escala de integración, CI que contiene más de 100 000 puertas lógicas.

Todos los CI digitales a excepción de algunos tipos LSI y VLSI como las memorias, se
dividen en familias lógicas. Ahora bien todos los elementos o dispositivos que pertenecen
a una familia son compatibles entre si, esto quiere decir que poseen las misma
características de funcionamiento.

Las familias lógicas más importantes son:

TTL: Logica Transistor-Transistor.


CMOS: Lógica Metal-Oxido Semiconductor con Transistores Complementarios.

4.1 Términos y Parámetros de los CI Digitales:

Parámetros de voltaje y corriente:


• VIH(min): voltaje de entrada de nivel alto; mínimo nivel de voltaje requerido para un “1”
lógico en una entrada.
• VIL(max): voltaje de entrada de nivel bajo, máximo nivel de voltaje requerido para un
“0” lógico en una entrada.
• VOH(min): voltaje de salida de nivel alto, mínimo nivel de voltaje requerido para un “1”
lógico en una salida.
• VOL(max): voltaje de salida de nivel bajo, máximo voltaje de salida requerido para un
“0” lógico en una salida.
• IIH: corriente de entrada de nivel alto, corriente que fluye en una entrada al aplicársele
un nivel alto.

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 8 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

• IIL: corriente de entrada de nivel bajo, corriente que fluye en una entrada al aplicársele
un nivel bajo.
• IOH: corriente de salida de nivel alto, corriente que fluye desde una salida en nivel alto
en determinadas condiciones de carga.
• IIH: corriente de salida de nivel bajo, corriente que fluye desde una salida en nivel bajo
en determinadas condiciones de carga.

Factor de Carga de Salida y Entrada (Fan-out, Fan-in)


• Fan-out: Máximo número de elementos lógicos que pueden conectarse a una salida.
• Fan-in: Máximo número de elementos lógicos que puede aceptar una entrada:

Retardos en la propagación:
• TPLH: Tiempo de retardo de una puerta lógica al pasar de “0” a “1” lógico.
• TPHL: Tiempo de retardo de una puerta lógica al pasar de “1” a “0” lógico.
Por lo general estos tiempos de retardo son distintos y dependen de las condiciones de
carga.

Requerimientos de Potencia:
La cantidad de potencia que necesita un CI se especifica por lo general en términos de
corriente ICC consumida por la fuente de alimentación y el voltaje que suministra VCC. La
potencia real es el producto de ambas cantidades. Sin embargo, en muchos CI el
consumo de corriente varia según los estados lógicos de los circuitos en el encapsulado,
de ahí que:
ICCH: Es el consumo de corriente de la fuente de alimentación cuando los niveles lógicos
del CI son altos, y
ICCL: Es el consumo de corriente de la fuente de alimentación cuando los niveles lógicos
del CI son bajos.
Por lo general estos dos valores son distintos siendo necesario calcular la corriente
promedio la cual es:

ICC(prom) =(ICCH + ICCL) / 2

Con lo cual el consumo de potencia promedio será:

PD (prom) = ICC(prom) x VCC

Producto Velocidad-Potencia:
En general, es deseable que un CI tenga menores retardos en la propagación (mayor
velocidad), así como bajos valores en la disipación de potencia. Un medio para comparar
y medir el desempeño global de una familia de CI en este aspecto es el producto
velocidad-potencia, el cual se define multiplicando el retardo de propagación de una
compuerta por la potencia que disipa. Por ejemplo una compuerta que tiene un retardo de
propagación de 10 ns y disipa una potencia de 10 mW, tendrá un producto velocidad-
potencia igual a:
10 ns x 10 mW = 100 x 10-12 W-s.

lo que equivale a 100 pj (picojoules). Obviamente es deseable que un CI tenga un valor


bajo de producto velocidad-potencia.

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 9 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Inmunidad al Ruido:
Se refiere a la capacidad de un CI para tolerar voltajes de entrada ruidosos sin alterar su
funcionamiento. La inmunidad al ruido se especifica en mV (milivoltios) o en V (voltios).
Podemos distinguir:
• VNL: Voltaje de ruido permisible en una entrada que se encuentra en nivel bajo.
• VNH: Voltaje de ruido permisible en una entrada que se encuentra en nivel alto.

4.2 Familia Lógica TTL:


La familia de CI TTL (Lógica de Transistor a Transistor) en la actualidad es de amplia
utilización, para su fabricación se utilizan transistores bipolares o BJT, y comprende las
siguientes series:
SERIE 74 (TTL ESTÁNDAR)
SERIE 74H (TTL DE BAJO CONSUMO DE POTENCIA): (Obsoleta)
SERIE 74H (TTL DE ALTA VELCIDAD): (Obsoleta)
SERIE 74S (TTL SCHOTTKY): (transistor barrera Schottky = 0,25v)
SERIE 74LS (TTL SCHOTTKY DE BAJO CONSUMO DE POTENCIA).
SERIE 74AS (TTL SCHOTTKY AVANZADA).
SERIE 74ALS (TTL AVANZADA SCHOTTKY DE BAJO CONSUMO DE POTENCIA).

A continuación se muestra una tabla comparativa de los parámetros de cada serie TTL:
FAMILIA LÓGICA TTL
Rangos de Voltaje de Vnominal = 5v
Alimentación ( VCC) Vmin = 4,45v
Mmax = 5,25V
Parámetros de
74 74L 74H 74S 74LS 74AS 74ALS
voltaje
VOH(min) 2,4 2,4 2,4 2,7 2,7 2,5 2,5
VOL(max) 0,4 0,4 0,4 0,5 0,5 0,5 0,4
VIH(min) 2,0 2,0 2,0 2,0 2,0 2,0 2,0
VIL(max) 0,8 0,7 0,8 0,8 0,8 0,8 0,8
Parámetros de
74 74L 74H 74S 74LS 74AS 74ALS
funcionamiento
Retardo de
9 33 6 3 9,5 1,7 4
propagación (ns)
Disipación de potencia
10 1 23 20 2 8 1
(mW)
Producto velocidad-
90 33 138 60 19 13,6 4,8
potencia (pj)
Factor de carga de
salida para la misma 10 20 10 20 20 40 20
serie (Fan-out)
Inmunidad al ruido
400
(mV)

Otras características de la familia TTL:

Entradas Flotantes, Cualquier entrada de un circuito TTL no conectada actúa como si


estuviera conectada a un nivel lógico alto, es necesario tener ello en consideración a la
hora de realizar circuitos con compuertas TTL.

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 10 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Entradas Interconectadas, Si dos o mas entradas TTL se interconectan, estas tendrán


un Fan-out que será la suma de los Fan-out de cada una de las entradas que intervienen
en la conexión a excepción de las compuertas NAND y AND, en estos casos el Fan-out
será el mismo en estado bajo.

Salidas TTL de Colector Abierto, En CI TTL convencionales es imposible interconectar


terminales de salida entre sí , ello podría producir el deterioro de los circuitos que
intervienen en la conexión, debido a un exceso en la corriente de salida de uno de ellos al
encontrarse éstos con diferentes niveles de salida. Con el fin de poder efectuar la
conexión AND alambrada utilizando CI TTL , éstos se han diseñado con salidas de
colector abierto que permite la conexión de un resistor exterior que limite la corriente de
salida cuando se efectúa la conexión de terminales de salida entre sí.

P1A P1C
1 9
A 3
A 8
2 P1A 10
B 1
B
7400 3 7400
P1B 2
S P1D
S
4 12
C 6 7408
C 11
5 13
D D
7400 7400

S = A.B . C.D S = A.B . C.D


Conexión con circuitos convencionales Conexión AND alambrada

Ambos circuitos son equivalentes, pero para que la conexión AND alambrada se efectúe
sin problemas, es necesario que las puertas del circuito de la derecha sean de colector
abierto.
Vcc
1

R
2

Puerta B Puerta A

Representación de las salidas de colector abierto interconectadas entre si.

Salidas TTLTriestado, Salida que permite tres estados de salida posibles: Alta, Baja y
Alta impedancia (Alta Z). El estado de alta impedancia es una condición en la que el
terminal de salida de un CI presenta alta impedancia en relación al terminal de tierra y a
VCC. Es decir, el terminal de salida está flotante y no presenta nivel alto ni nivel bajo.
Los CI triestado presentan además de sus terminales normales, un terminal adicional
cuyo estado lógico determina el funcionamiento del circuito, el cual es denominado
terminal de habilitación EN y puede ser activado en nivel alto o en nivel bajo. Por ejemplo,
ELECTRÓNICA INDUSTRIAL REF HT – 01
HCT 11 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

veamos el funcionamiento del siguiente circuito inversor triestado a través de su tabla de


verdad
EN
EN A S
1

O X Alta Z
A 2 3
S 1 0 1
1 1 0
P1A
74125

4.3 Familia Lógica CMOS:


La familia de CI CMOS (MOS Complementario) en la actualidad es también ampliamente
utilizada, para su fabricación se utilizan transistores MOSFET canal N y P, y comprende
las siguientes series:

SERIE 4000.
SERIE 74C: Compatible en terminales y en funciones con los dispositivos TTL que tienen
el mismo número.
SERIE 74HC(CMOS DE ALTA VELOCIDAD): Versión que mejora a la serie 74C,
compatible en terminales y en funciones con los dispositivos TTL de la serie 74LS.
SERIE 74HCT: De la serie CMOS de alta velocidad, compatible eléctricamente con los
dispositivos TTL.

A continuación se muestra una tabla comparativa de algunas de las características de la


series CMOS:

FAMILIA LÓGICA CMOS


Voltaje de Alimentación 40 74C 74HC 74HCT
3 a 15v 3 a 15v 2 a 6v 2 a 6v
Niveles de Voltaje 40 74C 74HC 74HCT
VOH(min) VDD VDD 4,9 4,9
VOL(max) 0 0 0,1 0,1
VIH(min) 70% VDD 70% VDD 3.5 2,0
VIL(max) 30% VDD 30% VDD 1,0 0,8
(VDD=5v) (VDD=5v)
Parámetros de funcionamiento 40 74C 74HC 74HCT
Disipasión de potencia (mW) - -
Depende de Depende de
Estática. 0,001 0,0025
la frecuencia la frecuencia
A 100MHz 0,1 0,17
Velocidad-potencia a 100 KHz
5 1.4 - -
(pj)
Retardo de propagación (ns) 50 8 Más veloz Más veloz
Factor de carga Depende del retardo permisible en la propagación
Margen de ruido en el peor de los
1,5 0,9 - -
casos (V)

Otras características de la familia CMOS:

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 12 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Entradas flotantes, las entradas no utilizadas en CMOS nunca deben dejarse


desconectadas, todas debes estar conectadas a un nivel fijo de voltaje. Una entrada
CMOS flotante, es susceptible al ruido y a cargas estáticas que fácilmente podrían activar
los canales MOSFET al estado conductor, produciendo mayor disipación de potencia y
posible sobrecalentamiento.

Salidas CMOS de Drenador Abierto, las salidas CMOS convencionales nunca deben
interconectarse, ello produciría un funcionamiento erróneo del circuito. Algunos
dispositivos CMOS están disponibles con salidas de drenador abierto, que son la contra
parte de los circuitos de colector abierto de TTL. Para interconectar salidas CMOS entre
sí es necesaria la conexión de un resistor externo.
Vcc
1

500R
P1A

A 1 2
2

P1B

B 3 4

P1C

C 5 6

Salidas CMOS Triestado, Varios CI CMOS tienen salidas triestado, su operación es


similar a los dispositivos triestado TTL.

5. SIMBOLOGIA IEEE: En 1984 se introdujo un nuevo conjunto de símbolos estándar


que proporcionan información más útil respecto al la función de un dispositivo digital
determinado. La ventaja que representa este tipo de simbología respecto a la
simbología tradicional es la facilidad con la que se puede distinguir las características de
funcionamiento de un dispositivo digital complejo.
A continuación mostramos el significado de algunos de los símbolos empleados por la
simbología IEEE que será necesario identificar a fin de familiarizarnos con esta
simbología cuya aceptación es cada vez mayor.
AmplificadorIzquierda
Amplificador Izquierda

AmplificadorDerecha
Amplificador derecha

SalidaTriestado
Salida triestado

Terminalactivo
Terminal activoen
ennivel
nivelbajo
bajoIzq.
derecha

Trminal
Te erminalactivo
activoen
ennivel
nivelbajo
bajoIzq.
izquierda

SSalidade
alida decolector
colectorabierto
abierto nivel
nivelalto.
alto

SS
aalida decolector
lida de colectorabierto
abierto nivel
nivelbajo.
bajo

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 13 / 14 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

6. CUESTIONARIO:

1.- Efectuar las siguientes conversiones:


a) 105 10 a Binario
b) 1011012 a Decimal
c) 165 10 a Hexadecimal
d) AF47 16 a Binario
e) 011110101012 a Hexadecimal

2.- Exprese los siguientes números en código BCD:


a) 467
b) 6599
c) 765
d) 30134

3.- Enumere los tipos de puertas lógicas estudiadas.

4.- Enumere los catorce axiomas del álgebra de Boole y establezca la relación de cada
axioma con la compuerta lógica correspondiente.

5.- Mencione cuáles son las implicancias de los teoremas de Morgan respecto a las
compuertas lógicas.

6.- Defina los términos y parámetros más importantes de los CI digitales.

7.- Que consideraciones es necesario tener en cuenta cuando se trata de entradas


interconectadas y flotantes en las tecnologías TTL y CMOS.

8.- Como deben conectarse las salidas de colector abierto y que ventajas presentan
respecto a una salida normal tanto en la tecnología TTL como CMOS.

9.- Explique el fundamento y aplicaciones de las compuertas triestado.

10.- Investigue el funcionamiento y aplicaciones de las compuertas Schmitt Trigger.

ELECTRÓNICA INDUSTRIAL REF HT – 01


HCT 14 / 14 HOJAS
VCC= 5V

SW DIP-4
1 8
2 7
3 6
4 5

D
C
S
B

1
A
1

330R
2,2K x 4

2
2

Circuito Lógico D1

No ORDEN DE EJECUCIÓN HERRAMIENTAS / INSTRUMENTOS


01 Armar y probar circuito combinacional con Protoboard.
puertas lógicas. Alicate de punta redonda.
Fuente DC.
Multímetro digital.
Punta lógica

PZA CANT DENOMINACIÓN – NORMA / DIMENSIONES MATERIAL OBSERVACIONES


MONTAJE DE CIRCUITOS DIGITALES
HT REF. HT - 02
COMBINACIONALES
Tiempo: 12 Horas HOJA 1/1
ELECTRÓNICA INDUSTRIAL Escala: 2003
LISTA DE MATERIALES

UNID.DE
ITEM CANTIDAD DESCRIPCIÓN CÓDIGO
MEDIDA
01 Pieza 02 CI. Puertas lógicas NAND 74LS00
02 Pieza 04 Resistores de 2,2 K ¼ W -
03 Pieza 01 Resistores de 330R ¼ W -
04 Pieza 01 Dip switch de 4 bits -
05 Pieza 01 LED -
06 Metros 0.5 Cable telefónico -
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Armar y probar circuito combinacional con puertas lógicas.

Consiste en aplicar los principales axiomas y teoremas del álgebra de Boole para el
diseño armado y prueba de un circuito combinacional sencillo que satisfaga una
aplicación particular, a partir de ciertas condiciones establecidas y a través de las
siguientes fases:
• Planteamiento del problema
• Obtención de la tabla de verdad
• Obtención de la ecuación lógica
• Simplificación de la ecuación obtenida
• Implantación del circuito original e
• Implantación con puertas NAND

PROCESO DE EJECUCIÓN:

1er Paso Planteamiento del problema, definición de las condiciones de operación del
circuito lógico:
“Un número binario de cuatro bits D C B A , donde D, es el bit MSB (Bit más
significativo) y A , es el LSB (Bit menos significativo). Diseñe un circuito
lógico que produzca una salida de nivel alto siempre que el código binario de
entrada sea mayor que 0011 y menor que 1001.”

2do Paso Obtenga la tabla de verdad en función del enunciado anterior :

D C B A S
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
1 0 1 0
1 0 1 1
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1
Tabla de verdad No 1

3er Paso Obtenga la ecuación lógica de la tabla de verdad No 1utilizando los “1”
lógicos, de modo que la ecuación obtenida quede expresada en la forma de
sumatoria de productos:

ELECTRÓNICA INDUSTRIAL REF HT - 02


HO 1 / 3 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
4to Paso Simplifique la ecuación lógica utilizando los axiomas y teoremas del álgebra
de Boole o el mapa de Karnaung:

5to Paso Implante el circuito lógico en función de la ecuación anterior y complete la


figura No 1
VCC= 5V

SW DIP-4
1 8
2 7
3 6
4 5

D
C
S
B
1

A
1

330R
2,2K x 4

2
2

Circuito Lógico D1

Figura No 1

6to Paso Implante el circuito con puertas NAND y complete la figura No 2.

ELECTRÓNICA INDUSTRIAL REF HT - 02


HO 2 / 3 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

VCC= 5V

SW DIP-4
1 8
2 7
3 6
4 5

D
C
S
B

1
A
1

330R
2,2K x 4

2
2

Circuito Lógico D1

Figura No 2

7mo Paso Conecte el circuito de la figura No 2, y verifique las conexiones realizadas.

8vo Paso Ajuste la fuente de alimentación a 5 voltios. Tenga la precaución de no


sobrepasar la máxima especificación de voltaje de alimentación y cuide
de aplicar la polaridad correcta.

9no Paso Utilizando el dip switch aplique a las entradas del circuito D C B A , los
códigos consignados en la tabla de verdad No 1, y verifique los estados de
salida, éstos deben coincidir con los de dicha tabla.

10mo Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT - 02


HO 3 / 3 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

CIRCUITOS LOGICOS COMBINACIONALES


INTRODUCCIÓN
En el apartado anterior estudiamos las diferentes compuertas lógicas y las relaciones que
éstas tienen con el álgebra de Boole . Ahora es necesario empezar a estudiar las
características de diseño y de funcionamiento de circuitos constituidos por combinaciones
de las compuertas lógicas ya estudiadas, a estos circuitos se les conoce como circuitos
lógicos combinatorios o combinacionales, puesto que el nivel lógico a la salida depende
en todo momento de los niveles lógicos de entrada. Un circuito combinacional no posee
características de memoria su salida sólo depende del valor que se presenta en sus
entradas.

En este apartado estudiaremos la forma como realizar circuitos lógicos que cumplan una
función determinada a través de las siguientes fases:
- Planteamiento del problema y/o elaboración de la tabla de verdad.
- Obtención de la ecuación lógica.
- Simplificación de la ecuación lógica.
- Implantación del circuito lógicos.

1. OBTENCIÓN DE UNA ECUACIÓN LOGICA A PARTIR DE UNA TABLA DE VERDAD

Una tabla de verdad representa las condiciones de entrada y salida de un circuito lógico,
cuando trabajamos con lógica positiva, son consideradas solamente aquellas condiciones
de entrada en las que la salida es un “1” lógico, en cuyo caso las funciones lógicas
quedan expresadas en la forma de sumatoria de productos, la expresión lógica así
obtenida representa el circuito lógico solución para dicha tabla de verdad, el cual puede
además ser sometido a un proceso de simplificación. Por ejemplo dada la siguiente tabla
de verdad, obtener la ecuación lógica:

A B C S Puesto que en la tabla de verdad se registran tres entradas A, B, C,


0 0 0 0 el número de posibles de estados de entrada se puede expresar en
0 0 1 1 función de: 2n , donde n representa el número de entradas. (23 = 8)
0 1 0 1 Para expresar la ecuación lógica tomaremos aquellas estados en los
0 1 1 1 que S =1. Por tanto La ecuación lógica será:
1 0 0 0 S = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C La cual representa la sumatoria de
1 0 1 0 los productos de los estados lógicos de las entradas para las cuales
1 1 0 0 el valor de S = 1. Es decir:
1 1 1 0 S=001+010+011

2. SIMPLICACIÓN DE FUNCIONES Y CIRCUITOS LÓGICOS

Una vez que se ha obtenido la expresión lógica para un determinado circuito lógico, se
procede a la reducción algebraica de la expresión a través de la utilización de los axiomas
y teoremas del álgebra de Boole. La nueva expresión lógica simplificada se podrá utilizar
para implantar un circuito equivalente al original pero con menos puertas y conexiones.
Por ejemplo el circuito lógico de la expresión lógica anterior es el siguiente:

ELECTRÓNICA INDUSTRIAL REF HT - 02


HCT 1 / 9 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

A B C CIA

1 2

7404 CIA
CIA 1
2 12
1 2 13

7411
7404
CIA
CIA C1A
1 2 1 1
2 12 2 12
13 13 S
7404
7411 7427

CIA
1
2 12
13

7411

Si simplificamos la expresión anterior utilizando los axiomas del álgebra de Boole


tendremos:

S = A⋅ B ⋅C + A⋅ B ⋅C + A⋅ B ⋅C

Utilizando el décimo axioma: A(B + C) = A . B + A . C, tendremos:


S = A(B ⋅ C + B ⋅ C + B ⋅ C )

Utilizando el mismo teorema anterior:


S = A(B ⋅ C + B(C + C ))

Utilizando el octavo axioma A + A = 1 :


S = A(B ⋅ C + B(1))

Utilizando el quinto axioma A ⋅1 = A :


S = A(B ⋅ C + B )

Utilizando el noveno axioma A + B = B + A:


S = A(B + B ⋅ C )

Utilizando el catorceavo axioma A + A ⋅ B = A + B :


S = A(B + C )

Por último utilizando el décimo axioma A(B + C) = A . B + A . C , tendremos:


S = A⋅ B + A⋅C

Por lo que el circuito equivalente será:

ELECTRÓNICA INDUSTRIAL REF HT - 02


HCT 2 / 9 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

A B C
C1A
C2A
1 2 1
3
2
7404 C3A
7408 1
3
2 S
C2B
4 7432
6
5

7408
3. SIMPLIFICACIÓN DE FUNCIONES LÓGICAS UTILIZANDO EL MAPA DE
KARNAUGH

La simplificación de funciones lógicas utilizando el mapa de Karnaugh se realiza a través


de los siguientes pasos:

1.- Dibujando el mapa en función al número de variables.

a. Cuando se trata de dos variables (A, B)

B B B B

A A

A A

b. Cuando se trata de tres variables (A, B, C)

B B B

c. Cuando se trata de cuatro variables (A, B, C, D)


C C

B
A

A
B

D D
ELECTRÓNICA INDUSTRIAL REF HT - 02
HCT 3 / 9 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

2.- Cada cuadrado, de cada una de las figuras geométricas, está definido por la
intersección de las variables convergentes. Por ejemplo, en el caso de 4 variables, el
cuadrado sombreado está representado y definido por ABCD.

C C

A B

A
B

D D D

3.- Colocar el dígito 1, para cada valor de salida 1 de la función lógica a simplificar, en los
recuadros respectivos.

4.- Agrupar los dígitos 1 en la siguiente secuencia (siempre que sean términos
adyacentes):

• Grupos de 16
• Octetos
• Cuadros
• Pares, o
• Individualmente

5.- Unir los grupos, para eliminar aquellos que se superponen.

6.- Unir los resultados obtenidos mediante signos +.

Ejemplo 1: Dada la siguiente tabla de verdad, obtener la ecuación lógica y simplificarla


utilizando el mapa de Karnaugh:
A B S
0 0 1
0 1 0
1 0 1
1 1 1
f = A⋅ B + A⋅ B + A⋅ B

Una vez obtenida la ecuación lógica de la tabla de verdad procedemos a la simplificación:

1º Paso: Desarrollar la función en binario y colocar las variables en orden

f = 00 + 10 + 11 = A B + A B + AB

2º Paso: Construir el Mapa de Karnaugh, con 2 variables.

ELECTRÓNICA INDUSTRIAL REF HT - 02


HCT 4 / 9 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

B B

3º Paso: Colocar dígitos 1 por cada sumando de la función lógica obtenida en los
recuadros correspondientes.

Como f = A B + A B + AB :

B B B B B B

A A 1 A 1
AB = AB = AAB
B =
A 1 A A

Luego f = A B + A B + AB , graficada en el Mapa de Karnaugh.

B B

A 1 1

A 1

4º Paso: Agrupar los dígitos 1. En este caso, se hace por pares.

B B

A 1 1

A 1

5º Paso: Obtener el resultado de los grupos, así:

El grupo horizontal está definido por A, en este grupo B queda eliminado por pertenecer

B⋅ y⋅B
a:
El grupo vertical está definido por B, en este grupo A queda eliminado por pertenecer a:
A⋅ y ⋅ A

Luego el resultado será


ELECTRÓNICA INDUSTRIAL REF HT - 02
HCT 5 / 9 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

f = A+ B
Podemos comprobar el resultado anterior efectuando la minimización, mediante los
teoremas del Álgebra de Boole. Luego:
f = ∑ (0, 2, 3) f = 00 + 10 + 11
f = A B + AB + AB = A B + A ( B + B)
f = AB+ A= A+B

Ejemplo 2: Minimizar la siguiente función:


f = ∑ ( 4, 6, 9, 11, 12,14)

f = 0100 + 0110 + 1001 + 1011 + 1100 + 1110

f = A B C D + A B C D + AB C D + A B C D + A B C D + A B C D
Como hay 4 variables, se tendrán en cuenta para ubicar los dígitos. Ejemplo:
ABCD = ABCD =
C C C C

A B A B

1
B B
1
A A
B B

D D D D D D
Luego, la función graficada y agrupada por pares y/o cuadros en el Mapa de Karnaugh,
es:

a sea :
C C C C

A B A B

1 1 1 1
B B
1 1 1 1
A A
1 1 B 1 1 B

D D D D D D

La agrupación tomada da como resultado:

Grupo de cuatro B D, porque A + A = 1 y C + C = 1 (Se eliminan)


Grupo horizontal de dos ABD porque C + C = 1 (Se elimina)

ELECTRÓNICA INDUSTRIAL REF HT - 02


HCT 6 / 9 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Luego, la función minimizada es: f = A BD + B D

4. IMPLANTACIÓN DE CIRCUITOS LÓGICOS:

Cuando una ecuación lógica esta expresada en la forma de sumatoria de productos para
su implantación se requieren una o mas compuertas AND y una sola compuerta OR. Una
de las razones por las que se utiliza la forma de sumatoria de productos es que se puede
implantar usando solo puertas NAND . lo que incrementa en casi nada o muy poco la
complejidad del circuito en relación con las implantaciones AND / OR . Esta e s una
característica importante puesto que las compuertas NAND son el tipo de compuerta más
comercial de la familia TTL.

A fin de implantar un circuito lógico utilizando puertas NAND, la expresión lógica del
mismo debe necesariamente estar expresada en la forma sumatoria de productos, de esta
manera la implantación con NAND consiste sólo en reemplazar cada compuerta del
circuito original por una compuerta NAND . La única excepción se da cuando la expresión
de la forma sumatoria de productos contiene términos con una sola variable como por
ejemplo S = A + AB , en este caso la implantación requiere de una compuerta NAND que
actué como inversor para el término que posee una sola variable.

Por ejemplo sea la función lógica:

S = AB + AC

El circuito lógico correspondiente a la implantación con puertas lógicas AND OR y NOT y


la implantación con puertas NAND se muestran en la figura siguiente:
A B C

C1A
C2A
1 2 1
3
2 C3A
7404 1
7408 3 S
C2B 2
4
6 7432
5

7408

A B C

U?A
1 U?A
3 1
2 3
2 U?A
7400 1
7400 3 S
U?A 2
1
3 7400
2

7400

ELECTRÓNICA INDUSTRIAL REF HT - 02


HCT 7 / 9 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

5. SIMBOLOGÍA IEEE
1 1 1 2
& 1 1
2 3 2 3
3 4
4 4
5 6 5 6 5 6

9 9 9 8
10 8 10 8
11 10
12 12
13 11 13 11 13 12

7408 7432 7404

1 2
& 1
2 3 3 1

4 5
5 6 6 4

9 8
10 8 9 10

12 11
13 11 12 13

7400 7402

ELECTRÓNICA INDUSTRIAL REF HT - 02


HCT 8 / 9 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

6. CUESTIONARIO

1.- Dadas las siguientes ecuaciones lógicas, realizar el circuito lógico correspondiente,
utilizando puertas lógicas AND. OR y NOT:
S = A⋅ B ⋅C + A⋅ B ⋅C + A⋅ B ⋅C
S = AB(C + D )
S = ( A + B + C D E ) + BC D
S = MN (P + N )

2.- Dado el circuito de la figura siguiente, obtener la ecuación lógica correspondiente:


A 1
3
B 2

7408 1
3 S
2
C 4
6 7486
D 5

7408

3.- Simplificar las siguientes funciones utilizando el álgebra de Boole:

S = ABC
S = (P + Q )(P + Q )
S = PQ R + PQR + PQ R + PQR + PQR
S = ABCD + ABC D + ABC D + ABC D + ABC D + ABC D + ABC D + ABCD

4.- Utilizando el mapa de Karnaugh diseñe un circuito lógico que convierta el código BCD
al formato siete segmentos. Investigue respecto a las condiciones “NO IMPORTA “
utilizadas para la simplificación de funciones con mapa de Karnaugh.

5.- Implemente el circuito anterior utilizando puestas lógicas NAND.

6.- Realice la interpretación de la simbología IEEE para puertas lógicas y explique las
ventajas en relación a la simbología tradicional.

ELECTRÓNICA INDUSTRIAL REF HT - 02


HCT 9 / 9 HOJAS
SW DIP-6
1 12
2 11
3 10
4 9 Vcc= 5v
5 8
6 7

1 15
2 A Y0 14
3 B Y1 13
C Y2 12
Y3 11
6 Y4 10
4 G1 Y5 9
5 G2A Y6 7
G2B Y7

1
74LS138

330R x 8
1

2,2K x 6

2
2

D8 D7 D6 D5 D4 D3 D2 D1

No ORDEN DE EJECUCIÓN HERRAMIENTAS / INSTRUMENTOS


01 Armar y probar circuitos decodificadores Protoboard.
02 Armar y probar circuito decodificador BCD a Alicate de punta redonda.
7 segmentos. Fuente DC.
Multímetro digital.
Punta lógica

PZA CANT DENOMINACIÓN – NORMA / DIMENSIONES MATERIAL OBSERVACIONES


MONTAJE DE CIRCUITOS
HT REF. HT - 03
DECODIFICADORES
Tiempo: 12 Horas HOJA 1/1
ELECTRÓNICA INDUSTRIAL Escala: 2003
LISTA DE MATERIALES

UNID.DE
ITEM CANTIDAD DESCRIPCIÓN-ESPECIFICACIONES CÓDIGO
MEDIDA
01 Pieza 01 CI. Decodificador de 2 a 4 74LS139
02 Pieza 01 CI. Decodificador de 3 a 8 74LS138
03 Pieza 01 CI. Decodifcador BCD a 7 segmentos 74LS47
04 Pieza 01 Display ánodo común -
05 Pieza 06 Resistores 2,2 K ¼ W -
06 Pieza 08 Resistores 330 Ω - ¼ W -
07 Pieza 01 Dip switch 8 bits -
08 Pieza 04 Diodos LED -
09 Metros 01 Cable telefónico. -
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Armar y probar circuitos decodificadores.

Consiste en conectar circuitos sencillos con la finalidad de comprobar experimentalmente


el funcionamiento de los circuitos decodificadores binarios, utilizando para ello las tablas
de verdad que resumen su funcionamiento y comprendiendo previamente la función de
cada uno de sus terminales.

Los decodificadores se emplean siempre que es necesario activar una salida o conjunto
de ellas cuando se presenta un determinado código en la entrada, estos niveles
provienen con frecuencia de un contador binario o un registro.
Los decodificadores se emplean con frecuencia en los sistemas de memoria de una
computadora, respondiendo a las direcciones provenientes de la unidad de
procesamiento central para activar la localidad de memoria señalada por la dirección,
denominándose en este caso como decodificador de direcciones.

PROCESO DE EJECUCIÓN:
1.- ARMAR Y PROBAR CIRCUITO DECODIFICADOR DE 2 A 4:

1er Paso Identificar el CI 74LS139 utilizando el manual ECG o el diagrama que


mostramos a continuación, identifique también los demás dispositivos
empleados en el circuito y compruebe su estado de operación.

2do Paso Conecte el circuito de la figura No 1 y revise las conexiones efectuadas.

3er Paso Ajuste la fuente de alimentación a 5 voltios y alimente el circuito. Tenga la


precaución de no superar la máxima especificación de tensión de
alimentación y cuide además de aplicar la polaridad correcta.

4to Paso Utilizando los dip switch, aplique a los terminales de entradas A, B y al
terminal de habilitación G (activo en nivel bajo) los niveles lógicos
consignados en la tabla de verdad No 1 y verifique y anote los niveles
lógicos observados en los terminales de salida.
Tenga presente que el terminal de entrada B es el MSB (Bit más
significativo) del código binario de entrada y el terminal de entrada A es el
LSB (Bit menos significativo) del mismo código.

ELECTRÓNICA INDUSTRIAL REF HT - 03


HO 1 / 4 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
SW DIP-3
1 6 Vcc= 5v
2 5
3 4

2 4
3 A Y0 5
B Y1 6
1 Y2 7
G Y3
74LS139
1

1
330R x 4
2,2K X 3
2

2
D4 D3 D2 D1

Figura No 1

T. Habilitación T. de Entrada T. de Salida


G B A Y3 Y2 Y1 Y0
1 X X
0 0 0
0 0 1
0 1 0
0 1 1
Tabla de verdad No 1

5to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

2.- ARMAR Y PROBAR CIRCUITO DECODIFICADOR DE 3 A 8:

1er Paso Identificar el CI 74LS138 utilizando el manual ECG o el diagrama anterior,


identifique también los demás dispositivos empleados en el circuito y
compruebe su estado de operación.

2do Paso Conecte el circuito de la figura No 2 y revise las conexiones efectuadas.

ELECTRÓNICA INDUSTRIAL REF HT - 03


HO 2 / 4 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
SW DIP-6
1 12
2 11
3 10
4 9 Vcc= 5v
5 8
6 7

1 15
2 A Y0 14
3 B Y1 13
C Y2 12
Y3 11
6 Y4 10
4 G1 Y5 9
5 G2A Y6 7
G2B Y7

1
74LS138

330R x 8
1

2,2K x 6

2
2

D8 D7 D6 D5 D4 D3 D2 D1

Figura No 2

3er Paso Ajuste la fuente de alimentación a 5 voltios y alimente el circuito. Tenga la


precaución de no superar la máxima especificación de tensión de
alimentación y cuide además de aplicar la polaridad correcta.

4to Paso Utilizando los dip switch, aplique a los terminales de entradas A, B, C y a
los terminales de habilitación G21, G2A, G2B los niveles lógicos
consignados en la tabla de verdad No 2 y verifique y anote los niveles
lógicos observados en los terminales de salida.
Tenga presente que el terminal de entrada C es el MSB (Bit más
significativo) del código binario de entrada y el terminal de entrada A es el
LSB (Bit menos significativo) del mismo código.

T. Habilitación T. de Entrada T. de salida


G1 G2A G2B C B A Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0
0 X X X X X
X 1 X X X X
X X 1 X X X
1 0 0 0 0 0
1 0 0 0 0 1
1 0 0 0 1 0
1 0 0 0 1 1
1 0 0 1 0 0
1 0 0 1 0 1
1 0 0 1 1 0
1 0 0 1 1 1
Tabla de verdad No 2
ELECTRÓNICA INDUSTRIAL REF HT - 03
HO 3 / 4 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
5to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT - 03


HO 4 / 4 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Armar y probar circuito decodificador BCD a 7 segmentos.

Consiste en conectar circuitos sencillos con la finalidad de comprobar experimentalmente


el funcionamiento de un circuito decodificador BCD a 7 segmentos, utilizando para ello
las tablas de verdad que resumen su funcionamiento y comprendiendo previamente la
función de cada uno de sus terminales.

Una gran parte de estos decodificadores utilizan una configuración de 7 segmentos para
presentaciones numéricas. Estos dispositivos acompañados de los visualizadores de 7
segmentos son utilizados para la visualización decimal de datos procesados en código
BCD, los cuales proviene normalmente de un contador o un registro.

PROCESO DE EJECUCIÓN:

1er Paso Identificar el CI 74LS47 utilizando el manual ECG o el diagrama que


mostramos a continuación, identifique también los demás dispositivos
empleados en el circuito y compruebe su estado de funcionamiento.
NOTA: El visualizador utilizado debe ser del tipo ánodo común, utilizando un
multímetro o el manual ECG identifique sus terminales.

2do Paso Conecte el circuito de la figura No 1 y revise las conexiones efectuadas.

3er Paso Ajuste la fuente de alimentación a 5 voltios y alimente el circuito. Tenga la


precaución de no superar la máxima especificación de tensión de
alimentación y cuide además de aplicar la polaridad correcta.

4to Paso Utilizando los dip switch, aplique a los terminales de entradas D, C, B, A, LT
y RBI, los niveles lógicos consignados en la tabla de verdad No 1 y verifique
utilizando un multímetro los niveles lógicos que aparecen en los terminales
de salida A,B,C,D,E,F,G Y RBO así como el número visualizado. Anote
estos datos en dicha tabla.

ELECTRÓNICA INDUSTRIAL REF HT - 03


HO 1 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
Tenga presente que el terminal de entrada D es el MSB (Bit más
significativo) del código BCD de entrada y el terminal de entrada A es el
LSB (Bit menos significativo) del mismo código.
SW DIP-6 Vcc = 5v
1 12
2 11
3 10
4 9
5 8
6 7 5v

A 7 13 1 2
1 1 A 12 1 2
B 2 2 B 11 1 2
C 6 4 C 10 1 2
D 4 8 D 9 1 2
5 BI/RBO E 15 1 2
3 RBI F 14 1 2
LT G
74LS47 330R x 7
1

1
2,2K x 6

330R
2

D1

Figura No 1

ENTRADAS SALIDAS
N BI/RBO
LT RBI D C B A A B C D E F G
1 1 0 0 0 0
1 1 0 0 0 1
1 1 0 0 1 0
1 1 0 0 1 1
1 1 0 1 0 0
1 1 0 1 0 1
1 1 0 1 1 0
1 1 0 1 1 1
1 1 1 0 0 0
1 1 1 0 0 1
1 1 1 0 1 0
1 1 1 0 1 1
1 1 1 1 0 0
1 1 1 1 0 1
1 1 1 1 1 0
1 1 1 1 1 1
1 0 0 0 0 0
0 X X X X X
Tabla de verdad No 1

5to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT - 03


HO 2 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

CIRCUITOS DECODIFICADORES
INTRODUCCIÓN:

Los sistemas digitales trabajan con datos e informaciones codificadas en binario que son
continuamente utilizados de alguna manera. Una operación de las más utilizadas para el
procesamiento de datos binarios es la decodificación, operación que se realiza a través
de un CI MSI capaz de convertir un tipo de código en otro.

Los circuitos decodificadores se diseñan en base a compuertas lógicas mediante los


procedimientos utilizados en el diseño de circuitos lógicos combinacionales, estudiados
en el tema anterior.

En esta parte estudiaremos la estructura básica, el principio de funcionamiento, las


características de interconexión con otros circuitos y las aplicaciones más importantes de
los circuitos decodificadores binarios y de aquellos circuitos decodificadores que
convierte el código BCD al l formato 7 segmentos para la visualización decimal o
hexadecimal de datos.

1. DECODIFICADORES BINARIOS:

Un decodificador es un circuito lógico que posee un número de terminales de entrada


por lo general menor al número de terminales de salida.
En un circuito decodificador el código de entrada es convertido en la salida en otro tipo de
código, además para cada código de entrada existe un código único de salida
correspondiente.
Los decodificadores presentan por lo general tres tipos de terminales:
Terminales de entrada, denotados por lo general con la letra I, o con letras mayúsculas
como A, B, C...
Terminales de salida, denotados por lo general con la letra On o Yn, donde n representa
el número de salida y,
Terminales de habilitación o de control de CI, denotados por lo general con la letra G, o la
sigla EN (Habilitación).
Terminales de Salida
Terminales de Entrada

DECODIFICADOR

Terminales de Control o de
Habilitación.

ELECTRÓNICA INDUSTRIAL REF HT - 03


HCT 1 / 8 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Los decodificadores binarios constituyen el tipo de decodificador más comúnmente


usado, este decodificador posee n entradas y 2n salidas por lo que se le conoce como
decodificador de n a 2n o como decodificador 1 de 2n .
Por ejemplo un decodificador binario que posee cuatro terminales de entrada, tendrá 24 =
16 salidas y se le denominará, decodificador de 4 a 16. Al poseer cuatro entradas
binarias, estas cuatro entradas soportarán solamente 24 = 16 códigos binarios diferentes,
cada uno de los cuales activará una única salida, debido a ello a este decodificador
también se le conocerá como decodificador 1 de 16.

A continuación se muestra la tabla de verdad de un circuito decodificador binario de 2 a 4.

T. Habilitación T. de Entrada T. de Salida


EN I1 I0 O3 O2 O1 O0
0 X X 0 0 0 0
1 0 0 0 0 0 1
1 0 1 0 0 1 0
1 1 0 0 1 0 0
1 1 1 1 0 0 0

Las ecuaciones lógicas:

O0 = I1 ⋅ I 0
O1 = I1 ⋅ I 0
O 2 = I1 ⋅ I 0
O3 = I 1 ⋅ I 0

Circuito lógico.
C3A
C1A
1 2 1
I0 2 12
13 O0
7404
C1

C1B
3
4 6
C3B 5 O1
3 4 7411
I1
C1C
7404 9
10 8
11 O2
7411

C2A
1
2 12
13 O3
EN
7411

El circuito decodificador anterior posee una entrada de habilitación activa en nivel alto, de
modo que si el terminal EN se encuentra en nivel bajo todas las salidas estarán inactivas

ELECTRÓNICA INDUSTRIAL REF HT - 03


HCT 2 / 8 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

es decir estarán en nivel bajo sin importar el código que esté presente en las entradas I0
e I1, por el contrario si EN asume un nivel lógico alto el decodificador responderá a los
códigos de entrada, es decir; activará con un nivel alto la salida O0 cuando el código
presente en las entradas I1 e I0 sea 0 0; activará con un nivel alto la salida O1 cuando el
código presente en las entradas I1 e I0 sea 0 1; activará con un nivel alto la salida O2
cuando el código presente en las entradas I1 e I0 sea 1 0; y por último activará con un
nivel alto la salida O3 cuando el código presente en las entradas I1 e I0 sea 1 1.

1.1 Decodificador Dual 74LS139: Es un decodificador binario dual de 2 a 4 (o


decodificador 1 de 4), pertenece a la familia lógica TTL, Como la mayoría de los
decodificadores comerciales el 74LS139 tiene sus terminales de salida activos en nivel
bajo debido a que las compuertas inversoras son más rápidas que las no inversoras. Así
mismo su terminal de habilitación es activo en nivel bajo, lo que significa que para que el
74LS139 trabaje normalmente es necesario llevar el terminal de habilitación a nivel bajo,
de lo contrario el CI no estará habilitado y sus terminales de salida estarán inactivos es
decir en este caso todos estarán en nivel alto.
A continuación se muestra la tabla de verdad y el símbolo lógico del CI 74LS139.

T. Habilitación T. de Entrada T. de Salida


G B A Y3 Y2 Y1 Y0
1 X X 1 1 1 1
0 0 0 1 1 1 0
0 0 1 1 1 0 1
0 1 0 1 0 1 1
0 1 1 0 1 1 1

Algunos fabricantes en las hojas de datos indican los niveles lógicos utilizando las letras
H = 1 y L = 0.

C1A C1B
2 4 14 12
3 A Y0 5 13 A Y0 11
B Y1 6 B Y1 10
1 Y2 7 15 Y2 9
G Y3 G Y3
74S139 74S139

Es importante hacer notar que el circulo marcado en los terminales de salida y


habilitación del CI indican que dichos terminales son activos en nivel bajo.

1.2 Decodificador 74LS138: : Es un decodificador binario de 3 a 8 (o decodificador 1 de


8), pertenece a la familia lógica TTL, Como la mayoría de los decodificadores comerciales
el 74LS138 tiene sus terminales de salida activos en nivel bajo. Así mismo posee tres
terminales de habilitación de los cuales uno es activo en nivel alto y los otros dos son
activos en nivel bajo, lo que significa que para que el 74LS138 trabaje normalmente es
necesario llevar sus tres terminales de habilitación a los niveles indicados, de lo contrario
el CI no estará habilitado y sus terminales de salida estarán inactivos es decir en este
caso todos estarán en nivel alto.

A continuación se muestra la tabla de verdad y el símbolo lógico del CI 74LS138.

ELECTRÓNICA INDUSTRIAL REF HT - 03


HCT 3 / 8 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

T. Habilitación T. de Entrada T. de salida


G1 G2A G2B C B A Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0
0 X X X X X 1 1 1 1 1 1 1 1
X 1 X X X X 1 1 1 1 1 1 1 1
X X 1 X X X 1 1 1 1 1 1 1 1
1 0 0 0 0 0 1 1 1 1 1 1 1 0
1 0 0 0 0 1 1 1 1 1 1 1 0 1
1 0 0 0 1 0 1 1 1 1 1 0 1 1
1 0 0 0 1 1 1 1 1 1 0 1 1 1
1 0 0 1 0 0 1 1 1 0 1 1 1 1
1 0 0 1 0 1 1 1 0 1 1 1 1 1
1 0 0 1 1 0 1 0 1 1 1 1 1 1
1 0 0 1 1 1 0 1 1 1 1 1 1 1
C1A
1 15
2 A Y0 14
3 B Y1 13
C Y2 12
Y3 11
6 Y4 10
4 G1 Y5 9
5 G2A Y6 7
G2B Y7
74LS138

1.3 Decodificadores Binarios en Cascada: Con la finalidad de decodificar palabras de


código mayores, es posible utilizar decodificadores binarios múltiples conectados en
cascada. Por ejemplo es posible constituir un decodificador de 4 a 16 utilizando dos CI
74LS138 como se muestra a continuación.
C1
1 15
2 A Y0 14
3 B Y1 13
C Y2 12
Y3 11
+V 6 Y4 10
4 G1 Y5 9
D 5 G2A Y6 7
G2B Y7
C 74S138
B
A C2
1 15
2 A Y0 14
3 B Y1 13
C Y2 12
Y3 11
C3A
+V 6 Y4 10
1 2 4 G1 Y5 9
5 G2A Y6 7
G2B Y7
7404 74S138

En la figura anterior se ha conectado los terminales G1 G2A Y G2B de modo que para
los ocho primeros códigos de entrada esté habilitado solamente el CI superior y para los
ocho siguientes códigos el CI inferior se encuentre habilitado. El terminal G2A constituye
la cuarta entrada del nuevo decodificador, al mismo tiempo esta cuarta entrada constituye

ELECTRÓNICA INDUSTRIAL REF HT - 03


HCT 4 / 8 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

el dígito más significativo del código de entrada, el cual es 0 para los primeros ocho
códigos de entrada, lo que habilita al CI C1 y a través del negador deshabilita al CI C2.
Durante los ocho últimos códigos de entrada, la entrada D vale 1, lo que permite la
habilitación del CI C2 a través del negador y la inhabilitación del CI C1. Los terminales
de entrada CBA trabajan normalmente según el CI habilitado y según el código presente
en los mismos.

2. DECODIFICADORES DE 7 SEGMENTOS:

Un decodificador de siete segmentos presenta cuatro entradas para código BCD y siete
salidas, las cuales nos permiten desplegar datos decimales utilizando adicionalmente un
exhibidor como un display en base a diodos led o uno de cristal líquido en el formato 7
segmentos.
Los decodificadores de siete segmentos trabajan asociados con displays del tipo ánodo
común cuando las salidas de los mismos son activas en nivel bajo como es el caso de CI
74LS47, así mismo trabajan asociados con displays del tipo cátodo común cuando las
salidas de los decodificadores son activas en nivel alto como en el caso del CI 74LS48.

Display

Decodificador
De
Siete Segmentos

Decodificador de Siete Segmentos 74LS47: Es un CI decodificador de siete


segmentos, acepta seis entradas, cuatro de éstas (DCBA) representan un número
binario de cuatro bits entre 0 y 15. Los números binarios entre 0 y 9 generan un
despliegue que es el número decimal correspondiente al número, las seis combinaciones
de entrada restantes generan símbolos que se pueden utilizar para llevar varios tipos de
información por ejemplo sobreflujo.
Las salidas (abcdefg) son activas en nivel bajo como se indica en el símbolo lógico y
están diseñadas para excitar ya sea leds o lámparas incandescentes, puesto que son
salidas de colector abierto.
Además este CI posee algunos terminales adicionales como:
-LT = Lamp Test (Si LT = 0, provoca que todos los segmentos se enciendan a modo de
prueba)
-RBI = Ripple Blanking Input (Si RBI = 0, provoca que todos los segmentos se apaguen,
puede ser utilizada para modular la brillantes del despliegue)
-BI/RBO = Blanking Input / Ripple Blanking Output (Terminal de salida indicador del
estado provocado por el terminal RBI al ser puesto éste en nivel bajo).

A continuación se muestra la tabla de verdad y el símbolo lógico del CI 74LS47

ELECTRÓNICA INDUSTRIAL REF HT - 03


HCT 5 / 8 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

ENTRADAS SALIDAS
N BI/RBO
LT RBI D C B A a b c d e f g
0 1 1 0 0 0 0 1 0 0 0 0 0 0 1
1 1 X 0 0 0 1 1 1 0 0 1 1 1 1
2 1 X 0 0 1 0 1 0 0 1 0 0 1 0
3 1 X 0 0 1 1 1 0 0 0 0 1 1 0
4 1 X 0 1 0 0 1 1 0 0 1 1 0 0
5 1 X 0 1 0 1 1 0 1 0 0 1 0 0
6 1 X 0 1 1 0 1 1 1 0 0 0 0 0
7 1 X 0 1 1 1 1 0 0 0 1 1 1 1
8 1 X 1 0 0 0 1 0 0 0 0 0 0 0
9 1 X 1 0 0 1 1 0 0 0 1 1 0 0
10 1 X 1 0 1 0 1 1 1 1 0 0 1 0
11 1 X 1 0 1 1 1 1 1 0 0 1 1 0
12 1 X 1 1 0 0 1 1 0 1 1 1 0 0
13 1 X 1 1 0 1 1 0 1 1 0 1 0 0
14 1 X 1 1 1 0 1 1 1 1 0 0 0 0
15 1 X 1 1 1 1 1 1 1 1 1 1 1 1
BI X X X X X X 0 1 1 1 1 1 1 1
RBI 1 0 0 0 0 0 0 1 1 1 1 1 1 1
LT 0 X X X X X 1 0 0 0 0 0 0 0
C1
7 13
1 A a 12
2 B b 11
6 C c 10
4 D d 9
5 BI/RBO e 15
3 RBI f 14
LT g
7447

A continuación se muestran los caracteres visualizados para cada código de entrada:

0 1 2 3 ................... 9

10 11 12 13 14 15

ELECTRÓNICA INDUSTRIAL REF HT - 03


HCT 6 / 8 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

3. APLICACIONES DE LOS CIRCUITOS DECODIFICADORES:

Los circuitos decodificadores se emplean siempre que es necesario activar una salida o
grupo de éstas cuando se presenta una combinación específica de niveles de entrada.
Estos niveles son proporcionados con frecuencia por un contador o un registro. Cuando
las entradas del decodificador provienen de un contador al que llegan pulsos de manera
continua, las salidas del decodificador binario se activan en forma secuencial y pueden
ser empleadas para temporización o para la activación secuencial de dispositivos.
Los decodificadores también son ampliamente empleados en sistemas de memoria,
respondiendo a la dirección proveniente de la unidad de procesamiento central para
activar o habilitar la dirección de memoria enviada, conociéndosele en este caso como
decodificador de direcciones.
Otra área de aplicación para los decodificadores es la conversión de datos binarios a una
forma de presentación más adecuada en dispositivos de visualización ya sea en decimal
o en hexadecimal.

4. SIMBOLOGIA IEEE: A continuación se muestra el símbolo lógico de los circuitos


decodificadores estudiados en esta parte del manual utilizando la simbología IEEE:

BIN/OCT
1 15
1 0
2 14
2 1
3 13
4 2
12
3
11
& 4
6 10 BIN/7-SEG
5
4 EN 9 [T1]
6
5 7 4
7 1
74ALS138
5 & G21
3
X/Y
2 4 CT=0
1
3 5
2 V20
1 6 13
EN a20,21
7 12
b20,21
11
c20,21
14 12 7 10
1 d20,21
13 11 1 9
2 e20,21
15 10 2 15
4 f20,21
9 6 14
8 g20,21
74ALS139 7447

ELECTRÓNICA INDUSTRIAL REF HT - 03


HCT 7 / 8 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

5. CUESTIONARIO:

1.- Utilizando puertas lógicas confeccione la tabla de verdad y grafique el circuito de un


decodificador de 3 a 8, cuyas salidas sean activas en nivel alto y que posea además un
terminal de habilitación EN activo en nivel bajo.

2.- Utilizando CI 74LS139, 74LS138 grafique el circuito de un decodificador 1 de 64.

3.- Realice la interpretación de la simbología IEEE en cuanto respecta a los CI empleados


en esta parte del manual.

4.- Investigue respecto a la implementación de un circuito para manejar un display LCD.

ELECTRÓNICA INDUSTRIAL REF HT - 03


HCT 8 / 8 HOJAS
Vcc = 5v
SW DIP-2
1 4
2 3

1 16
2 15
3 14
4 13
5 12
6 11
7 10
8 9

SW DIP-8
I0 10 9
I1 11 0 A0 7
I2 12 1 A1 6
I3 13 2 A2
I4 1 3 14
I5 4 GS

1
I6 2
5

330R x 4
I7 3
4 6
7

2
5 15
EI EO
1

1
2,2K x10

74LS148
1

D4 D3 D2 D1
2

No ORDEN DE EJECUCIÓN HERRAMIENTAS / INSTRUMENTOS


01 Armar y probar circuitos codificadores. Protoboard.
Alicate de punta redonda.
Fuente DC.
Multímetro digital.
Punta lógica

PZA CANT DENOMINACIÓN – NORMA / DIMENSIONES MATERIAL OBSERVACIONES


MONTAJE DE CIRCUITOS CODIFICADORES HT REF. HT - 04
Tiempo: 9 Horas HOJA 1/1
ELECTRÓNICA INDUSTRIAL Escala: 2003
LISTA DE MATERIALES

UNID.DE
ITEM CANTIDAD DESCRIPCIÓN CÓDIGO
MEDIDA
01 Pieza 02 CI. Codificador de 8 a 3 74LS148
02 Pieza 10 Resistores de 2,2 K ¼ W -
03 Pieza 04 Resistores de 330R ¼ W -
04 Pieza 01 Dip switch de 8 bits -
05 Pieza 01 Dip switch de 2 bits -
06 Pieza 04 LED -
07 Metros 0.5 Cable telefónico -
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Armar y probar circuitos codificadores.

Consiste en conectar circuitos sencillos con la finalidad de comprobar experimentalmente


el funcionamiento de los circuitos codificadores binarios, utilizando para ello las tablas de
verdad que resumen su funcionamiento y comprendiendo previamente la función de cada
uno de sus terminales.

Los codificadores son normalmente utilizados en circuitos de entrada para teclados, así
mismo, se usan siempre que se requiere la conversión de un tipo de código en otro.

PROCESO DE EJECUCIÓN:

1er Paso Identificar el CI 74LS148 utilizando el manual ECG o el diagrama que


mostramos a continuación, identifique también los demás dispositivos
empleados en el circuito y compruebe su estado de operación.

2do Paso Conecte el circuito de la figura No 1 y revise las conexiones efectuadas.

3er Paso Ajuste la fuente de alimentación a 5 voltios y alimente el circuito. Tenga la


precaución de no superar la máxima especificación de tensión de
alimentación y cuide además de aplicar la polaridad correcta.

4to Paso Utilizando los dip switch, aplique a los terminales de entradas I7....I0 y a los
terminales de habilitación EI (Enable Input) y EO (Enable Output) los niveles
lógicos consignados en la tabla de verdad No 1 y verifique y anote los
niveles lógicos observados en los terminales de salida A2, A1, A0 y GS.

NOTA :Tenga presente que el terminal de entrada I7 es el MSB (Bit más


significativo) del código binario de entrada y el terminal de entrada I1 es el
LSB (Bit menos significativo) del mismo código. Así mismo observe que los
terminales de salida GS, A2, A1 y A0 son activos en nivel bajo, al igual que
los terminales de entrada I7....I0.

ELECTRÓNICA INDUSTRIAL REF HT - 04


HO 1 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Vcc = 5v
SW DIP-2
1 4
2 3

1 16
2 15
3 14
4 13
5 12
6 11
7 10
8 9

SW DIP-8
I0 10 9
I1 11 0 A0 7
I2 1 A1
I3 12 6
13 2 A2
I4 1 3 14
I5 4 GS

1
I6 2
5

330R x 4
I7 3
4 6
7

2
5 15
EI EO
1

1
2,2K x10

74LS148
1

D4 D3 D2 D1
2

Figura No 1

T.Habilitación T. Entrada T. Salida


EI EO I0 I1 I2 I3 I4 I5 I6 I7 A2 A1 A0 GS
1 X X X X X X X X
0 X X X X X X X 0
0 X X X X X X 0 1
0 X X X X X 0 1 1
0 X X X X 0 1 1 1
0 X X X 0 1 1 1 1
0 X X 0 1 1 1 1 1
0 X 0 1 1 1 1 1 1
0 0 1 1 1 1 1 1 1
0 1 1 1 1 1 1 1 1
Tabla de verdad No 1

5TO Paso Verifique que el CI 74LS148 es un codificador de prioridad activando más de


dos entradas a la vez, debiendo activarse a la salida el código
correspondiente a la mayor de las entradas activadas.

660 Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT - 04


HO 2 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

CIRCUITOS CODIFICADORES
INTRODUCCIÓN

Los sistemas digitales trabajan con datos e informaciones codificadas en binario que son
continuamente utilizados de alguna manera. Una operación de las más utilizadas para el
procesamiento de datos binarios es la codificación, operación contraria a la
decodificación, la cual se realiza a través de CI’s MSI capaces de convertir un tipo de
código en otro.

Los circuitos codificadores se diseñan en base a compuertas lógicas mediante los


procedimientos utilizados en el diseño de circuitos lógicos combinacionales, estudiados
anteriormente.

En esta parte estudiaremos la estructura básica, el principio de funcionamiento, las


características de interconexión con otros circuitos y las aplicaciones más importantes de
los circuitos codificadores.

1. CODIFICADORES

Los codificadores son sistemas combinacionales que transforman un conjunto de señales


sin codificar en un conjunto que responda a un determinado código. Un circuito
codificador por lo general tiene mayor número de terminales de entradas que de salida.
Por ejemplo si se dispone de 10 señales que representan los números del sistema
decimal, un codificador puede encargarse de convertir a código BCD cada una de las 10
señales que en este caso constituirían entradas.
Por lo general un codificador tiene 2n entradas ( o talvez menos) y n salidas, los
terminales de salida generan un código de salida para cada una de las 2n entradas.

I0
I1
CODIFICADOR

.
.
. D
. C
. B
. A
.
.
.
I9

Tabla de verdad de un codificador de decimal a BCD.

ENTRADAS SALIDAS
3
Número D(2 ) C(22) B(21) A(20)
I0 0 0 0 0
I1 0 0 0 1
I2 0 0 1 0
I3 0 0 1 1
ELECTRÓNICA INDUSTRIAL REF HT - 04
HCT 1 / 5 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

I4 0 1 0 0
I5 0 1 0 1
I6 0 1 1 0
I7 0 1 1 1
I8 1 0 0 0
I9 1 0 0 1

Las ecuaciones lógicas obtenidas de la tabla de verdad anterior son:

D = I8 + I9
C = I4 + I5 + I6 + I7
B = I2 + I3 + I6 + I7
A = I1 + I3 + I5 + I7 + I9

Para la implementación del circuito se han utilizado puertas NOR con las salidas negadas
equivalente a 3 puertas OR de 5 entradas cada una así como una puerta OR de dos
entradas.
I0 I1 I2 I3 I4 I5 I6 I7 I8 I9
1 P1A P1A
2
5

3 1 2
12 A
13
74S260 7404

4 P1B P1B
8
6

9 3 4
10 B
11
74S260 7404

1 P2A P1C
2
5

3 5 6
12 C
13
74S260 7404

P1A
1
3
2 D
7432

Codificadores de Prioridad:

Un codificador tiene varias líneas de entrada, sólo una de las cuales se activa en un
momento dado y produce un código de salida de N bits que corresponde a la entrada
activada. Un codificador de prioridad incluye la lógica necesaria para asegurar que
cuando dos o más entradas sean activadas al mismo tiempo, el código de salida
corresponda a la mayor de las entradas. Por ejemplo si en un codificador de prioridad se
activan simultáneamente las entradas 7 y 8, el código de salida será el correspondiente
al número 8 (1000)

Codificador de prioridad 74LS148:

El CI 74LS148 es un codificador de prioridad MSI de ocho entradas activas en nivel bajo


y tres salidas igualmente activas en nivel bajo. Además de sus entradas y salidas este
ELECTRÓNICA INDUSTRIAL REF HT - 04
HCT 2 / 5 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

CI posee un terminal de habilitación EI (Habilitación de Entrada), el cual debe estar


activado para que cualquiera de sus salidas esté activada, GS (Habilitación de grupo)
terminal de salida que se activa cuando el dispositivo está habilitado y una o más de sus
entradas de petición está activada, la señal de salida EO (Habilitación de salida),
diseñada para ser conectada a la entrada EI de otro 74LS148, EO se activa si EI está
activada, pero no lo está ninguna petición de entrada, por lo tanto puede habilitarse el CI
de más baja prioridad.

En la figura siguiente se muestra la tabla de verdad y el símbolo lógico del CI 74LS148

T.Habilitación T. Entrada T. Salida


EI EO I0 I1 I2 I3 I4 I5 I6 I7 A2 A1 A0 GS
1 1 X X X X X X X X 1 1 1 1
0 1 X X X X X X X 0 0 0 0 0
0 1 X X X X X X 0 1 0 0 1 0
0 1 X X X X X 0 1 1 0 1 0 0
0 1 X X X X 0 1 1 1 0 1 1 0
0 1 X X X 0 1 1 1 1 1 0 0 0
0 1 X X 0 1 1 1 1 1 1 0 1 0
0 1 X 0 1 1 1 1 1 1 1 1 0 0
0 1 0 1 1 1 1 1 1 1 1 1 1 0
0 0 1 1 1 1 1 1 1 1 1 1 1 1

10 9
11 0 A0 7
12 1 A1 6
13 2 A2
1 3 14
2 4 GS
3 5
4 6
7
5 15
EI EO
74LS148

2. AMPLIACIÓN DE CIRCUITOS CODIFICADORES

El circuito siguiente muestra la forma como debe conectarse dos CI 74LS148 para
conformar un codificador de 16 a 4. La lógica adicional se encarga de proporcionar cuatro
salidas binarias activas en nivel alto, el terminal GS de C2 se utiliza como la salida mas
significativa del código binario de salida.

Los codificadores son normalmente utilizados en circuitos de entrada para teclados, así
mismo, se usan siempre que se requiere la conversión de un tipo de código en otro.

ELECTRÓNICA INDUSTRIAL REF HT - 04


HCT 3 / 5 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
C4A

1 2 A3
C1
I0 10 9 74LS04
11 0 A0 7
I1 1 A1
C3A
I2 12 6 1
13 2 A2 3
I3 3 A2
I4 1 14 2
2 4 GS
I5 5
I6 3 74LS00
4 6
I7 7
C3B
5 15 4
EI EO 6
5
A1
74LS148

74LS00
C2
I8 10 9 C3C
11 0 A0 7 9
I9 1 A1
I10 12 6 8 A0
13 2 A2 10
I11 3
I12 1 14
2 4 GS
I13 5
74LS00
I14 3
4 6
I15 7
C3D
5 15 12
EI EO 11
13
GS
74LS148

74LS00

3. SIMBOLOGÍA IEEE

HPRI/BIN
10 1
0/Z10 10-
11
1/Z11 11-
12
2/Z12 12-
13 15
3/Z13 13- 18
1
4/Z14 14-
2 a 14
5/Z15 15-
3
6/Z16 16-
4
7/Z17 17-
9
V18 1a
7
2a
5 6
ENa 4a
74LS148

ELECTRÓNICA INDUSTRIAL REF HT - 04


HCT 4 / 5 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

4. CUESTIONARIO

1.- Utilizando puertas lógicas confeccione la tabla de verdad y grafique el circuito de un


codificador de 16 a 4 cuyas entradas y salidas sean activas en nivel alto, así mismo
escriba las ecuaciones que describen su funcionamiento.

2.- Utilizando 4 CI’s 74LS148 grafique el circuito de un codificador de 32 a 5.

3.- Realice la interpretación de la simbología IEEE en cuanto respecta al CI 74LS148.

4.- Investigue respecto a las características de funcionamiento del CI codificador de


prioridad 74LS147.

ELECTRÓNICA INDUSTRIAL REF HT - 04


HCT 5 / 5 HOJAS
Vcc = 5v
SW DIP-8
1 16
2 15
3 14
4 13
5 12
6 11
7 10
8 9

SW DIP-4
1 8
2 7
3 6
4 5

4 6
3 D0 W
2 D1 5
1 D2 Y
D3

1
15
D4

330R x 2
14
13 D5
12 D6
D7

2
11
10 A
9 B D1 D2
7 C
G
1

2,2K x 12

74LS151
2

No ORDEN DE EJECUCIÓN HERRAMIENTAS / INSTRUMENTOS


01 Armar y probar circuitos multiplexores. Protoboard.
Alicate de punta redonda.
Fuente DC.
Multímetro digital.
Punta lógica

PZA CANT DENOMINACIÓN – NORMA / DIMENSIONES MATERIAL OBSERVACIONES


MONTAJE DE CIRCUITO MULTIPLEXOR HT REF. HT - 05
Tiempo: 12 Horas HOJA 1/1
ELECTRÓNICA INDUSTRIAL Escala: 2003
LISTA DE MATERIALES

UNID.DE
ITEM CANTIDAD DESCRIPCIÓN CÓDIGO
MEDIDA
01 Pieza 02 CI. Multiplexor 74LS151
02 Pieza 12 Resistores de 2,2 K ¼ W -
03 Pieza 02 Resistores de 330R ¼ W -
04 Pieza 01 Dip switch de 8 bits -
05 Pieza 01 Dip switch de 4 bits -
06 Pieza 02 LED -
07 Metros 0.5 Cable telefónico -
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Armar y probar circuitos multiplexores.

Consiste en conectar circuitos sencillos con la finalidad de comprobar experimentalmente


el funcionamiento de los circuitos multiplexores, utilizando para ello las tablas de verdad
que resumen su funcionamiento y comprendiendo previamente la función de cada uno de
sus terminales.

Los multiplexores se emplean por lo general cuando es necesario transmitir datos desde
varias fuentes a un destino determinado.
Los multiplexores son utilizados también para la conversión de datos del formato paralelo
al serial, cuando se desea transmitir información a distancias considerables.
Así mismo es posible también generar determinadas funciones lógicas utilizando circuito
multiplexores en lugar de utilizar puertas lógicas.

PROCESO DE EJECUCIÓN:

1er Paso Identificar el CI 74LS151, utilizando el manual ECG o el diagrama que


mostramos a continuación, identifique también los demás dispositivos
utilizados en el circuito y comprobe su estado de operación.

2do Paso Conecte el circuito de la figura No 1 y verifique las conexiones efectuadas.

3er Paso Ajuste la fuente de alimentación a 5 voltios y alimente el circuito. Tenga la


precaución de no superar la máxima especificación de tensión de
alimentación y cuide además de aplicar la polaridad correcta.

4to Paso Utilizando los dip switch, aplique a los terminales de entradas D7....D0, al
terminal de habilitación G, y a los terminales de selección C, B, A los niveles
lógicos consignados en la tabla de verdad No 1 y verifique y anote las
información presente en los terminales de salida.
NOTA : Este circuito multiplexor presenta dos salidas Y y W, siendo W el
complemento de la salida Y. Así mismo observe que el terminal de
habilitación G es activo en nivel bajo, y que el terminal de selección C es el

ELECTRÓNICA INDUSTRIAL REF HT - 05


HO 1 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
MSB del código de selección y el terminal de selección A es el LSB del
mismo código.

Vcc = 5v
SW DIP-8
1 16
2 15
3 14
4 13
5 12
6 11
7 10
8 9

SW DIP-4
1 8
2 7
3 6
4 5

4 6
3 D0 W
2 D1 5
1 D2 Y
D3

1
15
D4

330R x 2
14
13 D5
12 D6
D7

2
11
10 A
9 B D1 D2
7 C
G
1

2,2K x 12

74LS151
2

Figura No 1

T.Habilitación T.Selección T. Entrada de Datos T. Sal.


G C B A D0 D1 D2 D3 D4 D5 D6 D7 Y
1 X X X X X X X X X X X
0 0 0 0 I0 I1 I2 I3 I4 I5 I6 I7
0 0 0 1 I0 I1 I2 I3 I4 I5 I6 I7
0 0 1 0 I0 I1 I2 I3 I4 I5 I6 I7
0 0 1 1 I0 I1 I2 I3 I4 I5 I6 I7
0 1 0 0 I0 I1 I2 I3 I4 I5 I6 I7
0 1 0 1 I0 I1 I2 I3 I4 I5 I6 I7
0 1 1 0 I0 I1 I2 I3 I4 I5 I6 I7
0 1 1 1 I0 I1 I2 I3 I4 I5 I6 I7
o
Tabla de verdad N 1

NOTA: En la tabla de verdad No 1 la nomenclatura I0, I1, ......, I7,


corresponde a la información presente en los terminales de entrada de
datos la cual, puede ser un “1” o un “0” lógico. Anote en la columna Y cual
de todas las señales de entrada aparece en la salida. Para cada código de
selección.

5to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.
ELECTRÓNICA INDUSTRIAL REF HT - 05
HO 2/2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

CIRCUITOS MULTIPLEXORES
INTRODUCCIÓN

Los sistemas digitales trabajan con datos e informaciones codificadas en binario que son
continuamente utilizados de alguna manera. Una operación de las más utilizadas para el
procesamiento de datos binarios es la multiplexación, la cual se realiza a través de CI’s
MSI capaces de seleccionar digitalmente de un conjunto de señales de entrada una que
aparezca en la salida en un momento dado.

Los circuitos multiplexores se diseñan en base a compuertas lógicas mediante los


procedimientos utilizados en el diseño de circuitos lógicos combinacionales, estudiados
anteriormente.

En esta parte estudiaremos la estructura básica, el principio de funcionamiento, las


características de interconexión con otros circuitos y las aplicaciones más importantes de
los circuitos multiplexores.

1. MULTIPLEXORES

Los multiplexores son sistemas combinacionales que aceptan varias entradas de datos y
permite la salida de sólo una de ellas, llamándoseles por ello también selectores de
datos. Los multiplexores poseen por lo general cuatro tipos de terminales; terminales de
entrada, terminales de salida, terminales de selección y terminales de habilitación. Los
terminales de selección controlan la dirección deseada de los datos presentes en lo
terminales de entrada, llamándoseles también terminales de dirección. La figura siguiente
muestra el diagrama funcional de un multiplexor general (MUX). Las flechas grandes
señalan las entradas y salidas que pueden estar conformadas por una o más líneas de
señal o bits.

I0

I1

T. Salida Z

In-1

EN T. Selección

Los circuitos multiplexores actúan como interruptores de posiciones múltiples controlado


digitalmente, donde el código digital aplicado en los terminales de selección, controla que
entrada de datos será trasladada hacia la salida.

ELECTRÓNICA INDUSTRIAL REF HT - 05


HCT 1 / 6 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Un multiplexor selecciona una de n fuentes de datos de entrada y transmite los datos


seleccionados a un solo canal de salida, lo que se denomina multiplexación.
Multiplexor básico de dos entradas: La figura siguiente muestra el circuito lógica de un
multiplexor de dos entradas, I0 e I1 y un terminal de selección S. El nivel lógico que se
aplica a la entrada S determina qué compuerta AND se habilita de forma que su entrada
de datos atraviese la compuerta OR hacia la salida Z.

I1 1

3
2
1

3
74LS08 Z
2
I0
4 74LS32

6
1 2 5

74LS08
74LS04

Observando esto desde otro punto de vista, la expresión booleana de la salida será:
Z = I0 S + I1S

Con S = 0, esta expresión se convierte en:


Z = I0 . 1 + I1 . 0 = I0

Lo cual indica que Z será idéntica a la señal de entrada I0, lo que puede ser un nivel
lógico fijo o bien, una señal lógica que varía con el tiempo.

Con S = 1, la expresión se convierte en :

Z = I0 . 0 + I1 . 1 = I1

Lo cual muestra que la salida Z será idéntica a la señal de entrada I1.

Multiplexor de cuatro entradas: La figura siguiente muestra un MUX de cuatro


entradas una salida, las cuatro entradas de este multiplexor se transmiten en forma
selectiva a la salida en función a los niveles lógicos aplicados en los terminales de
selección S1 S0.
Cada terminal de entrada de datos es accesible con una combinación diferente de
niveles de entrada de selección, según se muestra en la tabla adjunta.

SI S0 Z
0 0 I0
0 1 I1
1 0 I2
1 1 I3

Las familias lógicas TTL y CMOS disponen regularmente de multiplexores de dos, cuatro,
ocho y dieciséis entradas. La combinación de estos CI básicos multiplexa gran número
de terminales de entradas.

ELECTRÓNICA INDUSTRIAL REF HT - 05


HCT 2 / 6 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
C1A
I0 1
2 12
13

74LS11

C1B
I1 3
4 6
5
2
74LS11 3
1 Z
C1C 4
I2 9 5
10 8
11

74LS11

C2A
I3 1
2 12
13

74LS11

2 2
74LS04

74LS04

1 1

S1 S0

Multiplexor de ocho entradas: El CI 74LS151 es un multiplexor de 8 a 1, es decir


posee 8 terminales de entrada y uno de salida (normal e invertida), además tiene una
entrada de habilitación, G. Cuando G = 0, las entradas de selección C, B, A
seleccionarán una entrada de datos (desde D0 hasta D7) para pasar hacia la salida Z.
Cuando G = 1, el multiplexor es deshabilitado de manera que Z = 0 independientemente
del código de entrada de selección.
A continuación se muestra la tabla de verdad y el símbolo lógico del CI 74 LS 151.

T.Habilitación T.Selección T. Entrada de Datos T. Sal.


G C B A D0 D1 D2 D3 D4 D5 D6 D7 Y
1 X X X X X X X X X X X 0
0 0 0 0 I0 I1 I2 I3 I4 I5 I6 I7 I0
0 0 0 1 I0 I1 I2 I3 I4 I5 I6 I7 I1
0 0 1 0 I0 I1 I2 I3 I4 I5 I6 I7 I2
0 0 1 1 I0 I1 I2 I3 I4 I5 I6 I7 I3
0 1 0 0 I0 I1 I2 I3 I4 I5 I6 I7 I4
0 1 0 1 I0 I1 I2 I3 I4 I5 I6 I7 I5
0 1 1 0 I0 I1 I2 I3 I4 I5 I6 I7 I6
0 1 1 1 I0 I1 I2 I3 I4 I5 I6 I7 I7

ELECTRÓNICA INDUSTRIAL REF HT - 05


HCT 3 / 6 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

4 6
3 D0 W
2 D1 5
1 D2 Y
15 D3
14 D4
13 D5
12 D6
D7
11
10 A
9 B
7 C
G
74LS151

2. AMPLIACIÓN DE CIRCUITOS MULTIPLEXORES

Mediante la utilización de varios CI’s 74LS151, es posible conseguir multiplexar un mayor


número de entradas. Por ejemplo el circuito siguiente tiene un total de 16 entradas de
datos , ocho aplicadas a cada multiplexor. Las dos salidas del multiplexor se combinan en
una compuerta OR para producir una sola salida Z. El circuito funciona como un
multiplexor de 16 entradas. Las cuatro entradas de selección D, C, B, A seleccionarán
una de las 16 entradas para dirigirse hacia Z.
La entrada D determina que multiplexor se habilita. Cuando D = 0, se habilita el de la
parte superior, y las entradas C, B, A determinan cuáles de sus entradas de datos
figurarán en su salida y atravesarán la compuerta OR para llegar a Z. Cuando D = 1, el
multiplexor de la parte inferior es habilitado y las entradas C, B, A seleccionan una de sus
entradas de datos para pasar hacia la salida Z.
4 6
3 D0 W
2 D1 5
1 D2 Y
15 D3
14 D4
13 D5
12 D6
D7
11 1
10 A 3
9 B 2
Z
7 C
G
74LS32
74LS151

D 4 6
3 D0 W
2 D1 5
C D2 Y
1
15 D3
B 14 D4
13 D5
A D6
12
D7
11
10 A
9 B
7 C
G
74LS151
1 2

74LS04

ELECTRÓNICA INDUSTRIAL REF HT - 05


HCT 4 / 6 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

3. APLICACIONES DE LOS CIRCUITOS MULTIPLEXORES

Los circuitos multiplexores encuentran numerosas y variadas aplicaciones en sistemas


digitales, entre las más importantes podemos destacar :
Selección y dirección de datos, es decir los multiplexores pueden dirigir los datos desde
una de varias fuentes hasta un destino, una aplicación común consiste en utilizar un
multiplexor para visualizar los datos provenientes de dos contadores pero a través de un
solo visualizador.
Conversión paralelo serial, cuando es necesario transmitir datos a distancias
considerables
Generación de funciones lógicas, mediante la utilización de circuitos multiplexores MSI en
lugar de utilizar puertas lógicas.

4. SIMBOLOGÍA IEEE:

MUX
7
EN
11
0}
10 0
G
9 7
2
4
0
3 5
1
2 6
2
1
3
15
4
14
5
13
6
12
7
74LS151

15
EN
1
G1

2 MUX
1
3 4
1
5
6 7

11
10 9

14
13 12

74LS157

ELECTRÓNICA INDUSTRIAL REF HT - 05


HCT 5 / 6 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

5. CUESTIONARIO

1.- Utilizando puertas lógicas confeccione la tabla de verdad y grafique el circuito de un


multiplexor de 8 a 1, así mismo escriba las ecuaciones que describen su funcionamiento.

2.- Utilizando 4 CI’s 74LS151 grafique el circuito de un multiplexor de 32 a 1.

3.- Utilizando un multiplexor 74 LS151, realice las conexiones necesarias para realizar la
siguiente función lógica: S = A.B.C + A’. B. C’

4.- Realice la interpretación de la simbología IEEE en cuanto respecta al CI 74LS151.

4.- Investigue respecto a las características de funcionamiento del CI multiplexor 74LS


157.

ELECTRÓNICA INDUSTRIAL REF HT - 05


HCT 6 / 6 HOJAS
Vcc = 5v

SW DIP-3
1 6
2 5
3 4

1 15
2 A Y0 14
3 B Y1 13
C Y2
1

12
Y3
2,2K x 3

5v 11
6 Y4 10
4 G1 Y5 9
G2A Y6
2

5 7
G2B Y7

1
74LS138
330R x 8

2
ENTRADA DE INF. (I)

D8 D7 D6 D5 D4 D3 D2 D1

No ORDEN DE EJECUCIÓN HERRAMIENTAS / INSTRUMENTOS


01 Armar y probar circuitos demultiplexores. Protoboard.
Alicate de punta redonda.
Fuente DC.
Multímetro digital.
Punta lógica

PZA CANT DENOMINACIÓN – NORMA / DIMENSIONES MATERIAL OBSERVACIONES


MONTAJE DE CIRCUITO DEMULTIPLEXOR HT REF. HT - 06
Tiempo: 12 Horas HOJA 1/1
ELECTRÓNICA INDUSTRIAL Escala: 2003
LISTA DE MATERIALES

UNID.DE
ITEM CANTIDAD DESCRIPCIÓN CÓDIGO
MEDIDA
01 Pieza 02 CI. Demultiplexor 74LS138
02 Pieza 03 Resistores de 2,2 K ¼ W -
03 Pieza 08 Resistores de 330R ¼ W -
04 Pieza 01 Dip switch de 4 bits -
05 Pieza 08 LED -
06 Metros 0.5 Cable telefónico -
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Armar y probar circuitos demultiplexores.

Consiste en conectar circuitos sencillos con la finalidad de comprobar experimentalmente


el funcionamiento de los circuitos demultiplexores, utilizando para ello las tablas de
verdad que resumen su funcionamiento y comprendiendo previamente la función de cada
uno de sus terminales.

Los demultiplexores se emplean por lo general cuando es necesario transmitir datos


desde una fuente determinada a varios destinos posibles.
Los demultiplexores son utilizados también para la conversión de datos del formato serial
al paralelo, cuando se desea procesar la información recibida en formato serial.

PROCESO DE EJECUCIÓN:

1er Paso Identificar el CI 74LS138, utilizando el manual ECG o el diagrama que


mostramos a continuación, identifique también los demás dispositivos
utilizados en el circuito y compruebe su estado de operación.

2do Paso Conecte el circuito de la figura No 1 y verifique las conexiones efectuadas.

3er Paso Ajuste la fuente de alimentación a 5 voltios y alimente el circuito. Tenga la


precaución de no superar la máxima especificación de tensión de
alimentación y cuide además de aplicar la polaridad correcta.

4to Paso Aplique un tren de pulsos de 5 Vpp y de baja frecuencia conectando un


generador de señal entre el terminal de entrada de información (I) y tierra.

5to Paso Utilizando los dip switch, aplique a los terminales de selección C, B, A los
códigos consignados en la tabla de verdad No 1 y verifique y anote a través
de que salida aparece la información aplicada en el terminal de entrada.
NOTA : Este circuito Decodificador/Demultiplexor utiliza como entrada de
señal uno de sus terminales de habilitación activo en nivel bajo (G2A). Así

ELECTRÓNICA INDUSTRIAL REF HT - 06


HO 1 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
mismo observe que el terminal C de selección es el MSB del código de
selección y el terminal A es el LSB del mismo código.
Vcc = 5v

SW DIP-3
1 6
2 5
3 4

1 15
2 A Y0 14
3 B Y1 13
C Y2
1

12
Y3
2,2K x 3

5v 11
6 Y4 10
4 G1 Y5 9
G2A Y6
2

5 7
G2B Y7

1
74LS138
330R x 8

2
ENTRADA DE INF. (I)

D8 D7 D6 D5 D4 D3 D2 D1

Figura No 1

T.Habil. T.Selección T. Salida de Datos


G1 G2A G2B(I)
C B A Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7
1 0 I 0 0 0
1 0 I 0 0 1
1 0 I 0 1 0
1 0 I 0 1 1
1 0 I 1 0 0
1 0 I 1 0 1
1 0 I 1 1 0
1 0 I 1 1 1
o
Tabla de verdad N 1

NOTA: En la tabla de verdad No 1 la nomenclatura I, corresponde a la


información presente en el terminal de entrada de datos, el cual es un tren
de pulsos de baja frecuencia. Anote en la columna Y, el terminal de salida a
través del cual aparece la información de entrada.

5to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT - 06


HO 2 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

CIRCUITOS DEMULTIPLEXORES
INTRODUCCIÓN

Los sistemas digitales trabajan con datos e informaciones codificadas en binario que son
continuamente utilizados de alguna manera. Una operación de las más utilizadas para el
procesamiento de datos binarios es la demultiplexación, operación contraria a la
multiplexación, la cual se realiza a través de CI’s MSI capaces de seleccionar
digitalmente de un conjunto de salidas, una a través de la cual aparezca la información de
entrada en un momento dado.

Los circuitos demultiplexores se diseñan en base a compuertas lógicas mediante los


procedimientos utilizados en el diseño de circuitos lógicos combinacionales, estudiados
anteriormente.

En esta parte estudiaremos la estructura básica, el principio de funcionamiento, las


características de interconexión con otros circuitos y las aplicaciones más importantes de
los circuitos demultiplexores.

1. DEMULTIPLEXORES

Un multiplexor toma varias entradas y transmite una de ellas a la salida. Un demultiplexor


efectúa la operación contraria, toma una sola entrada y la distribuye en varias salidas. La
figura 8 muestra el diagrama general de un demultiplexor (DEMUX). Las flechas grandes
corresponden a las entradas y salidas y pueden representar una o más líneas o bits. El
código de entrada en los terminales de selección determina hacia qué salida se
transmitirán los datos presentes en los terminales de entrada. En otras palabras, el
demultiplexor toma una fuente de datos de entrada y la distribuye selectivamente a uno
de n canales de salida, igual que un interruptor de múltiples posiciones.

O0

Entrada O1
de
DATOS O2

On-1

T. de Selección

Demultiplexor de 1 a 8: Como se muestra en la figura siguiente, la única línea de


entrada de datos se conecta a las ocho compuertas AND, pero sólo una de estas

ELECTRÓNICA INDUSTRIAL REF HT - 06


HCT 1 / 4 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

compuertas será habilitada por los niveles lógicos presentes en los terminales de
selección. Por ejemplo, con C, B, A = 000, solamente la compuerta AND 0 será habilitada
y la entrada de datos I aparecerá en la salida O0. Otros códigos de SELECCIÓN
ocasionan que la entrada I llegue a las otras salidas. La tabla de verdad resume la
operación.
2
1
3 O0
13
12

10
9
5 O1
7
6

2
1
3 O2
13
1 2 12

C 10
74LS04 9
5 O3
7
6

3 4 2
1
B 3 O4
74LS04 13
12

10
9
5 6 5 O5
7
A 6
74LS04
2
1
3 O6
13
12

10
9
5 O7
7
6

I
I= Dato de Entrada

T. de Selección Salidas
C B A O7 O6 O5 O4 O3 O2 O1 O0
0 0 0 0 0 0 0 0 0 0 I
0 0 1 0 0 0 0 0 0 I 0
0 1 0 0 0 0 0 0 I 0 0
0 1 1 0 0 0 0 I 0 0 0
1 0 0 0 0 0 I 0 0 0 0
1 0 1 0 0 I 0 0 0 0 0
1 1 0 0 I 0 0 0 0 0 0
1 1 1 I 0 0 0 0 0 0 0

ELECTRÓNICA INDUSTRIAL REF HT - 06


HCT 2 / 4 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Circuito Demultiplexor 74LS138: El circuito demultiplexor de la figura siguiente es muy


similar al circuito decodificador de 3 a 8 líneas, excepto que se ha agregado una cuarta
entrada (I) a cada compuerta. Muchos decodificadores en CI tienen una entrada de
habilitación que es una entrada extra que se añade a las compuertas del decodificador.
Este tipo de CI decodificador puede usarse por tanto como demultiplexor, con las
entradas de código binario, las cuales sirven como terminales de selección; y la entrada
de habilitación que sirve como la entrada de datos I. Por esta razón, este tipo de
dispositivo también es denominado decodificador/demultiplexor, y se puede usar para
desempeñar una u otra función.
La entrada de habilitación G2B se usa como la entrada de datos I, en tanto que las otras
dos entradas de habilitación se mantienen en sus niveles activos. Las entradas C, B, A
sirven como código de selección.
Para ilustrar la operación supongamos que las entradas de selección son 000. Con este
código de entrada, la única salida que puede activarse es O0, tanto que todas las otras
salidas son ALTAS. O0 pasará a BAJA sólo si E1 cambia a BAJA y será ALTA si E1
cambia a ALTA. Dicho de otra manera, O0 seguirá la señal en E1 (es decir, la entrada de
datos, I) mientras todas las otras salidas permanecerán ALTAS.

1 15
2 A Y0 14
3 B Y1 13
C Y2 12
5v Y3 11
6 Y4 10
4 G1 Y5 9
5 G2A Y6 7
G2B Y7
74LS138

ENTRADA DE INF. (I)

2. APLICACIONES DE LOS DEMULTIPLEXORES

Muchas aplicaciones del principio de la demultiplexación son posibles:

Por ejemplo los demultiplexores de reloj son utilizados para enviar la señal de reloj a
diversos puntos en un sistema digital.
Los demultiplexores se emplean también cuando es necesario transmitir datos desde una
fuente determinada a varios destinos posibles.
Así mismo los demultiplexores son utilizados para la conversión de datos del formato
serial al paralelo, cuando se desea procesar la información recibida en formato serial.

3. SIMBOLOGÍA IEEE

BIN/OCT
1 15
1 0
2 14
2 1
3 13
4 2
12
3
11
& 4
6 10
5
4 EN 9
6
5 7
7
74LS138

ELECTRÓNICA INDUSTRIAL REF HT - 06


HCT 3 / 4 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

3. CUESTIONARIO

1.- Utilizando puertas lógicas confeccione la tabla de verdad y grafique el circuito de un


demultiplexor codificador de 16 a 4 cuyas entradas y salidas sean activas en nivel alto,
así mismo escriba las ecuaciones que describen su funcionamiento.

2.- Utilizando CI’s 74LS138 grafique el circuito de un demultiplexor de 1 a 16

3.- Realice la interpretación de la simbología IEEE en cuanto respecta al CI 74LS138 y 74


LS151.

4.- Investigue respecto a las características de funcionamiento del CI demultiplexor


74LS157.

ELECTRÓNICA INDUSTRIAL REF HT - 06


HCT 4 / 4 HOJAS
SW DIP-4 Vcc = 5v
1 8
2 7
3 6
4 5

SW DIP-4
1 8
2 7
3 6
4 5

10
12 A0
A1

1
13
A2

330R x 3
15
9 A3
11 B0
B1

2
14
1 B2
5v 2 B3 7
3 A<Bi A<Bo 6
A=Bi A=Bo
1

4 5 D1 D2 D3
A>Bi A>Bo
2,2K x 8

74LS85
2

No ORDEN DE EJECUCIÓN HERRAMIENTAS / INSTRUMENTOS


01 Armar y probar circuitos sumador completo. Protoboard.
02 Armar y probar circuito de complemento a Alicate de punta redonda.
dos. Fuente DC.
03 Armar y probar circuito sumador/restador. Multímetro digital.
04 Armar y probar circuito comparador. Punta lógica
05 Comprobar funcionamiento de circuito de
ALU.

PZA CANT DENOMINACIÓN – NORMA / DIMENSIONES MATERIAL OBSERVACIONES


MONTAJE DE CIRCUITOS DE APLICACIONES
HT REF. HT - 07
MATEMÁTICAS
Tiempo: 21 Horas HOJA 1/1
ELECTRÓNICA INDUSTRIAL Escala: 2003
LISTA DE MATERIALES

UNID.DE
ITEM CANTIDAD DESCRIPCIÓN CÓDIGO
MEDIDA
01 Pieza 01 CI. Puertas XOR 74LS86
02 Pieza 02 CI. Puertas AND 74LS08
03 Pieza 01 CI. Puertas OR 74LS32
04 Pieza 01 CI. Puertas OR tres entradas 74LS27
05 Pieza 01 CI. Puertas NOT 74LS04
06 Pieza 01 CI. Sumador 74LS83
07 Pieza 01 CI. Comparador 74LS85
08 Pieza 01 CI. ALU 74LS181
09 Pieza 14 Resistores de 2,2 K ¼ W -
10 Pieza 13 Resistores de 330R ¼ W -
11 Pieza 03 Dip switch de 4 bits -
12 Pieza 01 Dip switch de 8 bits -
13 Pieza 13 LED -
14 Metros 0.5 Cable telefónico -
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Armar y probar circuito sumador completo.

Consiste en conectar un circuito capaz de efectuar la suma binaria de tres bits (A, B y
Cent), con el fin de comprobar experimentalmente el funcionamiento de un circuito
sumador completo, esto nos permitirá comprender el funcionamiento de circuito
integrados sumadores binarios que pueden sumar datos de diferentes longitudes de
palabra.

Los circuitos sumadores de un bit constituyen el fundamento de los circuitos sumadores


binarios los cuales son elementos importantes utilizados frecuentemente en equipos
capaces de realizar cálculos aritméticos (suma, resta, multiplicación y división), como las
calculadoras electrónicas y los ordenadores.

PROCESO DE EJECUCIÓN:

1er Paso Identificar los dispositivos utilizados en el circuito y comprobar su estado de


operación.

2do Paso Conectar el circuito de la figura No 1 y verificar las conexiones efectuadas.


Vcc = 5v

SW DIP-3
1 6
2 5
3 4

B 4 S
1 6
3 5
Cent 2
74LS86
74LS86
1

1
330R x 3
2,2K x 3

3
2
2

74LS08

4 1 Csal
D1 D2 D3 6 2 12
5 13
1

330R x 2

74LS08 74LS27

9
2

8
10

74LS08 D4 D5

Figura No 1

3do Paso Ajuste la fuente de alimentación a 5v y alimente el circuito de la figura No 1.


Tenga precaución de no superar la especificación máxima de voltaje de
alimentación y cuide de aplicar la polaridad correcta.

ELECTRÓNICA INDUSTRIAL REF HT - 07


HO 1 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
4to Paso Utilizando los dip switch aplique a los terminales de entrada A, B, Cent, los
niveles lógicos consignados en la tabla No 1 y verifique y anote los niveles
lógicos que aparecen en los terminales de entrada S y Csal.
NOTA: Los niveles lógicos obtenidos en la salida deben corresponder a la
suma binaria de los bits de entrada.

T.Entrada T.Salida
A B Cent S Csal
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
Tabla de verdad No 1

5to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT - 07


HO 2 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Armar y probar circuito de complemento a dos.

Consiste en conectar un circuito capaz de efectuar una resta binaria, lo que equivale a
una suma en complemento a dos, la finalidad es comprobar experimentalmente el
funcionamiento de un circuito que trabaja con datos complementados a dos, esto nos
permitirá comprender el funcionamiento de circuito aritméticos digitales más complejos.

Los circuitos aritméticos que trabajan con datos complementados a dos son ampliamente
utilizados en calculadoras electrónicas, ordenadores y sistemas electrónicos que utilizan
este sistema a fin de realizar además de adiciones, sustracciones entre datos binarios,
esto facilita la realización de operaciones aritméticas como la multiplicación y la división.

PROCESO DE EJECUCIÓN:

1er Paso Identifique los CI utilizados en el circuito empleando el manual ECG.


Identifique también los demás dispositivos empleados en el circuito y
comprobe su estado de operación.

2do Paso Conecte el circuito de la figura No 1 y revise las conexiones efectuadas.


Vcc = 5v
SW DIP-3
1 6
2 5
3 4

1
A 3
2
B
74LS86

1
3 1 Bsal
1 2 2 3
2
74LS08
74LS04 74LS32
4 D
6
5
1

330R x 2

Bent
74LS86

4
6
2

2
1

3 4 5

2,2K x 3 74LS08 D1 D2
74LS04
2

Figura N0 1

3do Paso Ajuste la fuente de alimentación a 5v y alimente el circuito de la figura No 1.


Tenga precaución de no superar la especificación máxima de voltaje de
alimentación y cuide de aplicar la polaridad correcta.

4to Paso Utilizando los dip switch aplique a las entradas A, B y Bent (Préstamo de
entrada) los niveles consignados en la tabla de verdad No 1 y verifique y

ELECTRÓNICA INDUSTRIAL REF HT - 07


HO 1 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
anote los niveles lógicos que aparecen en las salidas D (A – B) y Bsal
(Préstamo de salida).

Entradas Salidas
A B Bent D Bsal
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
Tabla de verdad No 1

5to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT - 07


HO 2 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Armar y probar circuito sumador/restador.

Consiste en conectar un circuito capaz de efectuar operaciones de suma y resta


equivalente a una suma en complemento a dos, la finalidad es comprobar
experimentalmente el funcionamiento de un circuito sumador paralelo que trabaja con
datos complementados a dos, esto nos permitirá comprender el funcionamiento de
circuito aritméticos digitales más complejos.

Los circuitos aritméticos son ampliamente utilizados en calculadoras electrónicas,


ordenadores y sistemas electrónicos, las cuales utilizan el sistema de complemento a dos
a fin de realizar sustracciones y representar números negativos, esto facilita la realización
de operaciones aritméticas más complejas.

PROCESO DE EJECUCIÓN:

1er Paso Identificar el CI 74LS83 utilizando el manual ECG o el diagrama que


mostramos a continuación. Identificar también los demás dispositivos
empleados en el circuito y comprobar su estado de operación.

2do Paso Conecte el circuito de la figura No 1 y revise las conexiones efectuadas.

3do Paso Ajuste la fuente de alimentación a 5v y alimente el circuito de la figura No 1.


Tenga precaución de no superar la especificación máxima de voltaje de
alimentación y cuide de aplicar la polaridad correcta.

4to Paso Utilizando los dip switch aplique a la entrada de dato A(A4 A3 A2 A1), a la
entrada de dato B(B4 B3 B2 B1) y a la entrada C0(Acarreo de entrada) los
datos consignados en la tabla de verdad No 1 y verifique y anote el dato que
aparece en las salida C4(Acarreo de salida) y en la salida S(S4 S3 S2 S1).
NOTA: El dato de salida debe coincidir con la suma binaria de los datos A y
B si ADD tiene nivel alto y SUB tiene nivel bajo; o debe coincidir con la

ELECTRÓNICA INDUSTRIAL REF HT – 07


HO 1 / 3 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
diferencia menos B si el terminal ADD tiene nivel bajo y el terminal SUB
tiene nivel alto.
Vcc = 5v

SW DIP-4
1 8
2 7
3 6
4 5 1
3
B1 2 1
1 3 B1
B1 74LS08 3 2
1 2 2
74LS32
4 74LS08
74LS04 6
B2 5 4
4 6 B2
B2 74LS08 6 5
3 4 5
74LS32
9 74LS08
74LS04 8
B3 10 9
9 8 B3
B3 74LS08 8 10
5 6 10
74LS32
12 74LS08
74LS04 11
B4 13 12
12 11 B4
B4 74LS08 11 13
9 8 13
1

74LS32
2,2K x 4

74LS08
74LS04
2

ADD SUB(C0)

Vcc = 5v
SW DIP-4
1 8
2 7
3 6
4 5

10 9
8 A1 S1 6
3 A2 S2 2
1 A3 S3 15
A4 S4
1

11
B1
330R x 5

7
4 B2
B3
1

16
B4
2

2
2,2K x 4

13 14
C0 C4 D5 D4 D3 D2 D1
2

74LS83

SUB(C0)

Figura No 1

ELECTRÓNICA INDUSTRIAL REF HT – 07


HO 2 / 3 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
Cent Suma/Resta Dato de entrada A Dato de entrada B Csal Dato de Salida S
C0 SUB ADD A4 A3 A2 A1 B4 B3 B2 B1 C4 S3 S3 S2 S1
0 0 1 0 1 0 1 0 0 1 1
0 0 1 0 0 1 1 0 1 0 0
0 0 1 1 0 0 0 0 1 1 0
0 0 1 1 1 0 1 0 1 1 0
0 0 1 1 0 0 0 1 0 1 1
0 0 1 0 1 1 1 1 1 0 1
1 1 0 0 1 0 1 0 0 1 1
1 1 0 0 0 1 1 0 1 0 0
1 1 0 1 0 0 0 0 1 1 0
1 1 0 1 1 0 1 0 1 1 0
1 1 0 1 0 0 0 1 0 1 1
1 1 0 0 1 1 1 1 1 0 1
o
Tabla de verdad N 1

5to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT – 07


HO 3 / 3 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Armar y probar circuito comparador.

Consiste en conectar un circuito capaz de efectuar la comparación entre dos datos


binarios y proporcionar información respecto a cuando el dato A es mayor que el dato B,
cuando ambos datos son iguales y cuando el dato A es menor que el dato B, la finalidad
es comprobar experimentalmente el funcionamiento de un circuito comparador de cuatro
bits.

La comparación de dos palabras binarias es una operación común en los sistemas de


computadoras e interfaces de dispositivos. Los circuitos comparadores permiten la toma
de decisiones en circuitos electrónicos cuyo funcionamiento esta supeditado al ingreso de
un código que será comparado con un dato interno.

PROCESO DE EJECUCIÓN:

1er Paso Identificar el CI 74LS85 utilizando el manual ECG o el diagrama que


mostramos a continuación. Identificar también los demás dispositivos
empleados en el circuito y comprobar su estado de operación.

2do Paso Conecte el circuito de la figura No 1 y revise las conexiones efectuadas.

3do Paso Ajuste la fuente de alimentación a 5v y alimente el circuito de la figura No 1.


Tenga precaución de no superar la especificación máxima de voltaje de
alimentación y cuide de aplicar la polaridad correcta.

4to Paso Utilizando los dip switch aplique a las entradas A (A3 A2 A1 A0) Y B (B3 B2
B1 B0) los datos binarios de cuatro bits consignados en la tabla de verdad
No 1 y verifique y anote los niveles lógicos que aparecen en las salidas A>B,
A=B y A<B.

ELECTRÓNICA INDUSTRIAL REF HT - 07


HO 1 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
SW DIP-4 Vcc = 5v
1 8
2 7
3 6
4 5

SW DIP-4
1 8
2 7
3 6
4 5

10
12 A0
A1

1
13
A2

330R x 3
15
9 A3
11 B0
B1

2
14
1 B2
5v 2 B3 7
3 A<Bi A<Bo 6
A=Bi A=Bo
1

4 5 D1 D2 D3
A>Bi A>Bo
2,2K x 8

74LS85
2

Figura No 1

Entrada dato A Entrada dato B Salidas


A3 A2 A1 A0 B3 B2 B1 B0 A>B A=B A<B
1 1 0 0 1 0 0 0
1 0 0 1 0 1 1 0
0 1 1 1 0 0 1 1
0 1 0 1 0 0 0 1
0 0 1 0 1 0 0 1
0 1 0 1 1 1 0 0
0 0 1 1 1 0 0 0
0 1 0 1 0 1 1 1
o
Tabla de verdad N 1

5to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT - 07


HO 2 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Comprobar funcionamiento de circuito de ALU.

Consiste en conectar un circuito de Unidad Aritmético Lógica, capaz de realizar cualquier


número de operaciones aritméticas y lógicas diferentes entre dos operandos de n bits. La
finalidad es comprobar experimentalmente el funcionamiento del circuito integrado y
comprender su función como parte importante de la CPU de un ordenador.

Las ALU’s son circuitos utilizados como parte importante de los procesadores utilizados
en los ordenadores encargada de realizar la función de procesador aritmético.

PROCESO DE EJECUCIÓN:

1er Paso Identificar el CI 74LS181 utilizando el manual ECG. Identificar también los
demás dispositivos empleados en el circuito y comprobar su estado de
operación.

2do Paso Conecte el circuito de la figura No 1 y revise las conexiones efectuadas.


Vcc = 5v
8
7
6
5

8
7
6
5

8
7
6
5

4
3
SW DIP-4

SW DIP-2
1
2
3
4

1
2
3
4

1
2
3
4

1
2

2 9
23 A0 F0 10
21 A1 F1 11
19 A2 F2 13
A3 F3
1
22 B0
20 B1
18 B2
B3 14
7 A=B 16
CN CN+4 17
G
1

1
6 15
S0 P
330R x 5

5
4 S1
3 S2
8 S3
2

2
M
1

1
1
1
1
1

1
1
1
1

1
1
1
1
2,2K x 12

330R x 8

74LS181
1
1
2

2,2K X 2
2
2
2
2

2
2
2
2

2
2
2
2

2
2

Figura No 1

3do Paso Ajuste la fuente de alimentación a 5v y alimente el circuito de la figura No 1.


Tenga precaución de no superar la especificación máxima de voltaje de
alimentación y cuide de aplicar la polaridad correcta.

4to Paso Utilizando los dip switch aplique a los terminales de selección S (S3 S2 S1
S0) y a los terminales de entradas A (A3 A2 A1 A0), B (B3 B2 B1 B0), M y
Cn los datos binarios consignados en la tabla de verdad No 1 y verifique y
anote los niveles lógicos que aparecen en las salidas F (F3 F2 F1 F0) y Cn-
4. Interprete el significado de las operaciones realizadas.
ELECTRÓNICA INDUSTRIAL REF HT - 07
HO 1 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Selección S Dato A Dato B Salida F


Operación Cn M Cn-4
S3 S2 S1 S0 A3 A2 A1 A0 B3 B2 B1 B0 F3 F2 F1 F0
F=A más B 1 1 0 1 1 0 0 0 1 1 0 1 0 1
F=AmenosB 0 1 1 0 0 0 1 0 0 1 0 1 1 1
F= A . B 1 0 1 1 X 1 0 1 1 0 0 0 1 1
F= A + B 1 1 1 0 X 1 1 1 0 0 0 0 0 1
Tabla de verdad No 1

5to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT - 07


HO 2 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

ARITMÉTICA DIGITAL
INTRODUCCIÓN

Los sistemas digitales que son capaces de efectuar operaciones aritméticas como suma,
resta, multiplicación, división y operaciones matemáticas más complejas, basan su
funcionamiento en lo que es la aritmética binaria, es decir estos sistemas efectúan
operaciones aritméticas en sistema binario.

Para entender la forma en que las máquinas digitales (computadoras, calculadoras


digitales, etc.) realizan las operaciones aritméticas básicas es necesario entender los
principios básicos de aritmética binaria.

En esta parte del curso estudiaremos primero las principales operaciones aritméticas en
sistema binario, luego estudiaremos los circuitos lógicos reales que efectúan estas
operaciones en un sistema digital.

1. ARITMÉTICA BINARIA

1.1 ADICIÓN BINARIA

Esta es la operación aritmética de mayor importancia en los sistemas digitales. Se siguen


los mismos pasos que la adición de números naturales, pero solo pueden ocurrir cuatro
casos al sumar dos cifras binarias en cualquier posición. Y son:

0+0=0
1+0=1
1 + 1 = 10 = 0 + acarreo de 1 a la siguiente posición
1 + 1 + 1 =11 = 1 + acarreo de 1 a la siguiente posición

Ejemplos de adición de dos números binarios:

011 (3) 1001 (9) 11.011 (3.375)


+110 (6) + 1111 (15) + 10.110 (2.750)
1001 (9) 11000 (24) 110.001 (6.125)

Cuando van a sumarse más de dos números, se suman los dos primeros y el resultado
se agrega al tercer numero, y así sucesivamente. Las máquinas digitales modernas
pueden realizar esta operación en microsegundos.

Representación de números con signo: En las computadoras digitales, los números


binarios se representan por medio de un conjunto de dispositivos de almacenamiento
binario. Cada dispositivo representa un bit (dos cifras binarias). Como la mayoría de
computadoras y calculadoras manejan números negativos y positivos, se requiere de
algún medio para representar el signo del número (+ o -). Esto se lleva a cabo
normalmente agregando otro bit al número denominado bit de signo. Un cero en el bit de
signo representa un número positivo y un uno representa un número negativo.
Los números mostrados a continuación están formados por un bit de signo y seis bits de
magnitud, y constituye el sistema signo magnitud.
0 101110 = Número binario positivo

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 1 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

1 011101 = Número binario negativo

Pero el sistema más empleado por computadoras para representar números binarios con
signo es el sistema de complemento a dos.

Complemento a 1: Se obtiene cambiando cada cero por uno y viceversa. Así:


1 0 1 1 0 1 número binario original

0 1 0 0 1 0 complemento a 1

Complemento a 2: Se obtiene tomando el complemento a 1 y sumándole 1 al bit menos


significativo del número
1 0 1 1 0 1 equivalente binario de 45

0 1 0 0 1 0 se complementa cada bit para formar el complemento a 1

+ 1 se suma 1 para obtener el complemento a 2

0 1 0 0 1 1 representación en complemento a 2 del número binario original

Representación de números con signo mediante el complemento a 2: El sistema


complementario a 2 trabaja como sigue:
• Si el número es positivo, la magnitud está representada por su equivalente binario
verdadero y se agrega un cero antes del bit más significativo.

0 1 0 1 1 0 1 = +4510

Bit del signo número binario verdadero

• Si el número es negativo, la magnitud está representada por su equivalente en


complemento a 2 y se agrega un 1 antes de abrir el bit más significativo.

1 0 1 0 0 1 1 = -4510

Bit del signo complemento a 2

En términos generales podemos decir que la operación complemento a 2 de un


número con signo cambiará un número positivo por uno negativo y viceversa.

Para pasar de complemento a 1 a binario verdadero simplemente se vuelve a


complementar cada bit. Y para pasar de complemento a 2 a binario verdadero se
requiere complementar cada bit y luego sumar 1 al LSB. La reconversión al binario es el
mismo proceso que se empleó para producir el complemento con que se empezó.

Ejemplo:
01100 El bit de signo es cero, entonces el número es positivo, los que representan la
magnitud verdadera del número son 11002 = 1210 . El número decimal es +12.
11010 El bit de signo es 1, por lo que el número es negativo, primero se complementa a
2, con lo que obtendremos un número positivo, ya que al complemento a 2 cambia la
polaridad del número.

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 2 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

11010 (número negativo original)


00101 (complemento a 1)
+ 1 (se suma 1)
00110 (+6)

Como el resultado de la operación complemento es +6 el número original debió ser –6

110102 = -610

Caso especial de la representación en complemento a 2: Siempre que un número con


signo tiene un 1 en el bit de signo y todos los bits de magnitud son 0, su decimal
equivalente es –2N, donde N es el número de bits que hay en la magnitud. Por ejemplo

1000 = -23 = -8
10000 = -24 = -16
100000 = -25 = -32

1.2 ADICIÓN EN EL SISTEMA COMPLEMENTO A 2

El sistema complemento a 2 es más usado debido a sus ventajas en la implantación de


circuitos. En los diversos casos considerados, es importante observar que el bit del signo
de cada número se opera en la misma forma que la parte de la magnitud.

Caso 1 : Dos números positivos. Consideremos la suma de +9 y +4:


+9 0 1001 (consumando)
+4 0 0100 (sumando)
0 1101 (suma = +13)

Bits de signo
Si los bits de signo de consumado y sumando son 0 y el bit de signo de la suma es 0, la
suma es positiva. El consumado y el sumando se forman con el mismo número de bits.

Caso 2 : Número positivo y número negativo menor Consideremos la adición +9 y –4.


El número –4 estará en su forma complemento a 2, luego +4 (00100) debe convertirse en
–4 (11100).
+9 0 1001 (consumado)
-4 1 1100 (sumando)
1 0 0101

Este acarreo se descarta; el resultado es 00101 (suma = +5)


En este caso el bit de signo del sumando es 1. El bit de signo también participa en el
proceso de adición. Se acarrea en la última posición de la suma. Este acarreo siempre se
descarta, de modo que la suma final es 00101, que es equivalente a +5.

Caso 3: Número positivo y número negativo mayor. Considérese la adición de –9 y


+4:
-9 1 0111
+4 0 0100
1 1011 (suma = -5)

Bit de signo negativo


ELECTRÓNICA INDUSTRIAL REF HT - 07
HCT 3 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

La suma tiene un bit de signo 1, lo que indica un número negativo. Como la suma es
negativa, ésta se encuentra en su forma complemento a 2, de manera que los últimos 4
bits, 1011, representan en realidad el complemento a 2 de la suma. Para determinar la
magnitud verdadera de la suma, tomamos el complemento a 2 de 11011; el resultado es
00101 = +5. De este modo, 11011 representa el número –5.

Caso 4: Dos números negativos.


-9 10111
-4 11100
1 10011
bit del signo
Este acarreo se descarta; el resultado es 10011 (suma = -13)

Este resultado final vuelve a ser negativo y está en forma complemento a 2 con un bit de
signo 1. Nótese que el complemento a 2 de 10011 es 01101 = +13.

Caso 5: números iguales y opuestos.


-9 10111
+9 01001
0 1 00000

Este acarreo se descarta; el resultado es 00000 (suma = +0)

El resultado es obviamente +0, como se esperaba.

1.3 SUSTRACCIÓN EN EL SISTEMA COMPLEMENTO A 2

Cuando se resta un número binario (sustraendo) de otro número binario (minuendo), el


procedimiento es como sigue:

1. Se toma el complemento a 2 del sustraendo, incluyendo el bit de signo. Si el


sustraendo es un número positivo, éste se transformará en uno negativo en forma
complemento a 2. Si el sustraendo es un número negativo, éste se convertirá en uno
positivo en forma binaria verdadera. Es decir, se altera el signo del sustraendo.

2. Después de tomar el complemento a 2 del sustraendo, éste se suma al minuendo. El


minuendo se conserva en su forma original. El resultado de ésta adición representa la
diferencia que se pide. El bit de signo de ésta diferencia determina si es positivo o
negativo, y si está en forma binaria verdadera o en forma complemento a 2.

3. Ambos números deben tener el mismo número de bits.

4. En el caso que +4 se restara de +9:

Minuendo (+9) 01001


Sustraendo (+4) 00100

Se cambia el sustraendo a su forma complemento a 2 (11100), lo que


representa –4.
ELECTRÓNICA INDUSTRIAL REF HT - 07
HCT 4 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Luego se suma esto al minuendo

01001 (+9)
+11100 (-4)
1 00101 (+5)

Se descarta; así que el resultado es 00101 = +5

Cualquier operación de sustracción se convierte en realidad en una de adición cuando se


emplea el sistema complemento a 2.

Sobreflujo aritmético: En todos los casos considerados en las operaciones de adición y


sustracción, la magnitud del resultado fue lo suficientemente pequeña como para caber
en 4 bits. Obsérvese la suma de +9 y +8.

+9 0 1001
+8 0 1000
1 0001
bits de signo

El resultado tiene un bit de signo negativo, lo cual es incorrecto. La respuesta debe ser
+17, pero la magnitud 17 necesita más de 4 bits y por lo tanto sobrepasa la posición del
bit de signo. Esta condición de sobreflujo siempre produce un resultado incorrecto. En
una computadora se emplea un circuito especial para detectar cualquier condición de
sobreflujo y señalar que la respuesta es errónea.

1.4 ADICIÓN EN BCD

Suma igual a nueve o menos Considérese la suma de 5 y 4 utilizando BCD para


representar cada dígito:

5 0101 BCD para 5


+4 +0100 BCD para 4
9 1001 BCD para 9

La adición se realiza como en la adición binaria normal y la suma es 1001, que es el


código BCD para 9. La suma de los pares de dígitos decimales no excedió de nueve, por
lo que no se produjeron acarreos.

Suma mayor que nueve Considérese la suma de 6 y 7 en BCD:

6 0110 BCD para 6


+7 0111 BCD para 7
+13 1101 grupo de código no válido para BCD

La suma 1101 no existe en el código BCD; es uno de los seis grupos de código de 4 bits
no válidos. La suma tiene que ser corregida añadiéndole un seis (0110) para tomar en
cuenta la omisión de los seis grupos de código no válidos:

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 5 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

1101 suma no válida


0110 se suma 6 para corregir
0001 0011 BCD para 13
1 3
Nótese que se produce un acarreo en la segunda posición decimal. Esta adición de 0110
tiene que realizarse siempre que la suma de dos cifras decimales sea mayor que 9.

2. ARITMÉTICA HEXADECIMAL

Adición hexadecimal Se realiza de forma similar a la adición decimal, siempre y cuando


se recuerde que el mayor dígito hexadecimal es F y no 9. Para realizar esta operación se
procede así:

1. Sume los dos dígitos hexadecimales en decimal, insertando mentalmente el


equivalente decimal para números mayores de 9.
2. 2. Si la suma es igual o menor que 15, ésta puede expresarse directamente como
un dígito hexadecimal.
3. Si la suma es mayor o igual que 16, se le restan 16 y se acarrea un 1 hacia el
dígito de la siguiente posición.

Por ejemplo para sumar los números hexadecimales 58 y 4B

58
+ 4B
A3

La operación comienza al sumar 8 y B, sustituyendo mentalmente el dígito B por 11. El


resultado es 19, entonces se resta 16 y se obtiene 3; se escribe 3 y se genera un acarreo
de 1 a la siguiente posición. Este acarreo se suma a 5 y 4, lo que da 1010 valor que se
convierte en el dígito hexadecimal A.

Sustracción hexadecimal Podemos restar números hexadecimales utilizando el mismo


método que se usó para números binarios. El sustraendo hexadecimal se complementará
a 2 y luego se sumará al minuendo y cualquier acarreo de la posición MSD será
descartado.
¿Cómo se complementa a 2 un número hexadecimal? Sencillamente se resta cada dígito
hexadecimal de F, luego se suma 1.

73A número hex


F F F
-7 -3 -A se resta cada dígito de F
8 C 5

+1 se suma 1
8 C 6 equivalente hex del complemento a 2.

3. CIRCUITOS ARITMÉTICOS

3.1 SUMADOR BINARIO PARALELO

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 6 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

El proceso de adición se inicia sumando los bits menos significativos (LSB) del
cosumando y del sumando. Así, 1 + 1 = 10, lo cual significa que la suma para esa
posición es 0 con un acarreo de 1. Este acarreo tiene que sumarse a la siguiente posición
junto con el cosumando y el sumando en esa posición. Así, en la segunda posición, 1 + 0
+ 1 = 10, que es una vez más una suma de 0 y un acarreo de 1. Este acarreo se suma a
la siguiente posición junto con los bits del cosumando y del sumando en esa posición y
así para las restantes posiciones.
En cada paso de este proceso se efectúa la suma de 3 bits, el bit del cosumando, el del
sumando y el del acarreo de la posición anterior. El resultado de la adición de estos 3 bits
produce 2 bits: un bit de suma y uno de acarreo, que se sumará a la siguiente posición. El
circuito que realiza dicha adición se conoce como sumador total. La figura siguiente
ilustra la idea anterior.

1 0 1 0 1 Cosumando

0 0 1 1 1 Sumando

1 1 1 0 0 Suma

0 0 1 1 1 Acarreo

Un circuito capaz de efectuar la suma de dos datos binarios de n bits, en la forma


indicada anteriormente, recibe el nombre de circuito sumador binario paralelo y está
constituido por n sumadores totales, tal como se muestra en la figura siguiente:

B3 B2 B1 B0

C4 C3 C2 C1 C0

ST3 ST2 ST1 ST0

A3 A2 A1 A0

S3 S2 S1 S0

3.2 DISEÑO DE UN SUMADOR TOTAL: Para diseñar un circuito lógico que realice la
función del sumador total debemos elaborar una tabla de verdad que muestre los
diversos valores de entrada y salida en todos los casos posibles. A continuación se
muestra la tabla de verdad que será utilizada para el diseño de un sumador total.

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 7 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

T.Entrada T.Salida
A B Cent S Csal
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

Utilizando el método de la suma de productos, podemos escribir la expresión para S:


S = A ⋅ B ⋅ Cent + A ⋅ B ⋅ Cent + A ⋅ B ⋅ Cent + A ⋅ B ⋅ Cent

Simplificando la expresión anterior utilizando los axiomas y teoremas del álgebra de


Boole, tenemos:
S = A(B ⋅ Cent + B ⋅ Cent ) + A(B ⋅ Cent + B ⋅ Cent )

S = A(B ⊕ Cent ) + A(B + Cent )

S = A ⊕ B ⊕ Cent

Así mismo la expresión para Csal será:


Csal = A ⋅ B ⋅ Cent + A ⋅ B ⋅ Cent + A ⋅ B ⋅ Cent + A ⋅ B ⋅ Cent

Simplificando la expresión anterior tenemos:


Csal = B ⋅ Cent ( A + A) + A ⋅ Cent (B + B ) + A ⋅ B(Cent + Cent )

Csal = B ⋅ Cent + A ⋅ Cent + A ⋅ B

El Circuito de la figura siguiente muestra la lógica de un sumador completo:


A

B 4 S
1 6
3 5
Cent 2
74LS86
74LS86

1
3
2

74LS08

4 1 Csal
6 2 12
5 13

74LS08 74LS27

9
8
10

74LS08

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 8 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

3.3 SUMADOR PARALELO 74LS 183

Es un circuito sumador paralelo de 4 bits que contiene cuatro sumadores totales


interconectados y el circuito de predicción de acarreo necesaria para operar a una alta
velocidad. La figura siguiente muestra el símbolo lógico del circuito sumador paralelo 74
LS83.

10 9
8 A1 S1 6
3 A2 S2 2
1 A3 S3 15
A4 S4
11
7 B1
4 B2
16 B3
B4
13 14
C0 C4
74LS83

Las entradas de este circuito integrado son dos números de cuatro bits A4 A3 A2 A1, B4
B3 B2 B1 y el acarreo de entrada C0. Las salidas son los bits de suma S4 S3 S2 S1 y el
acarreo de salida C4. Con frecuencia los bits de suma son señalados con la letra griega
sigma Σ.

Conexión en cascada de circuitos sumadores paralelos: Es posible conectar


sumadores paralelos a fin de lograr la adición de números binarios mas grandes. La
figura siguiente muestra la forma como deben conectarse dos sumadores paralelos
74LS83 para sumar números binarios de 8 bits.
A7A6A5A4A3A2A1A0 B7B6B5B4B3B2B1B0
13

16

11

10

13

16

11

10
4
7

1
3
8

4
7

1
3
8
C0

C0
B4
B3
B2
B1

A4
A3
A2
A1

B4
B3
B2
B1

A4
A3
A2
A1

74LS83 74LS83
C4

C4
S4
S3
S2
S1

S4
S3
S2
S1
14

15

14

15
2
6
9

2
6
9

C4 S7S6S5S4S3S2S1S0

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 9 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

3.4 SISTEMA EN COMPLEMENTO A 2

Los números positivos y negativos, incluyendo los bit de signo, pueden sumarse
utilizando un circuito sumador paralelo básico cuando los números negativos están en
complemento a 2.
A fin de efectuar sustracciones entre dos números binarios, el sustraendo debe
complementarse a dos y luego sumarse con el minuendo.

El circuito sumador paralelo 74LS83 puede adaptarse tanto para efectuar operaciones de
suma y sustracción, utilizando adicionalmente un circuito encargado de convertir a
complemento a 2 el dato B en caso de efectuarse una sustracción.

En la figura siguiente se muestra el circuito sumador restador.

A fin de efectuar operaciones de adición entre los datos A y B, es necesario poner el


terminal ADD en nivel alto y el terminal SUB en nivel bajo, con lo cual el dato B original es
aplicado a los terminales B4 B3 B2 B1 del CI 74 LS83, el dato A es también aplicado a
los terminales A4 A3 A2 A1 de dicho CI; obteniéndose en la salidas C4 S4 S3 S2 S1, la
suma binaria de ambos datos.

Para efectuar operaciones de sustracción entre los datos A y B, el terminal ADD debe
ponerse en nivel bajo y el terminal SUB debe ponerse el nivel alto, esto pone en nivel alto
el terminal C0 del CI, sumándosele 1 al bit menos significativo de los datos A y B, de
modo que el dato B es aplicado a los terminales del CI pero complementado a 2;
obteniéndose en las salidas C4 S4 S3 S2 S1, la diferencia entre los datos A y B, ya sea
en la forma binaria o en complemento a 2.
1
B1 3
2 1
1 3 B1
B1 74LS08 3 2
1 2 2
74LS32
4 74LS08
B2 74LS04 6
5 4
4 6 B2
B2 74LS08 6 5
3 4 5
74LS32
9 74LS08
B3 74LS04 8
10 9
9 8 B3
B3 74LS08 8 10
5 6 10
74LS32
12 74LS08
B4 74LS04 11
13 12
12 11 B4
B4 74LS08 11 13
9 8 13
74LS32
74LS08
74LS04

ADD SUB(C0)

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 10 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

10 9
8 A1 S1 6
DATO A 3 A2 S2 2 SALIDAS
1 A3 S3 15
A4 S4
11
7 B1
DATO B 4 B2
16 B3
B4
C0 13 14 C4
C0 C4
74LS83

3.5 SUMADORES EN BCD: Un circuito sumador en BCD debe ser capaz de realizar lo
siguiente:

a) Sumar dos grupos de códigos BCD de cuatro bits, utilizando la adición binaria
directa.
b) Determinar si la suma de esta adición es mayor que 1001 (9 decimal), si lo es
añadir 0110 (6 decimal) a esta suma y generar un acarreo a la siguiente posición
decimal.

El circuito sumador en BCD de la figura siguiente posee la lógica necesaria para realizar
el ajuste decimal si en caso, la suma de los datos BCD del sumador superior (A y B) es
mayor que 1001 (9 decimal) o produce acarreo (C4), en ese caso la salida X asume el
nivel 1 y ello equivale a sumarle 0110 (6 decimal) a dicha suma a través del sumador
inferior.
B4 B3 B2 B1

Sumador 74LS83

S4 S3 S2 S1 Acarreo del sumador anterior

A4A3A2A1

Acarreo al siguiente sumador 74LS32


5 74LS08
X 6 1
4 3
2
74LS32
2
3
1

C4 Sumador 74LS83
No Usado A4A3A2A1

S4 S3 S2 S1
SUMA BCD

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 11 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

4. CIRCUITOS COMPARADORES

La comparación de dos palabras binarias es una operación común en sistemas de


computo e interfaces de dispositivos. Un circuito que compara dos palabras binarias e
indica si son iguales se llama comparador. Algunos comparadores interpretan sus
palabras de entrada como números con signo e indican también una relación aritmética
(mayor o menor que) entre las palabras.

Las compuertas XOR y XNOR pueden considerarse como comparadores de un bit , por
ejemplo una compuerta XOR proporciona un nivel de salida alto si los niveles presentes
en sus entradas son diferentes, de lo contrario proporcionan un nivel de salida bajo.
En la figura siguiente la salida de las cuatro compuertas XOR entran a una compuerta OR
para crear un comparador de cuatro bits , la salida DIC se activa si cualquiera de los
pares de bit de entrada son diferentes. Con suficientes compuertas XOR y una compuerta
OR puede construirse comparadores con cualquier número de bits.

A0 1
3
B0 2 DIFF0
74LS86 2
1
3
A1 4
6 DIFF1 74LS02
B1 5
1
74LS86 3 DIFF
2

A2 9 74LS00
8
B2 10 DIFF2
2
74LS86 1
3

A3 12 74LS02
11 DIFF3
B3 13

74LS86
También es posible la construcción de comparadores de n bits utilizando compuertas
XNOR, por ejemplo se muestra a continuación un comparador de cuatro bits, aquí se
utiliza la conexión AND alambrada, puesto que las compuertas XNOR 74LS266 son
compuertas de colector abierto.

A0 1
3
B0 2

74LS266 VCC = 5V
1
A1 1
3
B1 2 R
2
74LS266

1
DIFF
A2 3
B2 2

74LS266

A3 1
3
B3 2

74LS266

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 12 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Circuito comparador 74LS85: Es un CI MSI que compara dos datos A3 A2 A1 A0 y B3


B2 B1 B0 de 4 bits cada uno, proporcionando salidas A<Bo, A=Bo y A>Bo.
Así mismo los terminales de entrada A<Bi, A=Bi, y A>Bi sirven para la conexión en
cascada de CI’s 74LS85 con la finalidad de efectuar comparaciones entre dos datos
binarios de mayor número de bits:

10
12 A0
13 A1
15 A2
9 A3
11 B0
14 B1
1 B2
2 B3 7
3 A<Bi A<Bo 6
4 A=Bi A=Bo 5
A>Bi A>Bo
74LS85

Conexión en cascada de circuitos comparadores 74LS85: Con la finalidad de


aumentar el numero de bits a ser comparados el CI 74LS85 puede interconectarse con
otro, por ejemplo un comparador de 8 bits se conectaría de la forma indicada en la
siguiente figura:
VCC = 5V
1

R
2

LSB B LSB A
14
11

15
13
12
10
4
3
2
1

9
B3
B2
B1
B0
A3
A2
A1
A0
A>Bi
A=Bi
A<Bi

10
A0 12
A>Bo
A=Bo
A<Bo

A1 13 74LS85
A2 MSB A
15
A3 9
74LS85 B0 11
B1
5
6
7

14 MSB B
B2 1
7 B3 2
6 A<Bo A<Bi 3
5 A=Bo A=Bi 4
A>Bo A>Bi

5. UNIDAD ARITMÉTICO-LOGICA

Una de las funciones esenciales de las computadoras es la realización de operaciones


aritméticas, estas operaciones son efectuadas en la ALU (unidad aritmético-lógica) de la
computadora. La finalidad principal de la ALU consiste en aceptar datos binarios que
están almacenados en la memoria de la computadora y ejecutar operaciones aritméticas
con esos datos.

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 13 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

La unidad aritmético-lógica contiene al menos dos registros: el registro B y el Acumulador


. Así mismo contiene la lógica combinatoria que realiza las operaciones aritméticas y
lógicas que están almacenadas en el registro B y el acumulador. La figura siguiente
muestra el diagrama en bloques de una unidad aritmética – lógica.

ACUMULADOR

UNIDAD DE
CIRCUITOS
UNIDAD DE CONTROL
MEMORIA LOGICOS

REGISTRO B

Unidad aritmético – lógica 74LS181: En la figura siguiente se muestra el símbolo


lógico y la tabla de verdad del CI 74LS181. Este CI puede realizar 16 operaciones
aritméticas binarias con dos palabras de cuatro bits. Estas operaciones se seleccionan
por medio de cuatro líneas de selección S0, S1, S2 y S3. La configuración de las líneas
de selección conducen cada una a un tipo de suma, resta, decremento por uno y
transferencia directa. Estas funciones se proporcionan en varias combinaciones, con y sin
bits de acarreo.
Además de su uso como procesador aritmético, esta ALU se puede utilizar como
comparador colocándola en modo de resta de modo que una entrada se reste de otra,
con lo que el CI estará configurado para verificar si esta diferencia es positiva, negativa o
cero.
Este circuito se diseño para proporcionar la realización de operaciones tanto aritméticas
como lógicas sin la necesidad de conexión de elementos externos.
2 9
23 A0 F0 10
21 A1 F1 11
19 A2 F2 13
A3 F3
1
22 B0
20 B1
18 B2
B3 14
7 A=B 16
CN CN+4 17
6 G 15
5 S0 P
4 S1
3 S2
8 S3
M
74LS181

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 14 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

Selección DATOS ACTIVOS EN NIVEL BAJO


M=H M=L: Operaciones Aritméticas
S3 S2 S1 S0 Funciones Cn = 0 Cn = 1
Lógicas Sin acarreo Con acarreo
0 0 0 0 F=A F = A min us1 F=A
0 0 0 1 F = AB F = AB min us1 F = AB
0 0 1 0 F = A+ B F = AB min us1 F = AB
0 0 1 1 F =1 F = min us1(2' sCOMP ) F = ZERO
0 1 0 0 F = A+ B F = APlus ( A + B ) F = APlus ( A + B )Plus1
0 1 0 1 F=B F = ABPlus ( A + B ) F = ABPlus ( A + B )Plus1
0 1 1 0 F = A⊕ B F = A min usB min us1 F = A min usB
0 1 1 1 F = A+ B F = A+ B F = ( A + B )Plus1
1 0 0 0 F = AB F = APlus ( A + B ) F = APlus( A + B )Plus1
1 0 0 1 F = A⊕ B F = APlusB F = APlusBPlus1

1 0 1 0 F =B F = ABPlus ( A + B ) F = ABPlus ( A + B )Plus1


1 0 1 1 F = A+ B F = (A + B) F = ( A + B )Plus1
F =0 F=A F = APlusAPlus1
1 1 0 0
F = AB F = ABPlusA F = ABPlusAPlus1
1 1 0 1
F = AB F = ABPlusA F = ABPlusAPlus1
1 1 1 0
F=A F=A F = APlus1
1 1 1 1

6. Simbología IEEE:

1 1
=1 =1
3
3

2 2 10 }
0
6 9

8 {
0
4 5 3 P
5 6 1
3}
11
15 2
4
6

0 3
9 8 7
10 9 4 Q
CO
16
8

14
10

3
12 12 13
CI
13 13
74LS83
11

11

74LS86 74LS266

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 15 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

COMP ALU
10 } 6

16141715
}
0 0
12 5
-
0 (0..15) CP
13 P 4
M (0..15) CG
15 3 31
3 6(P=Q)

5 6 7
2 8
< P<Q 4 (0..15) CO
3 7
= P=Q CI
4
>} P>Q
9
0

9
11 2
P
14 Q 1 [1]
Q
1

10
3
23
P
74LS85 22 [2]
Q

11
21
P
20 [4]
Q
19
P
18 [8]

13
Q
74LS181

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 16 / 17 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

7.CUESTIONARIO

1.- Sume los siguientes grupos de números binarios:


a) 1001 + 0110
b) 101110 + 101110
c) 10111 + 10011
d) 100111011 + 011110111

2.- Realice las siguientes sumas utilizando el sistema en complemento a dos:


a) 13 + -6
b) 4 + -7
c) 12 + -6
d) 5 + -13

3.- Realice la tabla de verdad y grafique el circuito de un medio sumador para dos bits.

4.- Realice un esquema explicativo de la forma como debe conectarse circuitos


sumadores BCD en cascada.

5.- Realice un circuito comparador para dos datos binarios de tres bits mediante la
utilización de puertas lógicas.

6.- Realice la interpretación de la simbología IEEE en cuanto se refiere a circuitos


comparadores.

7.- Investigue respecto al funcionamiento de circuitos multiplicadores y divisores binarios.

ELECTRÓNICA INDUSTRIAL REF HT - 07


HCT 17 / 17 HOJAS
5v
5v
S1 11 16
S2 12 1 14
S3 13 2
S4 1 3 1 2 5v
S5 2 4 A 3 4

14 6 7 9
S6 3 5 B 5 74LS04 6 16
S7 4 6 C 9 8 2 4
S8 5 7 D 3 1A 1Y
S9 10 8 8 5 1B 7
9 7 6 2A 2Y
5v 11 2B 9
74LS147
S1 11 16 10 3A 3Y
S2 12 1 14 14 3B 12
S3 13 2 13 4A 4Y
S4 1 3 9 1 2 4B
S5 2 4 A 7 3 4 1
S6 3 5 B 6 5 74LS04 6 15 A/B
S7 4 6 C 14 9 8 G
S8 5 7 D
74LS157 8
S9 10 8 8
9 7
74LS147

5v SELECCIÓN
1

2,2K 5v 5v

16 330R x 7
2

7 13 1 2
1 1 A 12 1 2
2 2 B 11 1 2
6 4 C 10 1 2
4 8 D 9 1 2
5v 5 BI/RBO E 15 1 2
3 RBI F 14 1 2
LT G
74LS47 8 DISPLAY

No ORDEN DE EJECUCIÓN HERRAMIENTAS / INSTRUMENTOS


01 Detectar y reparar fallas en circuitos Protoboard.
combinacionales Alicate de punta redonda.
Fuente DC.
Multímetro digital.
Punta lógica

PZA CANT DENOMINACIÓN – NORMA / DIMENSIONES MATERIAL OBSERVACIONES


DETECCIÓN Y REPARACIÓN DE FALLAS EN
HT REF. HT - 08
CIRCUITOS COMBINACIONALES
Tiempo: 12 Horas HOJA 1/1
ELECTRÓNICA INDUSTRIAL Escala: 2003
LISTA DE MATERIALES

UNID.DE
ITEM CANTIDAD DESCRIPCIÓN CÓDIGO
MEDIDA
01 Pieza 02 CI. Codificador de Decimal a BCD 74LS147
02 Pieza 02 CI. Puertas NOT 74LS04
03 Pieza 01 CI. Multiplexor de 2 a 1 4 bit’s 74LS157
04 Pieza 01 CI. Decodificador 74LS47
05 Pieza 01 Display ánodo común -
06 Pieza 01 Resistores de 2,2 K ¼ W -
07 Pieza 07 Resistores de 330R ¼ W -
08 Metros 0.5 Cable telefónico -
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Detectar y reparar fallas en circuitos combinacionales.

Consiste en aplicar técnicas de detección y reparación de averías en circuitos digitales


combinacionales, analizando previamente el funcionamiento normal de un circuito
combinacional e introduciendo fallas en él a fin de que el participante aplique criterios de
detección de averías y proceda a la reparación de las mismas.

La detección de fallas en circuitos digitales combinacionales constituye una de las


operaciones más importantes que realizará el técnico durante su desempeño laboral, esta
operación requiere no sólo de conocimientos relacionados con el funcionamiento de los
circuitos sino también requiere de una buena capacidad de análisis, amplitud de criterio y
sentido práctico a fin dar la mejor solución a un problema dado.

PROCESO DE EJECUCIÓN:

1er Paso Identificar los dispositivos utilizados en el circuito y verificar su estado de


operación.

2do Paso Conecte el circuito de la figura No 1 y revise las conexiones efectuadas.


5v
5v
S1 11 16
S2 12 1 14
S3 13 2
S4 1 3 1 2 5v
S5 2 4 A 3 4
14 6 7 9

S6 3 5 B 5 74LS04 6 16
S7 4 6 C 9 8 2 4
S8 5 7 D 3 1A 1Y
S9 10 8 8 5 1B 7
9 7 6 2A 2Y
5v 11 2B 9
74LS147
S1 11 16 10 3A 3Y
S2 12 1 14 14 3B 12
S3 13 2 13 4A 4Y
S4 1 3 9 1 2 4B
S5 2 4 A 7 3 4 1
S6 3 5 B 6 5 74LS04 6 15 A/B
S7 4 6 C 14 9 8 G
S8 5 7 D 8
74LS157
S9 10 8 8
9 7
74LS147

5v SELECCIÓN
1

2,2K 5v 5v

16 330R x 7
2

7 13 1 2
1 1 A 12 1 2
2 2 B 11 1 2
6 4 C 10 1 2
4 8 D 9 1 2
5v 5 BI/RBO E 15 1 2
3 RBI F 14 1 2
LT G
74LS47 8 DISPLAY

Figura No 1. Circuito Indicador de Nivel para dos Tanques Multiplexado.


3do Paso Ajuste la fuente de alimentación a 5v y alimente el circuito de la figura No 1.
Tenga precaución de no superar la especificación máxima de voltaje de
alimentación y cuide de aplicar la polaridad correcta.

ELECTRÓNICA INDUSTRIAL REF HT - 08


HO 1 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
4to Paso Verifique el funcionamiento del circuito indicador de nivel activando las
entradas S1......S9 y verificando que el dato visualizado corresponda a la
entrada activada. Utilice el Sw de selección A / B a fin de verificar el
funcionamiento de los dos codificadores de entrada. Complete la tabla de
verdad No 1

Terminales de Entrada Dígito


T.Selección Visualizado
S1 S2 S3 S4 S5 S6 S7 S8 S9
0 0 1 1 1 1 1 1 1 1
0 X 0 1 1 1 1 1 1 1
0 X X 0 1 1 1 1 1 1
0 X X X 0 1 1 1 1 1
0 X X X X 0 1 1 1 1
0 X X X X X 0 1 1 1
0 X X X X X X 0 1 1
0 X X X X X X X 0 1
0 X X X X X X X X 0
1 0 1 1 1 1 1 1 1 1
1 X 0 1 1 1 1 1 1 1
1 X X 0 1 1 1 1 1 1
1 X X X 0 1 1 1 1 1
1 X X X X 0 1 1 1 1
1 X X X X X 0 1 1 1
1 X X X X X X 0 1 1
1 X X X X X X X 0 1
1 X X X X X X X X 0
o
Tabla de verdad N 1

5to Paso Una vez comprobado el funcionamiento, el instructor introducirá una avería
en el circuito.

6to Paso Proceder luego a la detección de fallas:


• Mida la tensión en todos los puntos de alimentación.
• Verifique los niveles lógicos de entrada y salida de los CI con una punta
lógica y verifique su normal funcionamiento. Realice esta verificación por
etapas.
• De encontrar alguna anormalidad proceda a aislar la falla y a realizar las
pruebas pertinentes.
• Proporcione un listado de las causas posibles de la falla

7to Paso Una vez ubicada la avería proceda a efectuar la reparación.

8to Paso Compare los resultados obtenidos con lo estudiado en la teoría y obtenga
sus conclusiones.

ELECTRÓNICA INDUSTRIAL REF HT - 08


HO 2 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

TÉCNICAS DE DETECCIÓN Y REPARACIÓN DE FALLAS EN


CIRCUITOS DIGITALES COMBINACIONALES:
INTRODUCCIÓN

Existen tres pasos básicos para reparar un sistema o circuito digital que presenta una
falla:

1. Detección de la falla. Se observa la operación del circuito o sistema y se compara


con la operación correcta esperada.
2. Aislamiento de la falla. Se efectúan pruebas y realizan mediciones para aislar la
falla.
3. Corrección de la falla. Se realiza el reemplazo del componente defectuoso, se
repara la conexión, se remueve el corto, etc.

El procedimiento que se sigue para detectar y corregir fallas depende mucho del tipo y la
complejidad del circuito y de las herramientas y documentación disponibles.

Seguidamente se describen los tipos de fallas más comunes en los sistemas que trabajan
principalmente a base de CI digitales y se explica como reconocerlos.

Cada vez que se aborde la detección y reparación de fallas, se dará por sentado que el
técnico siempre tiene a su disposición una punta de prueba lógica y un osciloscopio,
porque éstas son herramientas indispensables en cualquier laboratorio digital. También
es sabido que la punta prueba lógica tiene uno o más indicadores luminosos que pueden
señalar diferentes condiciones en la punta:

1. Un nivel BAJO constante


2. Un nivel ALTO constante
3. Un tren de pulsos repetitivo
4. Un nivel BAJO que momentáneamente va hacia ALTO
5. Un nivel ALTO que momentáneamente va hacia abajo
6. Un circuito abierto o un nivel lógico indeterminado

1. FALLAS INTERNAS EN CI DIGITALES

Las fallas más comunes de los CI digitales son:

1. Mal funcionamiento del circuito interno


2. Entradas o salidas cortocircuitadas a tierra a Vcc
3. Entradas o salidas en circuito abierto
4. Cortocircuito entre dos terminales (diferentes de las tierra o Vcc)

EJEMPLO 1:
Un técnico utiliza una punta de prueba lógica para determinar las condiciones que se
encuentran en varias terminales de un CI, de este modo es posible examinar y determinar
si el circuito está trabajando en forma apropiada o existen algunas condiciones de
funcionamiento inadecuadas que pueden sugerir algunas de las posibles fallas.

ELECTRÓNICA INDUSTRIAL REF HT - 08


HCT 1 / 6 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

A 1 Z1 2 1
Z2 3 S
B 2
74LS04
74LS00

Terminal Condición
Z1-1 Pulsante
Z1-2 Bajo
Z2-1 Bajo
Z2-2 Alto
Z2-3 Alto

La terminal de salida 2 de Z1 debería estar cambiando, ya que su entrada lo hace, los


resultados indicados, sin embargo, señalan que la señal de salida 2 permanece fija en
BAJO. Dado que la salida está conectada a la terminal 1 de Z2, esto mantiene en ALTO
la salida de la compuerta NAND. De lo mencionado se puede hacer una lista de las tres
posibles fallas que pueden causar esta operación:
Una podría ser la falla de un componente interno del INVERSOR que impide que éste
responda en forma adecuada a su entrada. Otra podría ser que la terminal 2 de Z1
estuviera en cortocircuito interno con tierra, lo que mantiene esta entrada en BAJO. Una
tercera podría ser que la terminal 1 de Z2 estuviera en corto a tierra dentro de Z2. Esto
imposibilitaría a la terminal de salida del INVERSOR cambiar su nivel.

Si la entrada de un CI está abierta internamente, la señal lógica aplicada en esa entrada


no tendrá ningún efecto sobre la salida, el circuito abierto deja la entrada en un estado
flotante, TTL y CMOS responden de manera diferente ante un circuito abierto en la
entrada. Los circuitos integrados TTL responden como si la entrada fuese un 1 lógico; los
circuitos integrados CMOS responden de manera errática y pueden dañarse por
sobrecalentamiento.
Cuando la salida de un CI está internamente abierta, no se presenta voltaje alguno en la
terminal de salida, sin importar cuáles sean las condiciones en las entradas, si esta salida
se conecta a la entrada de uno o más CI, se produce una entrada abierta o flotante en
estos circuitos.

EJEMPLO 2:
En el circuito de la figura siguiente se han efectuado mediciones con una punta lógica,
éstas se indican en la tabla adjunta. (suponga que los CI son TTL)

A 1 Z1 2 1
Z2 3 S
B 2
74LS04
74LS00
Terminal Condición
Z1-1 Alto
Z1-2 Bajo
Z2-1 Bajo
Z2-2 Pulsante
Z2-3 Pulsante

ELECTRÓNICA INDUSTRIAL REF HT - 08


HCT 2 / 6 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

El examen de los resultados señala que, en apariencia, Z1 trabaja adecuadamente, pero


la salida de la NAND es inconsistente con sus entradas.
La salida de la compuerta NAND debería ser ALTA porque la terminal de entrada 1 es
BAJA. Este nivel BAJO debería evitar que la compuerta NAND respondiese a los pulsos
aplicados en la terminal 2. Es probable que este nivel BAJO no alcance al circuito interno
de la compuerta NAND como consecuencia de un circuito abierto interno, como el CI es
TTL, este circuito abierto produce el mismo efecto que un nivel lógico ALTO en la
terminal 1. Si el CI hubiese sido CMOS, el circuito abierto interno en la terminal 1
produciría una salida incierta, un posible sobrecalentamiento y la destrucción del circuito.

De las consideraciones ya hechas en relación con entradas abiertas en TTL, se podría


esperar que el voltaje en la terminal 1 de Z2 estuviese entre 1.4 y 1.8 V y la punta de
prueba lógica debería registrarlo como indeterminado. Esto sería cierto si el circuito
abierto se encontrara fuera de la compuerta NAND. No existe ningún circuito abierto entre
la terminal 2 de Z1 y al terminal 1 de Z2, pero éste es desconectado en el interior de la
compuerta NAND.

2. FALLAS EXTERNAS EN CI DIGITALES

Líneas de señal en circuito abierto Esta categoría incluye cualquier falla que produzca
una ruptura o discontinuidad en la trayectoria de conducción que impide que el nivel de
un voltaje o señal vaya de un punto a otro.
Líneas de señal en cortocircuito Este tipo de falla tiene el mismo efecto que un
cortocircuito interno entre dos terminales del CI. Esto hace que las dos señales sean
idénticas.
Fallas en la fuente de alimentación Los sistemas digitales cuentan con una o más
fuentes de alimentación de cd que proporcionan los voltajes Vcc y Vdd necesarias para
los circuitos. Si existe sobrecarga de la fuente de alimentación o la misma presenta fallas
entregarán un voltaje con una regulación muy pobre y los CI dejarán de operar o lo harán
de manera errática.

Cuando se produce una falla en el circuito interno de la fuente de alimentación o cuando


los circuitos que la están alimentando demandan más corriente de la que la fuente puede
proporcionar de acuerdo con su diseño, la fuente de alimentación puede dejar de
proporcionar un voltaje regulado. Esto puede ocurrir si un CI o un componente presenta
una falla que causa una demanda de corriente mayor que la normal.
Para detectar las fallas es necesario verificar los niveles de voltajes de cada fuente de
alimentación presente en el sistema, para confirmar si se encuentran dentro de sus
rangos especificados. Es mejor verificar estos niveles con un osciloscopio y de esa forma
comprobar que no exista una cantidad considerable de rizo de ca sobre los niveles de cd,
y que los niveles de voltaje permanezcan regulados durante la operación del sistema.
Si existe falla de la fuente de alimentación un CI o más funcionan de manera errática o
dejan de hacerlo. Algunos CI toleran más las variaciones de la fuente de alimentación y
pueden operar en forma correcta; otros sencillamente no la toleran. Es necesario verificar
los niveles de alimentación y tierra de cada CI que, al parecer, opere de manera
incorrecta.

EJEMPLO 1:
Considere el circuito de la figura mostrada. Se supone que la salida S va hacia ALTO en
cualquiera de las siguientes condiciones:
1. A = 1, B = 0 sin importar el nivel de C.
ELECTRÓNICA INDUSTRIAL REF HT - 08
HCT 3 / 6 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

2. A = 0, B = 1, C = 1

C 12
Z2 11 9
13 Z2 8 S
10
74LS00
74LS00
4
A 1 Z2 6
3 5
B 2 Z1 X
74LS00
74LS86

Terminal Condición
Z1-1 Bajo
Z1-2 Bajo
Z1-3 Alto
Z2-4 Bajo
Z2-5 Alto
Z2-6, 10 Alto
Z2-13 Alto
Z2-12 Alto
Z2-9, 11 Bajo
Z2-8 Alto

Esto se verifica cuando un técnico realiza una prueba sobre este circuito, observa que la
salida S va hacia ALTO cada vez que A o C se encuentran en ALTO, sin importar el nivel
de B. El técnico toma una punta de prueba lógica para medir la condición en la que A = B
= 0, C = 1 y se obtiene los resultados que se indican en la figura anterior.

Todas las salidas de las compuertas NAND son correctas para los niveles presentes en
sus entradas. Sin embargo, la compuerta EX – OR debería producir un nivel BAJO en la
terminal de salida 3, ya que sus dos entradas tienen el mismo nivel, BAJO. Al parecer, Z1
– 3 permanece en ALTO, aunque las entradas traten de producir una salida BAJA.
Existen varias posibles causas para explicar esta falla:

1. Una falla en algún componente interno de Z1 que impide la salida vaya hacia abajo.
2. Un cortocircuito externo hacia Vcc desde cualquier punto ubicado a lo largo de los
conductores que están conectados al nodo X (indicado en el diagrama).
3. La terminal 3 de Z1 en cortocircuito interno con Vcc.
4. La terminal 5 de Z2 en cortocircuito interno con Vcc.
5. La terminal 13 de Z2 en cortocircuito interno con Vcc.
Todas estas posibilidades, con excepción de la primera, ponen en cortocircuito el nodo X
(y cualquier terminal de CI conectada a él) directamente con Vcc.

Se puede emplear el siguiente procedimiento para aislar la falla, pero depende del equipo
de pruebas con que se cuente:

1. Verificar los niveles de Vcc y tierra en las terminales apropiadas de Z1. Aunque es
poco probable que la ausencia de cualquiera de ellos sea la causa por la que Z1 – 3
permanece en ALTO, es mejor llevar a cabo esta comprobación en cualquier CI que
produzca una salida incorrecta.
ELECTRÓNICA INDUSTRIAL REF HT - 08
HCT 4 / 6 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

2. Apagar la fuente de alimentación del circuito y buscar con el óhmetro un cortocircuito


(resistencia menor que un ohm) entre el nodo X y cualquier punto conectado a Vcc
(como Z1 – 14 o Z2 – 14). Si no encuentra evidencia de un cortocircuito, entonces
pueden descartarse las últimas 4 posibilidades de la lista. Por lo tanto, es muy
probable que exista una falla interna en Z1, y debe ser reemplazada.
3. Si en el paso anterior se muestra la presencia de un cortocircuito del nodo X hacia
Vcc entonces se observa la tarjeta del circuito en busca de puentes de soldadura,
pistas de cobre desprendidas, alambres no aislados que se encuentran haciendo
contacto entre si y cualquier causa de cortocircuito externo hacia Vcc. El espacio
entre las terminales 13 y 14 de Z2 es un lugar probable de puente de soldadura, si se
encuentra el cortocircuito externo, éste debe removerse y luego verificar con el
óhmetro que el nodo X ya no esté en cortocircuito con Vcc.
4. Si el paso anterior no revela un cortocircuito externo, y están tres posibilidades de
cortocircuitos internos hacia Vcc en Z1 – 3, Z2 – 13, o Z2 – 5. Uno de ellos pone el
nodo X en cortocircuito con Vcc.

Para determinar cual es la terminal implicada, en primer lugar se la desconecta del nodo
X, una por una y volver a buscar el cortocircuito hacia Vcc después de cada desconexión.
Cuando se desconecte la terminal que lo está provocando, el nodo X dejará de estar en
cortocircuito con Vcc.

La dificultad que se encuentre en el proceso de desconectar del nodo X cada terminal


sospechosa depende de la forma en que esté construido el circuito. Si todos los CI se
han montado sobre las bases todo lo que se necesita es sacar el CI de la base, doblar la
terminal sospechosa y reponerlo en su lugar. Si los CI se han soldado sobre una tarjeta
de circuito impreso, se cortará la pista que está conectada a la terminal (o cortar esta
última) y repararla cuando haya terminado.

Existe una técnica de detección de fallas que hace innecesario doblar terminales o cortar
pistas cuando se trata de aislar cortocircuitos. Consiste en usar una herramienta
denominada trazador de corriente para seguir el flujo de corriente por el cortocircuito. El
trazador detecta los cambios en el campo magnético de la corriente alrededor del
conductor con cortocircuito.

ELECTRÓNICA INDUSTRIAL REF HT - 08


HCT 5 / 6 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

3. CUESTIONARIO:

1.- Enumere los tres pasos básicos para detectar fallas en sistemas digitales

2.- Diga a qué se refieren las fallas internas en circuitos digitales

3.- Diga a qué se refieren las fallas externas en circuitos digitales

4.- Las señales mostradas en la figura siguiente son aplicadas al circuito, suponga que
existe un circuito abierto interno en Z1-4. Diga que indicaría la punta lógica en Z1-4, que
nivel de tensión existiría en dicho punto y realice un esquema de la forma que Ud piensa
que tendrían las señales R y C. (Los CI’s son TTL)

RELOJ

CARGA

CORRIMIENTO

RELOJ 1 2
Z1 1
3

Z2
2 R
74LS04
74LS00
CARGA 3 Z1 4

74LS04

CORRIMIENTO 4
6

5
Z2
C
74LS00

ELECTRÓNICA INDUSTRIAL REF HT - 08


HCT 6 / 6 HOJAS
74LS04
74LS147 74LS157

74LS04

74LS147 74LS47

SW DISPLAY
SW

No ORDEN DE EJECUCIÓN HERRAMIENTAS / INSTRUMENTOS


01 Diagramar y procesar placa de circuito Alicate de punta redonda.
impreso para circuito combinacional de Alicate de corte diagonal.
aplicación. Cautín de 30 W 220v
02 Montar y soldar componentes de circuito Fuente DC.
combinacional de aplicación en placa. Multímetro digital.
03 Montar placa y accesorios en chasis de Punta lógica.
circuito combinacional de aplicación.
04 Probar el funcionamiento circuito
combinacional de aplicación.

PZA CANT DENOMINACIÓN – NORMA / DIMENSIONES MATERIAL OBSERVACIONES


IMPLEMENTACIÓN DE CIRCUITO
HT REF. HT - 09
COMBINACIONAL DE APLICACIÓN
Tiempo: 15 Horas HOJA 1/1
ELECTRÓNICA INDUSTRIAL Escala: 2003
LISTA DE MATERIALES

UNID.DE
ITEM CANTIDAD DESCRIPCIÓN CÓDIGO
MEDIDA
01 Pieza 02 CI. Codificador de Decimal a BCD 74LS147
02 Pieza 02 CI. Puertas NOT 74LS04
03 Pieza 01 CI. Multiplexor de 2 a 1 4 bit’s 74LS157
04 Pieza 01 CI. Decodificador 74LS47
05 Pieza 01 Display ánodo común -
06 Pieza 01 Resistores de 2,2 K ¼ W -
07 Pieza 07 Resistores de 330R ¼ W -
2
08 Cm 100 Placa Impresa -
09 Metros 100 Estaño 60/40 -
10 Gramos 200 Pasta para soldar -
11 Kg 0.5 Cloruro férrico -
12 Metros 1 Cable telefónico -
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Diagramar y procesar placa de circuito impreso para circuito combinacional de
aplicación.

Operación que consiste en diagramar la placa de circuito impreso a partir del diagrama
del circuito combinacional de aplicación que en este caso corresponde a un circuito
Indicador de Nivel Conductivo. (Figura No 1)

PROCESO DE EJECUCIÓN:

1er Paso Identificar circuito combinacional de aplicación.


5v
5v
S1 11 16
S2 12 1 14
S3 13 2
S4 1 3 1 2 5v
S5 2 4 A 3 4
14 6 7 9

S6 3 5 B 5 74LS04 6 16
S7 4 6 C 9 8 2 4
S8 5 7 D 3 1A 1Y
S9 10 8 8 5 1B 7
9 7 6 2A 2Y
5v 11 2B 9
74LS147
S1 11 16 10 3A 3Y
S2 12 1 14 14 3B 12
S3 13 2 13 4A 4Y
S4 1 3 9 1 2 4B
S5 2 4 A 7 3 4 1
S6 3 5 B 6 5 74LS04 6 15 A/B
S7 4 6 C 14 9 8 G
S8 5 7 D
74LS157 8
S9 10 8 8
9 7
74LS147

5v SELECCIÓN
1

2,2K 5v 5v

16 330R x 7
2

7 13 1 2
1 1 A 12 1 2
2 2 B 11 1 2
6 4 C 10 1 2
4 8 D 9 1 2
5v 5 BI/RBO E 15 1 2
3 RBI F 14 1 2
LT G
74LS47 8 DISPLAY
o
Figura N 1

2do Paso Distribuir los componentes utilizados en el circuito de aplicación


combinacional en función a la figura No 2 (Opcional) para la diagramación
de la placa impresa.

3er Paso Revisar modelo diagramado y taladrar placa impresa .

4to Paso Transferir modelo diagramado a la placa impresa ya taladrada y procesar


químicamente la placa impresa.

5to Paso Limpiar placa impresa.

ELECTRÓNICA INDUSTRIAL REF HT - 09


HO 1 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

74LS04
74LS147 74LS157

74LS04
74LS147 74LS47

SW DISPLAY
SW

Figura No 2

ELECTRÓNICA INDUSTRIAL REF HT - 09


HO 2 / 2 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Montar y soldar componentes de circuito combinacional de aplicación en placa.

Operación que consiste en realizar el montaje de dispositivos electrónicos en la placa


procesada anteriormente.

PROCESO DE EJECUCIÓN:

1er Paso Verificar el buen estado físico de los componentes a través de una
inspección visual. Así mismo verifique el estado de operación de los mismos
utilizando un multímetro.

2do Paso Doblar los terminales de los dispositivos en función a la distancia de los
orificios.

3er Paso Colocar los dispositivos en la placa y realizar la presentación de la misma


verificando la disposición de éstos sobre la placa.

4to Paso Proceda a soldar los componentes en el siguiente orden:


• Resistores, terminales de potenciómetros e interruptores
• Condensadores
• Semiconductores discretos
• Circuitos Integrados

5to Paso Limpiar placa impresa utilizando acetona o thinner.

ELECTRÓNICA INDUSTRIAL REF HT - 09


HO 1 / 1 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Montar placa y accesorios en chasis de circuito combinacional de aplicación.

Operación que consiste en instalar la placa impresa en una caja metálica así como en
realizar la interconexión de los dispositivos accesorios.

PROCESO DE EJECUCIÓN:

1er Paso Instalar la placa impresa en caja metálica , teniendo la precaución de aislar
correctamente dicha placa de la caja para encapsulado.

2do Paso Interconectar dispositivos accesorios con la placa impresa e instalar dichos
dispositivos en la caja para encapsulado.
Nota: En este caso particular constituyen dispositivos accesorios a ser
instalados en la caja para encapsulado: el interruptor, los terminales de
alimentación, terminales de entrada para sensores de nivel y el display.

3er Paso Por último realizar una inspección de las conexiones efectuadas.

ELECTRÓNICA INDUSTRIAL REF HT - 09


HO 1 / 1 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES
OPERACIÓN:
Probar el funcionamiento del circuito combinacional de aplicación.

Operación consiste en comprobar el funcionamiento del circuito de aplicación


combinacional acabado y de ser necesario realizar los ajustes necesarios para su
adecuado funcionamiento.

PROCESO DE EJECUCIÓN:

1er Paso Ajustar la fuente de alimentación a 5v y alimente el circuito. Tenga la


precaución de no superar la máxima especificación de tensión de
alimentación, cuide además de aplicar la polaridad correcta.

2do Paso Conecte los dispositivos sensores a las entradas del circuito.

3er Paso Verifique que el dato visualizado corresponde a la entrada activada,


cambiando la posición del interruptor A / B, verifique del mismo modo el
funcionamiento del circuito.

4er Paso De presentarse algún problema proceda según lo indicado en la HO:


Detectar y reparar fallas en circuitos combinacionales.

ELECTRÓNICA INDUSTRIAL REF HT - 09


HO 1 / 1 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

PROYECTO DE CIRCUITOS DIGITALES COMBINACIONALES


INTRODUCCIÓN

El presente proyecto, constituye una aplicación de los conocimientos adquiridos durante


la realización del módulo y servirá para afianzar los objetivos del mismo.

1. INDICADOR DE NIVEL PARA DOS TANQUES MULTIPLEXADO:

El presente proyecto tiene la utilidad de indicar el nivel de agua en dos tanque de proceso
utilizando para ello 9 electrodos en cada tanque dispuestos en diferentes niveles según
muestra la figura siguiente:

S9

CIRCUITO COMBINACIONAL DISPLAYADOR


VISUALIZADOR

S4

Tanque 1 S3

S2

S1

S9

S4

S3

Tanque 2 S2

S1

Nueve detectores conductivos de nivel de agua son dispuestos a diferentes alturas a lo


largo de cada tanque. Al hacer contacto con el agua en el tanque, cada electrodo
proporciona un nivel lógico bajo, de lo contrario el nivel lógico proporcionado por el
electrodo o electrodos será alto.
Por ejemplo, si el nivel de agua en el tanque 1 está a la altura del electrodo S4, los
niveles lógicos presentes en los electrodos del S1 al S9 serán 0,0,0,0,1,1,1,1,1. Así

ELECTRÓNICA INDUSTRIAL REF HT - 09


HCT 1 / 3 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

mismo, si el nivel de agua en el tanque 2 está a la altura del electrodo S3, los niveles
lógicos presentes en los electrodos del S1 al S9 serán 0,0,0,1,1,1,1,1,1.

Para implementar el comportamiento anterior es necesario insertar un electrodo


conectado a VCC, hasta el fondo del tanque (nivel lógico alto +5V TTL), de modo que al
hacer contacto con el agua cada electrodo proporcionará un nivel lógico alto a un circuito
como el siguiente , el cual proporcionará un nivel lógico bajo si su nivel lógico de entrada
es alto y viceversa.

Un circuito combinacional constituido por dos codificadores de prioridad, un multiplexor


de 2 a 1 de cuatro bits, un decodificador BCD a 7 segmentos y un visualizador, tiene la
función de captar las señales provenientes de los sensores de nivel, convertirlas en
código BCD, multiplexarlas y visualizarlas alternativamente mediante el accionamiento de
un interruptor.

2. CIRCUITO ELECTRÓNICO DEL INDICADOR DE NIVEL MULTIPLEXADO:

Las señales provenientes de los electrodos detectores de nivel de ambos tanques


constituyen las entradas de dos circuitos codificadores de prioridad de decimal a BCD
74LS147, cuyas entradas y salidas son activas en nivel bajo, es decir se obtiene en su
salida el código BCD negado correspondiente al electrodo detector de nivel de mayor
prioridad activo en cada tanque.

Un circuito negador 74LS04 conectado a las salidas de los 74LS147 proporciona una
salida codificada en BCD, correspondiente al nivel de agua en cada tanque, estas
señales codificadas en BCD son conectadas a un circuito multiplexor de 2 a 1 de cuatro
bits 74LS157, el cual seleccionará una de las dos señales BCD aplicadas en sus
entradas mediante la aplicación de un nivel lógico alto o bajo en su entrada de selección
mediante un interruptor de selección y enviará dicho código hacia un visualizador.
Un circuito de visualización constituido por un circuito decodificador de BDC a 7
segmentos 74LS47 y un display ánodo común permiten la visualización decimal del nivel
de agua en ambos tanques pero de manera alternativa actuando sobre el interruptor de
selección.

ELECTRÓNICA INDUSTRIAL REF HT - 09


HCT 2 / 3 HOJAS
CIRCUITOS DIGITALES COMBINACIONALES

5v
5v
S1 11 16
S2 12 1 14
S3 13 2
S4 1 3 1 2 5v
S5 2 4 A 3 4

14 6 7 9
S6 3 5 B 5 74LS04 6 16
S7 4 6 C 9 8 2 4
S8 5 7 D 3 1A 1Y
S9 10 8 8 5 1B 7
9 7 6 2A 2Y
5v 11 2B 9
74LS147
S1 11 16 10 3A 3Y
S2 12 1 14 14 3B 12
S3 13 2 13 4A 4Y
S4 1 3 9 1 2 4B
S5 2 4 A 7 3 4 1
S6 3 5 B 6 5 74LS04 6 15 A/B
S7 4 6 C 14 9 8 G
S8 5 7 D
74LS157 8
S9 10 8 8
9 7
74LS147

5v SELECCIÓN
1

2,2K 5v 5v

16 330R x 7
2

7 13 1 2
1 1 A 12 1 2
2 2 B 11 1 2
6 4 C 10 1 2
4 8 D 9 1 2
5v 5 BI/RBO E 15 1 2
3 RBI F 14 1 2
LT G
74LS47 8 DISPLAY

ELECTRÓNICA INDUSTRIAL REF HT - 09


HCT 3 / 3 HOJAS
PROPIEDAD INTELECTUAL DEL SENATI PROHIBIDA
SU REPRODUCCIÓN Y VENTA SIN LA AUTORIZACIÓN
CORRESPONDIENTE

CÓDIGO DE MATERIAL EDICIÓN


0357 JUNIO 2004

Вам также может понравиться