Академический Документы
Профессиональный Документы
Культура Документы
Clock • C
REG
Clear R
•
• • Clear
D1 D Q1 D0 Q0
D1 Q1
• C
D2 Q2
R
•
• D3 Q3
D2 D Q2 (b) Symbol
• C
•
•
D3 D Q3 Load • C inputs (clock inputs
Clock of flip-flops)
C
Clock
Load
C inputs
Load •
• •
D • Q0
D0 C
•
•
D • Q1
D1
• C
•
•
D • Q2
D2
• C
•
D • Q3
D3
• C
Clock
Serial Serial
input SI D D D D input S0
C C C C
Clock • • •
(a) Logic diagram
SRG 4
Clock
Sl SO
(b) Symbol
Shift • •
Clock Register A Register B
SRG 4 SRG 4
C C
0 Sl SO Sl SO
Clock
Shift
C Input
T1 T2 T3 T4
TABLE 5-1
Example of Serial Transfer
Timing
pulse Shift Register A Shift Register B
Initial value 1 0 1 1 0 0 1 0
After T1 0 1 0 1 1 0 0 1
After T2 0 0 1 0 1 1 0 0
After T3 0 0 0 1 0 1 1 0
After T4 0 0 0 0 1 0 1 1
Register A
SRG 4
C
Reset Clear FA
Sl SO X S
Y
Z C
Shift • •
Clock Full Adder
(Figure 3-27)
Register B
SRG 4
• C
Carry
Reset Clear
Serial Sl SO D
input
C
Reset
•
SHR 4
D1 • D • Q1
• Shift
• C
• Load
•
Sl
• D0 Q0
D1 Q1
•
D2 Q2
D2 • Q2
D • D3 Q3
•
• C
• (b) Symbol
•
D3 • D • Q3
• C
Clock
TABLE 5-2
Function Table for the Register of Figure 5-6
0 0 No change
0 1 Load parallel data
1 ⫻ Shift down from Q0 to Q3
D • Qi – 1
C
SHR 4
Clock
MUX Mode S1 S1
S1 S1 Mode S0 S0
Left serial input LSI
S0 S0
D0 Q0
D1 Q1
0 D • Qi D2 Q2
1 • C D3 Q3
2 Right serial input RSI
Di 3
(b) Symbol
D • Qi + 1
• C
Clock
TABLE 5-3
Function Table for the Register of Figure 5-7
Mode control
Register
S1 S0 Operation
0 0 No change
0 1 Shift down
1 0 Shift up
1 1 Parallel load
• K
R
•
• J • Q1
• C
• K
R
• •
• J • Q2
• C
• K
R
• •
• J Q3
• C
• K
R
Clear • •
Logic 1
TABLE 5-4
Counting Sequence of Binary Counter
Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0
0 0 0 0 1 1 1 1
0 0 0 1 1 1 1 0
0 0 1 0 1 1 0 1
0 0 1 1 1 1 0 0
0 1 0 0 1 0 1 1
0 1 0 1 1 0 1 0
0 1 1 0 1 0 0 1
0 1 1 1 1 0 0 0
1 0 0 0 0 1 1 1
1 0 0 1 0 1 1 0
1 0 1 0 0 1 0 1
1 0 1 1 0 1 0 0
1 1 0 0 0 0 1 1
1 1 0 1 0 0 1 0
1 1 1 0 0 0 0 1
1 1 1 1 0 0 0 0
TABLE 5-5
State Table and Flip-Flop Inputs for Binary Counter
Present Next
state state Flip-flop inputs
0 0 0 0 0 0 0 1 0 ⫻ 0 ⫻ 0 ⫻ 1 ⫻
0 0 0 1 0 0 1 0 0 ⫻ 0 ⫻ 1 ⫻ ⫻ 1
0 0 1 0 0 0 1 1 0 ⫻ 0 ⫻ ⫻ 0 1 ⫻
0 0 1 1 0 1 0 0 0 ⫻ 1 ⫻ ⫻ 1 ⫻ 1
0 1 0 0 0 1 0 1 0 ⫻ ⫻ 0 0 ⫻ 1 ⫻
0 1 0 1 0 1 1 0 0 ⫻ ⫻ 0 1 ⫻ ⫻ 1
0 1 1 0 0 1 1 1 0 ⫻ ⫻ 0 ⫻ 0 1 ⫻
0 1 1 1 1 0 0 0 1 ⫻ ⫻ 1 ⫻ 1 ⫻ 1
1 0 0 0 1 0 0 1 ⫻ 0 0 ⫻ 0 ⫻ 1 ⫻
1 0 0 1 1 0 1 0 ⫻ 0 0 ⫻ 1 ⫻ ⫻ 1
1 0 1 0 1 0 1 1 ⫻ 0 0 ⫻ ⫻ 0 1 ⫻
1 0 1 1 1 1 0 0 ⫻ 0 1 ⫻ ⫻ 1 ⫻ 1
1 1 0 0 1 1 0 1 ⫻ 0 ⫻ 0 0 ⫻ 1 ⫻
1 1 0 1 1 1 1 0 ⫻ 0 ⫻ 0 1 ⫻ ⫻ 1
1 1 1 0 1 1 1 1 ⫻ 0 ⫻ 0 ⫻ 0 1 ⫻
1 1 1 1 0 0 0 0 ⫻ 1 ⫻ 1 ⫻ 1 ⫻ 1
Table 5-5 State Table and Flip-Flop Inputs for Binary Counter
00 X X X X
01 1 X X X X
Q2
11 X X X X 1
Q3
10 X X X X
Q0
JQ3 = Q0 Q1 Q2 KQ3 = Q0 Q1 Q2
1 X X X X
X
X X X X 1
X X X X 1
1 X X X X
JQ2 = Q0 Q1 KQ2 = Q0 Q1
1 X X X X 1
1 X X X X 1
1 X X X 1
1 X X X X 1
JQ1 = Q0 KQ1 = Q0
Count enable EN • • K
J • Q1
CTR 4
• C
• • K • EN Q0
Q1
Q2
J • Q2 Q3
• C CO
• • K
(b) Symbol
J • Q3
• C
• • K
Carry
output CO
Clock
• •
C1
•
D • Q1
•
• C
Q2
• •
• C2
D • Q2 •
•
• C
Q3
•
•
• C3
•
D • Q3 •
•
• C
Carry
output CO
CO
Clock
D1 • J Q1
• • CTR 4
•
• C
Load
•
• K Count
• D0 Q0
D1 Q1
D2 Q2
D3 Q3
D2
• J Q2
• • CO
•
• C
(b) Symbol
•
• K
•
D3
• J Q3
• •
•
• C
•
• K
Carry
Output CO
Clock
(a) Logic diagram
© 2001 Prentice Hall, Inc. Fig. 5-12 4-Bit Binary Counter with Parallel Load
M. Morris Mano & Charles R. Kime
LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 2e, Updated.
5-18
TABLE 5-6
State Table and Flip-Flop Inputs for BCD Counter
0 0 0 0 0 0 0 1 0 0 0 0 1
0 0 0 1 0 0 1 0 0 0 0 1 1
0 0 1 0 0 0 1 1 0 0 0 0 1
0 0 1 1 0 1 0 0 0 0 1 1 1
0 1 0 0 0 1 0 1 0 0 0 0 1
0 1 0 1 0 1 1 0 0 0 0 1 1
0 1 1 0 0 1 1 1 0 0 0 0 1
0 1 1 1 1 0 0 0 0 1 1 1 1
1 0 0 0 1 0 0 1 0 0 0 0 1
1 0 0 1 0 0 0 0 1 1 0 0 1
Table 5-6 State Table and Flip-Flop Inputs for BCD Counter
CTR 4
Clock
Load
1 Count
D0 Q0 • Q0
D1 Q1 Q1
D2 Q2 Q2
• D3 Q3 • Q3
(Logic 0)
CO
TABLE 5-7
State Table and Flip-Flop Inputs for BCD Counter
0 0 0 0 0 0 0 1 0 0 0 0 1
0 0 0 1 0 0 1 0 0 0 0 1 1
0 0 1 0 0 0 1 1 0 0 0 0 1
0 0 1 1 0 1 0 0 0 0 1 1 1
0 1 0 0 0 1 0 1 0 0 0 0 1
0 1 0 1 0 1 1 0 0 0 0 1 1
0 1 1 0 0 1 1 1 0 0 0 0 1
0 1 1 1 1 0 0 0 0 1 1 1 1
1 0 0 0 1 0 0 1 0 0 0 0 1
1 0 0 1 0 0 0 0 1 1 0 0 1
Table 5-7 State Table and Flip-Flop Inputs for BCD Counter
A B C
• •
•
C C C
J K J K J K
Clock • •
•
•
Logic-1
(a) Logic diagram
000 111
001 110
010 101
100 011
TABLE 5-8
State Table and Flip-Flop Inputs for Counter
Present
State Next State Flip-Flop Inputs
A B C A B C JA KA JB KB JC KC
0 0 0 0 0 1 0 ⫻ 0 ⫻ 1 ⫻
0 0 1 0 1 0 0 ⫻ 1 ⫻ ⫻ 1
0 1 0 1 0 0 1 ⫻ ⫻ 1 0 ⫻
1 0 0 1 0 1 ⫻ 0 0 ⫻ 1 ⫻
1 0 1 1 1 0 ⫻ 0 1 ⫻ ⫻ 1
1 1 0 0 0 0 ⫻ 1 ⫻ 1 0 ⫻
entity srg_4_r is
port(CLK, RESET, SI : in std_logic;
Q : out std_logic_vector(3 downto 0);
SO : out std_logic);
end srg_4_r;
Fig. 5-15 Behavioral VHDL Description of 4-bit Left Shift Register with Direct Reset
entity count_4_r is
port(CLK, RESET, EN : in std_logic;
Q : out std_logic_vector(3 downto 0);
CO : out std_logic);
end count_4_r;
Fig. 5-16 Behavioral VHDL Description of 4-bit Binary Counter with Direct Reset
reg [3:0] Q;
assign SO = Q[3];
Fig. 5-17 Behavioral Verilog Description of 4-bit Left Shift Register with Direct Reset
reg [3:0] Q;
Fig. 5-18 Behavioral Verilog Description of 4-bit Binary Counter with Direct Reset