Вы находитесь на странице: 1из 13

Nro.

LABORATORIO ELECTRÓNICA DIGITAL Página 1 de 13

ELECTRONICA DIGITAL

LABORATORIO N° 03

CIRCUITOS SUMADORES Y
DECODIFICADORES

Alumno(s) Nota

Alvarado Mamani saul Alex

Cardenas Humire Victor

Anconeira Ayque Cristian


Grupo A
Ciclo 4C4 Electrotecnia Industrial – Electrónica Digital
Fecha de entrega
Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 2 de 13

I. CAPACIDAD TERMINAL
 Identificar las aplicaciones de la Electrónica Digital.
 Describir el funcionamiento de las unidades y dispositivos de almacenamiento de
información.
 Implementar circuitos de lógica combinacional y secuencial.

II. COMPETENCIA ESPECIFICA DE LA SESION


 Implementación de circuitos de aritmética binaria usando C.I.: Sumadores y restadores.
 Implementación de circuitos decodificadores y displays de 7 segmentos.
 Utilizar un SIMULADOR para comprobar el comportamiento de los mismos.

III. CONTENIDOS A TRATAR


 Circuitos Sumadores
 Circuitos Decodificadores.
 Display de 7 segmentos
IV. RESULTADOS
 Diseñan sistemas eléctricos y los implementan gestionando eficazmente los recursos
materiales y humanos a su cargo.

V. MATERIALES Y EQUIPO
 Entrenador para Circuitos Lógicos
 PC con Software de simulación.
 Guía de Laboratorio. El trabajo se desarrolla de manera GRUPAL.

VI. REPASO DEL LABORATORIO ANTERIOR


 Diseño de circuitos combinacionales

VII. FUNDAMENTO TEÓRICO


 Revise los siguientes enlaces:
 CIRCUITOS SUMADORES:
http://e-
ducativa.catedu.es/44700165/aula/archivos/repositorio/4750/4923/html/7_sumadores.html
 CIRCUITOS DECODIFICADORES:
https://sites.google.com/site/electronicadigitalmegatec/home/deccoder-bcd-a-7-segmentos
 NUMEROS BINARIOS
http://mrelbernitutoriales.com/electronica-digital/numeros-binarios/
 DISPLAY DE 7 SEGMENTOS:
http://www.electrontools.com/Home/WP/2016/03/09/display-7-segmentos/

VIII. SEGURIDAD EN LA EJECUCIÓN DEL LABORATORIO

Tener cuidado con el tipo y niveles de voltaje con los


que trabaja.

Antes de utilizar el multímetro, asegurarse que esta


en el rango y magnitud eléctrica adecuada.

Tener cuidado en la conexión y en la desconexión


de los equipos utilizados
Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 3 de 13

TAREAS GUIADAS DENTRO DEL LABORATORIO:

1. Los Números Binarios y su representación:

2. Suma de números Binarios


Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 4 de 13

SUMADOR COMPLETO DE 4 BITS:


Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 5 de 13

3. Tomando en cuenta el circuito anterior, SIMULAR dicho circuito y completar la tabla siguiente:

Acarreo Cin Sumando A Sumando B Sumatoria ∑ Acarreo Cout


0001 0010 0011 0
0
0010 0011 0101 0
0
0011 0100 0111 0
0
0100 0101 1001 0
0
0101 0111 1100 1
1
0111 1000 10000 1
1
1000 1001 10010 1
1

4. Armar circuito en el ENTRENADOR y verificar resultados

5. Visualización de Números Binarios (DECODIFICADORES)

6. Tabla de verdad de un DECODIFICADOR DE 7 SEGMENTOS


Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 6 de 13

7. Decodificador COMERCIAL: 7448

8. Realice la SIMULACION del circuito mostrado. Luego realice la IMPLEMETACION en


ENTRENADOR comprobando la tabla anterior.
SUMANDO A

1
U1 U2
0 5
A0 S0
4 7
A QA
13
3 1 1 12
0 14
A1
A2
S1
S2
13 2
B
C
QB
QC
11
12 10 6 10
0 A3 S3
4
D
BI/RBO
QD
QE
9
6 5 15
B0 RBI QF
2 3 14
B1 LT QG
SUMANDO B

15
B2
1 11
B3
7448

0 7
C0 C4
9

0 74283

9. Experimente y responda a las preguntas siguientes dentro del BLOG (video)


- ¿Qué sucede si la SUMATORIA es superior a 9?, ¿qué número se muestra en el DISPLAY y por
qué?
Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 7 de 13

- En el CI 7448, ¿para qué se utilizan los pines BI/RBO, RBI y LT?

las funciones LT, RBI yBI/RBO. Como indican los círculos del símbolo lógico, todas las salidas (de a
a g) son activas a nivel bajo, al igual que lo son LT (Lamp Test), RBI (Ripple Blanking Input) yBI/RBO
(Blanking Input/Ripple Blanking Output).

Cuando se aplica un nivel bajo a la entrada LT y la entrada BI/RBO está a nivel alto, se encienden
todos los segmentos del display. La entrada de comprobación se utiliza para verificar que ninguno de
los segmentos está fundido.

- En el bloque del entrenador denominado HEX 7 SEGMENT DISPLAY, ¿para qué sirven las
entradas LE, RBI y la salida RBO?

- Trate de modificar el circuito de simulación para mostrar una SUMA DE 2 DÍGITOS.

IMÁGENES CAPATADAS EN EL LABORATORIO


Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 8 de 13

10. CONTENIDO DEL INFORME EN EL BLOG:


a. Teoría de Sumadores y Decodificadores BCD a 7 segmentos
SUMADORES:
Un sumador es un circuito digital que realiza la adición de números. En muchas computadoras y otros tipos
de procesadores se utilizan sumadores en las unidades aritméticas lógicas. También se utilizan en otras
partes del procesador, donde se utilizan para calcular direcciones, índices de tablas, operadores de
incremento y decremento y operaciones similares.
Aunque los sumadores se pueden construir para muchas representaciones numéricas, tales como decimal
codificado en binario o exceso-3, los sumadores más comunes funcionan en números binarios. En los casos
en que se utiliza el complemento a dos o el complemento a uno para representar números negativos, es
trivial modificar un sumador para convertirlo en un sumador-restador. Otras representaciones de números
con signo requieren más lógica alrededor del sumador básico.
Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 9 de 13

Decodificadores BCD a 7 segmentos

Es un elemento digital que funciona a base de estados lógicos, con los cuales indica una salida
determinada basándose en un dato de entrada característico, su función operacional se basa en la
introducción a sus entradas de un número en código binario correspondiente a su equivalente en
decimal para mostrar en los siete pines de salida establecidos para el integrado, una serie de estados
lógicos que están diseñados para conectarse a un elemento alfanumérico en el que se visualizará el
número introducido en las entradas del decodificador. El elemento alfanumérico que se conecta a
las siete salidas del decodificador también está diseñado para trabajar con estados lógicos, es un
dispositivo elaborado con un arreglos de LED de tal manera que muestre los números decimales desde
el cero hasta el nueve dependiendo del dato recibido desde el decodificador, a este elemento se le
conoce con el nombre de display ó dispositivo alfanumérico de 7 segmentos.
El decodificador está formado internamente por compuertas lógicas y sus conexiones internas son un
sistema predefinido por el diseñador para que su función operacional sea un acople perfecto y efectivo
con el display, observe como se muestran a continuación en las especificaciones del fabricante.

DISPLAY
Es un dispositivo alfanumérico que se encuentra formado por diodos emisores de luz (LED),
posicionados de forma tal que forme un numero ocho, a cada uno de ellos se les denomina segmentos.
Encendiendo algunos de ellos y apagando otros se puede ir formando diferentes números por medio de
las combinaciones entre ellos.
Cada segmento esta designado con una letra. El punto decimal se denomina dp, pt ó simplemente P. El
display se encuentra en una representación de encapsulado con los pines para conectarlo a un circuito.
A cada pin o pata del encapsulado se le asigna la letra correspondiente del segmento. Esto significa
que, por ejemplo, con el pin "a" podemos controlar el estado del segmento "a"(encenderlo o apagarlo).
Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 10 de 13

El display tiene 8 leds colocados en forma de un dígito con punto decimal, cada led tiene dos
extremos, ánodo y cátodo. Como en total son 8 leds, debería tener 16 extremos (8 ánodos y 8 cátodos),
sin embargo el encapsulado solo tiene 10. Esto se hace para reducir el tamaño del encapsulado y se
logra de la siguiente manera. Los 8 led se interconectan internamente de tal forma que solo se puede
acceder a uno de los dos extremos de cada led. Los extremos sobrantes de cada led se conecta
internamente en un solo punto llamado común , y este punto de unión se encuentra disponible desde el
exterior del encapsulado. Debido a esta configuración se tienen dos tipos de display de 7 segmentos:
Ánodo común y Cátodo común.
Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 11 de 13

b. Video tutorial editado y subtitulado explicando TODO el proceso de diseño:


i. Circuito Sumador simple
ii. Circuito sumador con Decodificador
iii. Simulación del circuito
iv. Implementación y funcionamiento
c. Observaciones y conclusiones. ¿Qué he aprendido de esta experiencia? (en modo texto)
d. Integrantes (incluye foto de todos)

IX. RECOMENDACIONES
Documente el avance del proyecto con capturas de pantalla, videos, observaciones y
conclusiones. Cree un BLOG y suba dicha información al mismo.

X. RESÚMEN

 Números Binarios
El sistema binario, llamado también sistema diádico en ciencias de la computación, es
un sistema de numeración en el que los números se representan utilizando solamente
dos cifras: cero y uno (0 y 1). Es uno de los sistemas que se utilizan en las computadoras,
debido a que estas trabajan internamente con dos niveles de voltaje, por lo cual su sistema
de numeración natural es el sistema binario.

 Circuitos Sumador

Un sumador es un circuito digital que realiza la adición de números. En muchas computadoras y


otros tipos de procesadores se utilizan sumadores en las unidades aritméticas lógicas. También
se utilizan en otras partes del procesador, donde se utilizan para calcular direcciones, índices de
tablas, operadores de incremento y decremento y operaciones similares.
Aunque los sumadores se pueden construir para muchas representaciones numéricas, tales
como decimal codificado en binario o exceso-3, los sumadores más comunes funcionan
en números binarios. En los casos en que se utiliza el complemento a dos o el complemento a
uno para representar números negativos, es trivial modificar un sumador para convertirlo en un
sumador-restador. Otras representaciones de números con signo requieren más lógica alrededor
del sumador básico.
Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 12 de 13

 Circuito Decodificador

Un decodificador o descodificador es un circuito combinacional, cuya función es inversa a la


del codificador, es decir, convierte un código binario de entrada (natural, BCD, etc.) de N bits
de entrada y M líneas de salida (N puede ser cualquier entero y M es un entero menor o igual
a 2, tales que cada línea de salida será activada para una sola de las combinaciones posibles
de entrada. Normalmente, estos circuitos suelen encontrarse como decodificador
/ demultiplexor. Esto es debido a que un demultiplexor puede comportarse como un
decodificador.
Nro.
LABORATORIO ELECTRÓNICA DIGITAL Página 13 de 13

XI. PROXIMO LABORATORIO:


 Circuitos contadores.

XII. BIBLIOGRAFIA Y WEBGRAFIA RECOMENDADA


 Floyd, Thomas (2006) Fundamentos de sistemas digitales. Madrid.: Pearson Educación
(621.381/F59/2006) Disponible Base de Datos Pearson
 Mandado, Enrique (1996) Sistemas electrónicos digitales. México D.F.: Alfaomega.
(621.381D/M22/1996)
 Morris Mano, M. (1986) Lógica digital y diseño de computadoras. México D.F.: Prentice
Hall (621.381D/M86L)
 Tocci, Ronald (2007) Sistemas digitales: Principios y aplicaciones. México D.F.:
Pearson Educación. (621.381D/T65/2007) Disponible Base de Datos Pearson

Вам также может понравиться