Вы находитесь на странице: 1из 366

Fundamentos de

Electrónica

(2ª edición)
Fundamentos de Elena López Guillén
Marta Marrón Romera
Electrónica Ignacio Bravo Muñoz
Mª Soledad Escudero Herranz
Alfredo Gardel Vicente
Álvaro Hernández Alonso
(2ª edición) Miguel Ángel García Garrido
Juan Manuel Miguel Jiménez

SERVICIO DE PUBLICACIONES
El contenido de este libro no podrá ser reproducido,
ni total ni parcialmente, sin el previo permiso escrito del editor.
Todos los derechos reservados.

© Universidad de Alcalá
Servicio de Publicaciones
Plaza de San Diego, s/n
28801 Alcalá de Henares
www.uah.es

ISBN: 978-84-8138-781-0
Depósito Legal: M-51740-2008
Impresión y encuadernación: Imprenta de la UAH
Impreso en España
PRÓLOGO
Son innumerables los textos y libros técnicos que tratan con acierto los
diferentes campos de la electrónica analógica y digital. Así, por ejemplo, el
Servicio de Publicaciones de la Universidad de Alcalá ha editado hasta la fecha
un número importante de publicaciones dentro de esta área, la mayor parte de
ellas dirigidas a estudiantes de Ingenierías técnicas y superiores de
telecomunicación e industriales, en sus diferentes especialidades.
Sin embargo, la experiencia de impartir durante varios años la asignatura de
Electrónica en el primer curso de Ingeniería Técnica en Informática de
Gestión, ha puesto de manifiesto para los autores de este libro la necesidad de
elaborar un texto especialmente enfocado para alumnos de esta titulación. Para
estos estudiantes, esta asignatura cuatrimestral será su único contacto con la
electrónica, por lo que debe proporcionárseles una visión más generaliza y
práctica que les ayude a entender, no en profundidad pero sí de forma clara, los
diferentes elementos electrónicos que forman parte de un sistema
microprocesador, núcleo de cualquier sistema informático en el que se basará
su futura actividad profesional.
Por tanto, el objetivo de este libro es proporcionar una visión general de los
fundamentos de la electrónica, comenzando por los conceptos básicos sobre
componentes y circuitos electrónicos, y estudiando a continuación las bases de
la electrónica digital, hasta llegar a presentar la estructura de un sistema basado
en microprocesador. En esta segunda edición se ha hecho una revisión y
actualización de contenidos, tanto en el texto como en las ilustraciones, al
tiempo que se ha tratado de mejorar la claridad en la exposición de los mismos.
La estructura del libro, que consta de seis capítulos, se ha ajustado al temario de
la asignatura anteriormente citada, para facilitar al alumno el seguimiento de la
misma. Al final de cada capítulo se incluye una colección de problemas con sus
soluciones, que sirven de apoyo para la comprensión y puesta en práctica de los
conceptos teóricos aprendidos.
Por último, los autores esperan que este libro resulte útil para estudiantes de
todas las disciplinas que deseen aprender sobre el funcionamiento y diseño de
los circuitos electrónicos.

Los autores
Alcalá de Henares, Junio de 2008

1.
ÍNDICE
TEMA 1. CONCEPTOS BÁSICOS SOBRE CIRCUITOS Y COMPONENTES ELECTRÓNICOS

1.1. CORRIENTE ELÉCTRICA................................................................................................................. 1.2


1.1.1. Definición de corriente eléctrica................................................................................................. 1.2
1.1.2. Tipos de corriente eléctrica......................................................................................................... 1.3
1.2. POTENCIAL ELÉCTRICO Y LEY DE OHM .................................................................................... 1.4
1.3. POTENCIA ELÉCTRICA.................................................................................................................... 1.6
1.4. GENERADORES DE ENERGÍA. FUERZA ELECTROMOTRIZ .................................................... 1.7
1.4.1. Generadores de tensión............................................................................................................... 1.8
1.4.2. Generadores de corriente ............................................................................................................ 1.9
1.5. CONCEPTOS BÁSICOS SOBRE ANÁLISIS DE CIRCUITOS ...................................................... 1.10
1.5.1. Concepto de circuito eléctrico .................................................................................................. 1.10
1.5.2. Definiciones de interés ............................................................................................................. 1.10
1.5.3. Diferencia de potencial y potencial absoluto ............................................................................ 1.12
1.6. ANÁLISIS DE CIRCUITOS EN CONTINUA.................................................................................. 1.15
1.6.1. Leyes de Kirchoff ..................................................................................................................... 1.15
1.6.2. Análisis de un circuito cerrado ................................................................................................. 1.16
1.6.3. Análisis de un circuito complejo .............................................................................................. 1.18
1.7. RESISTENCIA EQUIVALENTE...................................................................................................... 1.23
1.7.1. Asociación serie de resistencias................................................................................................ 1.23
1.7.2. Asociación paralelo de resistencias .......................................................................................... 1.24
1.7.3. Asociación mixta de resistencias .............................................................................................. 1.25
1.8. OTRAS MAGNITUDES ELÉCTRICAS........................................................................................... 1.26
1.8.1. La capacidad............................................................................................................................. 1.26
1.8.2. La inducción ............................................................................................................................. 1.28
1.8.3. Análisis de circuitos en continua con elementos capacitivos e inductivos ............................... 1.30
1.9. INTRODUCCIÓN A LOS COMPONENTES ELECTRÓNICOS .................................................... 1.31
1.9.1. Concepto de componente electrónico ....................................................................................... 1.31
1.9.2. Clasificación de componentes electrónicos .............................................................................. 1.31
1.9.3. Características técnicas de un componente electrónico ............................................................ 1.33
1.9.4. Interconexión y polarización de los componentes electrónicos................................................ 1.36
1.10. ESTUDIO DE LOS COMPONENTES ELECTRÓNICOS PASIVOS........................................... 1.37
1.10.1. Resistores................................................................................................................................ 1.37
1.10.2. Condensadores........................................................................................................................ 1.39
1.10.3. Bobinas................................................................................................................................... 1.39
1.11. ESTUDIO DE UN COMPONENTE ELECTRÓNICO ACTIVO. EL DIODO.............................. 1.40
1.11.1. Característica I-V de un diodo................................................................................................ 1.40
1.11.2. Tipos de diodos y aplicaciones ............................................................................................... 1.45
1.12. OTROS COMPONENTES ELECTRÓNICOS............................................................................... 1.46
1.12.1. Componentes electrónicos discretos....................................................................................... 1.46
1.12.2. Tecnología bipolar.................................................................................................................. 1.47
1.12.3. Tecnología unipolar................................................................................................................ 1.47
1.12.4. Componentes electrónicos integrados .................................................................................... 1.48
1.13. PROBLEMAS ................................................................................................................................. 1.50

1.
TEMA 2. ÁLGEBRA DE BOOLE. SISTEMAS Y CÓDIGOS DE NUMERACIÓN

2.1. INTRODUCCIÓN A LA ELECTRÓNICA DIGITAL........................................................................ 2.2


2.2. ÁLGEBRA DE BOOLE ...................................................................................................................... 2.4
2.2.1. Introducción ............................................................................................................................... 2.4
2.2.2. Concepto de función lógica o booleana...................................................................................... 2.5
2.2.3. Tabla de verdad de una función lógica....................................................................................... 2.5
2.2.4. Funciones lógicas básicas........................................................................................................... 2.6
2.2.5. Postulados, propiedades y teoremas del álgebra de Boole ......................................................... 2.9
2.2.6. Forma canónica de una función booleana ................................................................................ 2.10
2.2.7. Paso de una función cualquiera a forma canónica.................................................................... 2.11
2.2.8. Obtención de funciones lógicas a partir de tablas de verdad.................................................... 2.12
2.2.9. Implementación de funciones lógicas mediante puertas NAND y NOR.................................. 2.12
2.3. SIMPLIFICACIÓN DE FUNCIONES LÓGICAS ............................................................................ 2.15
2.3.1. Simplificación por el método algebraico.................................................................................. 2.16
2.3.2. Simplificación por el método de Karnaugh.............................................................................. 2.16
2.4. SISTEMAS Y CÓDIGOS DE NUMERACIÓN................................................................................ 2.20
2.4.1. Sistemas de numeración ........................................................................................................... 2.20
2.4.2. Representación de números con signo ..................................................................................... 2.24
2.4.3. Códigos binarios....................................................................................................................... 2.30
2.5. PROBLEMAS.................................................................................................................................... 2.34

TEMA 3. CIRCUITOS DIGITALES COMBINACIONALES

3.1. INTRODUCCIÓN................................................................................................................................ 3.2


3.2. FAMILIAS LÓGICAS......................................................................................................................... 3.2
3.2.1. Características generales de los circuitos digitales integrados ................................................... 3.2
3.2.2. Tecnologías de fabricación......................................................................................................... 3.9
3.3. CIRCUITOS COMBINACIONALES MSI ....................................................................................... 3.11
3.3.1. Decodificadores........................................................................................................................ 3.11
3.3.2. Codificadores ........................................................................................................................... 3.24
3.3.3. Multiplexores ........................................................................................................................... 3.28
3.3.4. Demultiplexores ....................................................................................................................... 3.37
3.3.5. Comparadores .......................................................................................................................... 3.40
3.4. ARITMÉTICA BINARIA.................................................................................................................. 3.42
3.4.1. Semisumador y sumador completo .......................................................................................... 3.42
3.4.2. Suma binaria............................................................................................................................. 3.43
3.4.3. Resta binaria............................................................................................................................. 3.43
3.4.4. Multiplicación binaria .............................................................................................................. 3.49
3.5. PROBLEMAS.................................................................................................................................... 3.50
3.6. ANEXO: Hojas características de circuitos integrados combinacionales .......................................... 3.77
TEMA 4. CIRCUITOS DIGITALES SECUENCIALES

4.1 INTRODUCCIÓN A LOS SISTEMAS SECUENCIALES .................................................................. 4.2


4.2 BIESTABLES ....................................................................................................................................... 4.4
4.2.1 Básculas R-S NOR y NAND ....................................................................................................... 4.6
4.2.2 Biestable R-S síncrono activo por nivel...................................................................................... .4.9
4.2.3 Biestable R-S síncrono activo por flanco................................................................................... 4.11
4.2.4 R-S síncrono con entradas auxiliares asíncronas ....................................................................... 4.12
4.2.5 Biestable J-K.............................................................................................................................. 4.13
4.2.6 Biestable D................................................................................................................................. 4.14
4.2.7 Biestable T ................................................................................................................................. 4.15
4.2.8 Características temporales de los biestables............................................................................... 4.17
4.2.9 Aplicaciones de los biestables.................................................................................................... 4.17
4.3 CONTADORES .................................................................................................................................. 4.18
4.3.1 Contadores asíncronos ............................................................................................................... 4.20
4.3.2 Contadores síncronos ................................................................................................................. 4.23
4.3.3 Líneas adicionales de los circuitos contadores síncronos comerciales....................................... 4.33
4.4 REGISTROS ....................................................................................................................................... 4.35
4.4.1 Registros de desplazamiento serie-serie..................................................................................... 4.36
4.4.2 Registros de desplazamiento serie-paralelo ............................................................................... 4.37
4.4.3 Registros de desplazamiento paralelo-serie ............................................................................... 4.38
4.4.4 Registros de desplazamiento paralelo-paralelo .......................................................................... 4.39
4.4.5 Registros de desplazamiento bidireccional ................................................................................ 4.40
4.4.6 Registros de desplazamiento universales ................................................................................... 4.41
4.4.7 Aplicaciones de los registros...................................................................................................... 4.41
4.5 PROBLEMAS ..................................................................................................................................... 4.43
4.6 ANEXO: HOJAS CARACTERÍSTICAS............................................................................................ 4.55

TEMA 5. MEMORIAS

5.1 INTRODUCCIÓN ................................................................................................................................ 5.2


5.2 ESTRUCTURA INTERNA................................................................................................................... 5.2
5.3 CARACTERÍSTICAS GENERALES .................................................................................................. 5.3
5.4 CLASIFICACIÓN ................................................................................................................................ 5.4
5.5 MEMORIAS RAM ............................................................................................................................... 5.5
5.5.1 Memorias RAM estáticas (SRAM).............................................................................................. 5.6
5.5.2 Memorias RAM dinámicas (DRAM)......................................................................................... 5.10
5.6 MEMORIAS ROM ............................................................................................................................. 5.16
5.6.1 Memorias rom clásicas............................................................................................................... 5.16
5.6.2 Memorias PROM ....................................................................................................................... 5.18
5.6.3 Memorias EPROM..................................................................................................................... 5.19
5.6.4 Memorias EEPROM .................................................................................................................. 5.22
5.6.5 Memorias FLASH...................................................................................................................... 5.22
5.7 MEMORIAS ESPECIALES ............................................................................................................... 5.23
5.7.1 Memorias de doble puerto.......................................................................................................... 5.23
5.7.2 Memorias FIFO.......................................................................................................................... 5.25
5.8 EXPANSIÓN DE MEMORIAS ......................................................................................................... 5.26
5.8.1 Expansión de la longitud de palabra .......................................................................................... 5.26
5.8.2 Expansión del número de palabra .............................................................................................. 5.27
5.9 USO DE MEMORIAS PARA LA IMPLEMENTACION DE FUNCIONES LÓGICAS ................. 5.29
5.10 PROBLEMAS PROPUESTOS ........................................................................................................ 5.33

1.
TEMA 6. INTRODUCCIÓN A LOS MICROPROCESADORES

6.1 DEFINICIÓN DE MICROPROCESADOR ......................................................................................... 6.2


6.1.1. Estructura básica de un sistema digital microprogramado. ........................................................ 6.3
6.1.2. Elementos típicos de una cpu ..................................................................................................... 6.4
6.1.3. Patillaje típico de un microprocesador ....................................................................................... 6.7
6.1.4. Clasificación de los microprocesadores ..................................................................................... 6.8
6.2 ARQUITECTURA DE VON NEUMANN........................................................................................... 6.9
6.2.1. Funcionamiento interno............................................................................................................ 6.10
6.2.2. Características típicas de arquitecturas Von Neumann ............................................................ 6.11
6.3 ARQUITECTURA HARVARD ......................................................................................................... 6.12
6.3.1. Características típicas de arquitecturas Harvard....................................................................... 6.14
6.4 INTRODUCCIÓN A LA SEGMENTACIÓN. ................................................................................... 6.15
6.4.1. Problemática asociada a la segmentación (hazards)................................................................. 6.17
6.5 PERIFÉRICOS ASOCIADOS A UN MICROPROCESADOR ......................................................... 6.18
6.5.1. Interfaces. ................................................................................................................................. 6.18
6.5.2. Conversores analógicos digitales ............................................................................................. 6.18
6.5.3. Temporizadores........................................................................................................................ 6.19
6.5.4. Módulos de comunicación serie/paralelo ................................................................................. 6.19
6.6 DEFINICIÓN DE LOS MICROCONTROLADORES....................................................................... 6.20
6.6.1. Recursos típicos de los MICROCONTROLADORES ............................................................ 6.20
Conceptos básicos sobre circuitos y componentes electrónicos

TEMA 1
Conceptos básicos sobre circuitos y
componentes electrónicos.

Índice de Contenidos

1.1 CORRIENTE ELÉCTRICA .................................................................................. 1.2

1.2 POTENCIAL ELÉCTRICO Y LEY DE OHM ..................................................... 1.4

1.3 POTENCIA ELÉCTRICA..................................................................................... 1.6

1.4 GENERADORES DE ENERGÍA. FUERZA ELECTROMOTRIZ ..................... 1.7

1.5 CONCEPTOS BÁSICOS SOBRE ANÁLISIS DE CIRCUITOS....................... 1.10

1.6 ANÁLISIS DE CIRCUITOS EN CONTINUA................................................... 1.15

1.7 RESISTENCIA EQUIVALENTE ....................................................................... 1.23

1.8 OTRAS MAGNITUDES ELÉCTRICAS............................................................ 1.26

1.9 INTRODUCCIÓN A LOS COMPONENTES ELECTRÓNICOS ..................... 1.31

1.10 ESTUDIO DE LOS COMPONENTES ELECTRÓNICOS PASIVOS .............. 1.37

1.11 ESTUDIO DE UN COMPONENTE ELECTRÓNICO ACTIVO. EL DIODO . 1.40

1.12 OTROS COMPONENTES ELECTRÓNICOS................................................... 1.46

1.13 PROBLEMAS ..................................................................................................... 1.50


FUNDAMENTOS DE ELECTRÓNICA

1.1 CORRIENTE ELÉCTRICA

1.1.1 DEFINICIÓN DE CORRIENTE ELÉCTRICA

La “corriente eléctrica” se define como el movimiento neto de cargas en el interior de


un conductor producido por la existencia de un campo eléctrico próximo al mismo.

Teniendo en cuenta la relación entre el campo y la corriente eléctrica, se asigna por


convenio el sentido positivo de la corriente al sentido contrario al de movimiento de los
electrones en el interior del conductor (ver figura 1.1), o lo que es lo mismo, en el sentido
positivo del campo eléctrico que la genera.
Corriente eléctrica

-
- -

Figura 1.1. Movimiento de las cargas en un conductor por efecto del campo eléctrico. Generación de
corriente eléctrica.

Para caracterizar cualitativamente la corriente eléctrica, se define la “intensidad de


corriente” (I) como la cantidad neta de carga que atraviesa la superficie transversal de un
conductor por unidad de tiempo (figura 1.2):

I (positiva)

-
- -
dq
I (t )
dt
E

Figura 1.2. Definición cuantitativa de la corriente eléctrica.

Teniendo en cuenta esta definición, la unidad de intensidad de corriente, llamada


Amperio (A), se obtendrá mediante la ecuación 1.1 que se muestra a continuación:

C arg a Ÿ Culombio(C )
Intensidad Ÿ Amperio( A) <1.1>
tiempo Ÿ segundo( s )

Si bien, para aplicaciones de electrónica, suele ser usual utilizar submúltiplos del
amperio, tales como el miliamperio (mA=10-3 A) y el microamperio (PA=10-6 A)

1.2
Conceptos básicos sobre circuitos y componentes electrónicos

1.1.2 TIPOS DE CORRIENTE ELÉCTRICA

La corriente eléctrica se suele clasificar en función de la forma que presente la onda


frente al tiempo. De este modo se pueden distinguir los siguientes tipos de corriente:

Corriente no alterna, es aquélla que no cambia de sentido, es decir, es a lo largo del


tiempo siempre positiva o siempre negativa (figura 1.3 y 1.4). Si además de su sentido,
también su valor permanece constante con el tiempo, se denomina “corriente continua”
(figura 1.4).

I(t) I(t)

t t

Figura 1.3. Corriente no alterna. Figura 1.4. Corriente continua.

Corriente alterna, es aquélla que cambia de sentido (de signo), a lo largo del tiempo
(figura 1.5 y 1.6). Un caso particular es la “corriente alterna sinusoidal” (figura 1.6), que
presenta mucha importancia en los circuitos eléctricos ya que por sus especiales
características es el tipo de corriente que circula por la red eléctrica. Tanto es así que en
muchos casos el nombre de corriente alterna define directamente a la corriente
sinusoidal.

I(t) I(t)

t t

Figura 1.5. Corriente alterna. Figura 1.6. Corriente sinusoidal.

En función de la aplicación, la corriente eléctrica será de uno y otro tipo, presentando un


comportamiento distinto en cada caso frente a los distintos componentes electrónicos. En
este tema sólo se va a tratar el caso de que la corriente que fluye por un circuito sea
corriente continua, si bien otro tema de mucho interés es, como se ha comentado el
estudio del comportamiento de los circuitos electrónicos frente a la corriente alterna.

1.3 1.
FUNDAMENTOS DE ELECTRÓNICA

1.2 POTENCIAL ELÉCTRICO Y LEY DE OHM

Otra magnitud relacionada también con el campo eléctrico es la de “potencial eléctrico”,


o “tensión” pues la aplicación de un campo eléctrico en las proximidades de un
conductor genera entre los extremos del mismo una diferencia de potencial o tensión. La
“diferencia de potencial” se define siempre entre dos puntos A y B como la tensión en el
punto de potencial VA menos la del de potencial VB (ecuación 1.2)

V AB V A  VB <1.2>

A partir de la definición de campo eléctrico, que se ejemplifica en la figura 1.7, se puede


obtener la tensión que genera el campo eléctrico entre los extremos del conductor, dada
por la expresión de la misma figura 1.7.

VAB

I (positiva) E
VA VB

-
-
-
& V AB
E
L
L (longitud del conductor)

Figura 1.7. Aplicación de la definición de la ley de Ohm a un conductor.

Teniendo en cuenta el sentido del campo indicado en la figura 1.7, VA será el punto de
mayor potencial o tensión y VB el de menor potencial o tensión, con lo que la diferencia
de potencial (d.d.p.) VAB será positiva. Esta magnitud se simboliza siempre mediante un
arco con la punta de la flecha orientada al punto de mayor potencial, tal y como se
muestra en la figura 1.7.

A partir de la relación que presentan ambas magnitudes (corriente y tensión) con el


campo eléctrico se plantea la “Ley de Ohm”, pues si entre los bordes de un conductor
existe una diferencia de potencial VAB, debido al efecto de un campo eléctrico, éste
genera también una corriente de intensidad I. Además si cambia VAB, también varía I,
pero la relación entre ambos se mantiene siempre constante si el conductor es lineal y no
varían las condiciones del medio.

La definición de la ley de Ohm se presenta por tanto tal y como se muestra en la


siguiente ecuación (1.3):
V AB V AB '
R cte <1.3>
I I'

1.4
Conceptos básicos sobre circuitos y componentes electrónicos

De esta forma se ha presentado el concepto de “resistencia eléctrica de un conductor”


(R), que representa la oposición al paso de la corriente que presenta el propio conductor,
entre sus puntos A y B. De su definición se puede extraer que el valor de la resistencia
depende solamente del conductor, por lo que se mantiene constante para cualquier valor
de corriente que circule por el mismo, tal y como se observa en la propia ley de Ohm.

A través de la resistencia eléctrica y mediante la ley de Ohm se va a poder determinar


fácilmente, por tanto la relación que existe entre la corriente eléctrica que pasa por un
conductor y la diferencia de potencial que se crea en sus bornes, sin necesidad de
determinar el valor del campo eléctrico que los genera.

Siguiendo con la definición de resistencia, en la figura 1.8 se presenta el símbolo


eléctrico de la misma, así como la ecuación que define su valor en función de los
parámetros físicos y geométricos de la misma.
VAB

R
L
A B R U˜
S
I

Figura 1.8. Símbolo y definición de la resistencia eléctrica.

Donde S es la sección del conductor entre A y B, U es la resistividad del material de que


está hecho el conductor y L es la longitud del conductor.

A partir de la relación presentada por la ley de ohm se obtiene la unidad de resistencia,


tal y como se muestra en la ecuación 1.4.

Voltio(V )
Re sistencia Ÿ Ohmio(: ) <1.4>
Amperio( A)

Sin embargo, de nuevo las unidades más empleadas son los múltiplos y submúltiplos del
Ohmio: el kiloohmio (K: = 103 :), y el megaohmio (M: = 106 :).

Existen dos situaciones en las que el valor de resistencia óhmica presenta un


comportamiento especial:

Cuando la resistencia entre dos puntos de un medio material es nula, se dice que existe un
“cortocircuito”. En ese caso, tal y como se desprende de la ley de Ohm, la diferencia de

1.5 1.
FUNDAMENTOS DE ELECTRÓNICA

potencial en los extremos del conductor es nula (VAB=0), mientras que la corriente puede
tomar cualquier valor.

Por otro lado, cuando la resistencia entre dos puntos de un medio material es infinita se
dice que existe un “circuito abierto”. También a través de la misma ley, se observa que
en ese caso es la corriente eléctrica la que se hace cero (I=0), independientemente del
valor de diferencia de potencial que aparezca en los extremos del conductor.

Ambas situaciones especiales aparecen con frecuencia en los circuitos eléctricos, y


deberán ser tomadas en cuenta en el análisis de los mismos.

1.3 POTENCIA ELÉCTRICA

Definidos los conceptos de tensión y corriente entre dos puntos de un circuito es posible
obtener a partir de ellos la energía puesta en juego por los componentes eléctricos que lo
forman a través del concepto de “potencia eléctrica”.

La potencia eléctrica se define como el cambio o diferencial de energía potencial de una


carga por unidad de tiempo (ecuación 1.5). Teniendo en cuenta que la energía
electrostática de una carga en un punto es directamente proporcional al potencial o
tensión V en dicho punto (ecuación 1.6):

dW <1.5>
P
dt
W q ˜V <1.6>

El valor del diferencial de energía cuando la carga pasa de un punto de potencial A a otro
de potencial B vendrá dado por la ecuación 1.7 siguiente:

dW V A ˜ dq  VB ˜ dq V AB ˜ dq <1.7>

Con todo ello se desarrolla el valor de la potencia eléctrica de un circuito sometido a una
diferencia de potencial VAB, conociendo la expresión que relaciona la corriente eléctrica
con la carga, tal y como se muestra en la ecuación 1.8:

dW VAB ˜ dq dq
P VAB VAB ˜ I <1.8>
dt dt dt

Esta expresión es válida para cualquier circuito eléctrico, pero si además el circuito se
comporta como una resistencia se cumple también la ley de Ohm, de forma que la

1.6
Conceptos básicos sobre circuitos y componentes electrónicos

expresión de la potencia eléctrica se puede reescribir tal y como muestran las dos
siguientes ecuaciones 1.9 y 1.10:

V V2
P V˜ <1.9>
R R
( I ˜ R) 2 <1.10>
P I2 ˜ R
R

La unidad de potencia es el Watio (W) (W=V.A), siendo en este caso muy usado el
submúltiplo miliwatio (1mW=10-3 W).

Cuando la potencia es consumida por un dispositivo eléctrico este se denomina “pasivo”,


mientras que cuando el elemento proporciona potencia se dice que es “activo”. De este
modo los conductores, debido a la resistencia al paso de la corriente que presentan, son
elementos pasivos, y la potencia que consumen la transforman en calor.

Teniendo en cuenta el teorema de conservación de la energía en cualquier circuito


eléctrico se ha de cumplir que la suma de las potencias absorbidas por los elementos
pasivos ha de ser igual a las potencias entregadas por los activos (ver ecuación 1.11).

¦ Pentregadas ¦ Pabsorbidas <1.11>

Más adelante en este capítulo se presentará la forma de observar si el componente


absorbe o entrega potencia y si, por tanto, es pasivo o activo respectivamente, teniendo
que cumplirse siempre el teorema del balance de potencias presentado.

1.4 GENERADORES DE ENERGÍA. FUERZA ELECTROMOTRIZ

Tal y como se ha comentado en el apartado anterior, en un circuito eléctrico existen


elementos que toman energía y elementos que la absorben, apareciendo así un flujo de
energía eléctrica que ha de tener un valor neto nulo.

Los elementos resistivos que han sido descritos hasta ahora son pasivos, esto es, absorben
energía. Es por tanto necesario que existan elementos que proporcionen esa energía que,
en forma de corriente eléctrica circula por el conductor. Son los llamados “generadores
de energía”.

1.7 1.
FUNDAMENTOS DE ELECTRÓNICA

Visto de otra manera, el generador debe aportar a las cargas que circulan por él la energía
necesaria para pasar del punto A de mayor potencial al punto B en el que el potencial es
menor.

Existen dos modalidades de generación de energía: los generadores de corriente y los


generadores de tensión.

1.4.1 GENERADORES DE TENSIÓN

Un “generador de tensión” es un dispositivo capaz de mantener una diferencia de


potencial constante en sus extremos (E), independientemente de la corriente que circule
por él.

Los símbolos más comunes de los generadores de tensión son los que se muestran en la
figura 1.9.

VAB VAB

+ +

A B A B
E E

Figura 1.9. Símbolos del generador de tensión.

La diferencia de potencial (VAB) que fija el generador de tensión en sus bornes es igual a
la “fuerza electromotriz” E del mismo, que se define como la energía aportada a cada
unidad de carga que pasa a través de él. Evidentemente esta fuerza electromotriz se mide
en voltios.

La relación entre la fuerza electromotriz del generador y la tensión que fija es fácilmente
demostrable sin más que hacer el balance de potencias de un circuito simple como el
mostrado en la figura 1.10.
PR V AB ˜ I
+ E
dW d ( E ˜ q) dq
PE E E˜I
dt dt dt

R
¦P entregadas ¦P absorbidas

PE PR Ÿ E ˜ I V AB ˜ I
A B
E V AB
I

Figura 1.10. Diferencia de potencial fijada por un generador de tensión.

1.8
Conceptos básicos sobre circuitos y componentes electrónicos

Para que exista balance energético en el circuito, esta potencia ha de ser igual a la
absorbida por la resistencia R, con lo que se llega a la demostración buscada.

En un circuito en el que existan varios generadores de tensión, puede suceder que unos se
encuentren entregando potencia y otros absorbiéndola. Para determinar si un generador
de tensión está entregando o absorbiendo potencia dentro de un determinado circuito es
necesario hallar el sentido de la corriente que pasa a través de él (ver figuras 1.11 y 1.12).

+ E + E

I>0 I>0

Figura 1.11. Generador entregando potencia. Figura 1.12. Generador absorbiendo potencia.

Si dicho sentido coincide con el de la corriente que tiende a generar el generador (figura
1.11), se encuentra entregando potencia, y si circula en sentido contrario a como él tiende
a crearla (figura 1.12), se encuentra absorbiendo potencia.

1.4.2 GENERADORES DE CORRIENTE

Además de los generadores de tensión vistos anteriormente, también existen generadores


de corriente, que al contrario que los anteriores, lo que hacen es mantener una corriente
constante a través de ellos independientemente de la diferencia de potencial que exista en
sus bornes. Los símbolos más usados para este tipo de generadores son (figura 1.13):
I I

A B A B

VAB VAB

Figura 1.13. Símbolos del generador de corriente.

Al igual que sucedía con los generadores de tensión, también los generadores de
corriente pueden entregar o absorber potencia, dependiendo de si la diferencia de
potencial en sus extremos tiene el mismo sentido o no al que él tiende a crearla. En las
figuras 1.14 y 1.15 se muestra de forma gráfica lo comentado.

A B A B

VAB>0 VAB>0
Figura 1.14. Generador entregando potencia. Figura 1.15. Generador absorbiendo potencia.

1.9 1.
FUNDAMENTOS DE ELECTRÓNICA

1.5 CONCEPTOS BÁSICOS SOBRE ANÁLISIS DE CIRCUITOS

1.5.1 CONCEPTO DE CIRCUITO ELÉCTRICO

Un “circuito eléctrico” está formado por un conjunto de elementos activos y pasivos


interconectados y entre los cuales se produce una transferencia de energía provocada por
un flujo de corriente eléctrica que los atraviesa.

Realizar el “análisis de un circuito” consiste en obtener el valor de todas las corrientes


que circula por cada uno de los elementos del circuito, y en función del tipo de corriente
que circule por el circuito se habla de análisis en continua o análisis en alterna.

Como libro introductorio en el tema de la electrónica, este libro sólo va a presentar el


comportamiento de los componentes con corriente continua, por lo que sólo se realizarán
análisis de circuitos en continua. Para realizar un análisis con corriente alterna se deberá
ver primero el comportamiento de los elementos en alterna, por lo que conviene manejar
otra literatura.

1.5.2 DEFINICIONES DE INTERÉS

A la hora de realizar el análisis de un circuito eléctrico, y en base a las magnitudes de


corriente y tensión, se van a manejar otros conceptos, que se presentan a continuación:

1.5.2.1 Rama

Una “rama” la forman un conjunto de componentes por los que circula la misma
corriente al no existir bifurcación entre estos. La d.d.p. entre los extremos de una rama
será la suma de la d.d.p. existente en bornes de cada uno de los elementos que lo forman.

En la figura 1.16 se muestra un ejemplo de una rama formada por generadores de tensión,
de corriente y resistencias.

I + E1 R1 + E2 R2

Figura 1.16. Ejemplo de rama de un circuito.

1.10
Conceptos básicos sobre circuitos y componentes electrónicos

1.5.2.2 Nudo

Un “nudo” es un punto de un circuito donde confluyen más de dos corrientes distintas o,


dicho de otro modo, es el punto en que se unen varias ramas.

Un ejemplo de nudo podría ser el que se muestra en la figura 1.17, en el que confluyen
tres corrientes, procedentes de tres ramas distintas.

+ E1 R1 + E2
R2

I1 I2
I

Figura 1.17. Ejemplo de nudo en un circuito.

1.5.2.3 Malla

Una “malla” es un conjunto de ramas que forman un contorno cerrado. En la figura 1.18
se presenta un ejemplo en el que aparece un circuito con tres mallas.

A R2
F B
E1 +
I2 I3
+ +
R1
E2
I1 E3

E C
R3 D
I

Figura 1.18. Ejemplo de circuito con varias mallas.

En este circuito existen tres mallas, pues se pueden dibujar tres circuitos cerrados
distintos, uno para cada hueco y otro para el circuito completo. Es un error generalizado
definir como mallas solamente aquellas que constituyen un hueco en el dibujo del
circuito eléctrico.

Otro error extendido al realizar el análisis de una malla es el de confundir los puntos que
unen dos componentes en un circuito con nudos del mismo. En el ejemplo anterior de la

1.11 1.
FUNDAMENTOS DE ELECTRÓNICA

figura 1.18, tan solo los puntos A y D del circuito son nudos, pues solo en ellos
confluyen más de dos ramas. Además se observa claramente que solamente en estos
puntos se produce una redistribución de corrientes, efecto típico de un nudo.

1.5.3 DIFERENCIA DE POTENCIAL Y POTENCIAL ABSOLUTO

1.5.3.1 Diferencia de potencial (d.d.p.)

La determinación de la d.d.p. entre puntos de un circuito puede ser, frecuentemente, la


situación de partida para efectuar un análisis del mismo.

En los apartados anteriores se ha calculado la d.d.p. en bornes de una resistencia


conociendo la corriente que la atraviesa y a partir de la ley de Ohm o de un generador de
tensión mediante su fuerza electromotriz. En todos los casos hay que tener en cuenta el
sentido de esa diferencia de potencial.

Así en el caso de los elementos resistivos, tal y como se muestra en las figuras 1.19 y
1.20, el signo de la diferencia de potencial dependerá del sentido en que fluya la
corriente.
VAB

R
V AB I˜R
A B
I

Figura 1.19. Obtención de la d.d.p. en bornes de una resistencia. a)

VAB

A B V AB  I ˜ R
I

Figura 1.20. Obtención de la d.d.p. en bornes de una resistencia. b)

Por otro lado, en los generadores de tensión, la d.d.p. en sus bornes es positiva si se
calcula desde la borne (+) hacia la borne (-) y negativa en caso contrario, no dependiendo
como ya es sabido, ni del valor ni del sentido de circulación de la corriente. En las figuras
1.21 y 1.22 se ilustra este concepto.

1.12
Conceptos básicos sobre circuitos y componentes electrónicos

VAB

+ E
V AB E
A B

Figura 1.21. Obtención de la d.d.p. en bornes de un generador de tensión. a)

VAB

E + V AB E
A B
Figura 1.22. Obtención de la d.d.p. en bornes de un generador de tensión. b)

Siguiendo la misma metodología se puede calcular la d.d.p. entre dos puntos de una rama
cualquiera como la suma de la d.d.p. entre cada par de puntos de la misma.

Ejemplo 1.1. Calcule la d.d.p. entre los puntos A y E de la rama


representada en la figura 1.23.

+ E1 R1 E2 + R2

A B C D E
I

Figura 1.23. Ejemplo de cálculo de la d.d.p. de en rama.

En la ecuación 1.12 se muestra el resultado de la d.d.p entre los puntos


iniciales y finales de la rama VAE.

V AE V A  VE V A  V B  V B  VC  VC  V D  V D  V E
<1.12>
V AB  V BC  VCD  V DE E1  R1 ˜ I  E 2  R 2 ˜ I

Como ejemplo más general se puede determinar la d.d.p. entre varios puntos
del circuito presentado en la figura 1.18. En las ecuaciones 1.13 y 1.14 se
muestran varios ejemplos obtenidos del análisis de dicho circuito.

V BE  R 2 ˜ I 3  E1  R1 ˜ I 1 <1.13>

VFD  E1  E3  R1 ˜ I 1  R3 ˜ I 1 <1.14>

Tal y como se observa en la ecuación resultante del análisis, lo más importante es tener
en cuenta el sentido de las corrientes de rama a la hora de recorrerlas, para obtener el
signo adecuado de la d.d.p. Además, tal y como ya se vio en el ejemplo anterior, para

1.13 1.
FUNDAMENTOS DE ELECTRÓNICA

poder analizar la tensión entre dos puntos es necesario conocer previamente, o suponer
conocidas, las corrientes que circulan por la rama que se desea analizar.

En el ejemplo anterior también se puede apreciar que a la hora de obtener una d.d.p. entre
dos puntos es posible seguir el camino que se resulte más sencillo, que suele ser el que
contiene más generadores de tensión, pues, como ya se sabe, la d.d.p. en sus bornes
coincide con el valor de su fuerza electromotriz.

1.5.3.2 Potencial absoluto

Si en un circuito se toma un punto como referencia y se le asigna potencial cero, al


calcular la d.d.p. entre un punto de ese circuito y dicha referencia, se está obteniendo su
“potencial absoluto”. Al punto de referencia se le denomina “masa”, y sin él no tendría
sentido hablar de potencial absoluto. Su símbolo puede ser cualquiera de los que se
muestran en la figura 1.24.

Figura 1.24. Símbolos del punto de referencia de potencial o masa de un circuito

Un ejemplo de la obtención del potencial absoluto de un punto se presenta en la figura


1.25, en la que se presenta también el resultado de obtener el potencial absoluto del punto
A. El análisis del potencial absoluto de un punto se podría ver como la d.d.p. de ese
punto al punto de masa.
+ E1 R1 + E2

A
I1 I2

R2
I3
VA E1  R1 ˜ I1  R2 ˜ I 3

Figura 1.25. Ejemplo de obtención del potencial absoluto del punto A.

1.14
Conceptos básicos sobre circuitos y componentes electrónicos

1.6 ANÁLISIS DE CIRCUITOS EN CONTINUA

1.6.1 LEYES DE KIRCHOFF

En apartados anteriores se establecieron las bases para realizar el análisis de circuitos en


continua. En este punto se va a plantear el análisis de circuitos completos, que incluyen
uno o más circuitos cerrados o mallas.

Existen varios métodos para realizar dicho análisis, todos ellos se basan en las llamadas
“leyes de Kirchoff”.

1.6.1.1 1º ley de Kirchoff o “Ley de nudos”

La primera ley de Kirchoff establece que en todo nudo de un circuito en cada instante de
tiempo la suma de corrientes que entran al nudo es igual a la suma de corrientes que salen
del mismo (ver ecuación 1.15).

¦I salientes ¦I entrantes <1.15>

De esta primera ley se puede obtener la conclusión de que en los nudos se produce una
redistribución de corrientes, siendo siempre en el nudo la distribución neta de corriente
cero.

Ejemplo 1.2. Si se aplica esta primera ley al nudo de la anterior figura 1.17,
supuestas las corrientes que se presentan en la figura, se obtiene lo
presentado en la ecuación 1.16.

I1 I2  I <1.16>

1.6.1.2 2º ley de Kirchoff o “Ley de mallas”

La segunda ley de Kirchoff dice que en todo contorno cerrado (malla), la suma algebraica
de las d.d.p. de cada uno de los elementos al recorrer la malla completa debe ser cero.

Esta ley es fácilmente deducible, puesto que viene a decir que la diferencia de potencia
de un punto consigo mismo es cero (ver ecuación 1.17).

V AA VA  VA 0 <1.17>

1.15 1.
FUNDAMENTOS DE ELECTRÓNICA

Ejemplo 1.3. Aplique la segunda ley de Kirchoff sobre una malla del circuito
de ejemplo de la figura 1.18, supuesto conocido el valor de la corriente que
va por cada una de las ramas de la malla.

V AA 0 E3  R3 ˜ I1  R1 ˜ I1  E1 <1.18>

En la ecuación 1.18 se presenta la solución al ejemplo planteado.

1.6.2 ANÁLISIS DE UN CIRCUITO CERRADO

En apartados anteriores siempre se han supuesto conocidos los sentidos de corriente que
circulan por cada rama para poder determinar la diferencia de potencial entre dos puntos
de un circuito. Generalmente, cualquier análisis de circuitos se realiza siempre de este
modo, pero será necesario, una vez hallado el valor numérico de la corriente, comprobar
que la suposición fue correcta.

En el caso de que el circuito sea un único contorno cerrado (circuito simple), la corriente
que circula por todos los elementos de la malla es la misma, pues como existe ningún
nudo, de la primera ley de Kirchoff se puede desprender que no existe redistribución de
corrientes.

Ejemplo 1.4. En la figura 1.26 se presenta un ejemplo de análisis de un


circuito cerrado:

A R2
F B
E1 +
+
R1
E2
I

E C
R3 D + E3

Figura 1.26. Ejemplo de análisis de un circuito simple.

1. En primer lugar se supone un sentido determinado para la corriente que


circula por la malla.

2. Posteriormente se recorre la malla obteniendo el valor de la d.d.p. en


cada uno de los elementos y aplicando al recorrido la segunda ley de
Kirchoff (ver ecuación 1.17), obteniéndose el resultado que muestra la
ecuación 1.19.

1.16
Conceptos básicos sobre circuitos y componentes electrónicos

V AA 0 V AB  VBC  VCD  VDE  VEF  VFA


0 R2 ˜ I  E 2  E3  R3 ˜ I  R1 ˜ I  E1 <1.19>

3. Finalmente, se despeja el valor de la corriente conocido el valor


numérico del resto de elementos del circuito simple (ecuación 1.20).

E1  E2  E3
I <1.20>
R1  R2  R3

Si el valor de la corriente sale positivo, significa que realmente circula en el


sentido supuesto inicialmente. En caso de que salga negativa, significa que
circula en sentido contrario al indicado en la figura.

Una vez conocido el valor y el sentido de la corriente, será posible obtener la d.d.p. en los
elementos del circuito, tal y como se planteaba en el punto 5.3.1. Además, a partir del
sentido positivo de la corriente se puede conocer también qué generadores se encuentran
absorbiendo o entregando potencia.

Concretamente, en el ejemplo de la figura 1.26 anterior, suponiendo que con el sentido


planteado se obtiene un valor positivo de intensidad, los generadores E1 y E3 estarían
entregando potencia y el generador E2 absorbiendo.

Si además se tiene en cuenta que las resistencias siempre absorben potencia, el teorema
de conservación de la energía permite comprobar que el análisis realizado y el valor
obtenido para la intensidad de corriente es el correcto.

Ejemplo 1.5. La aplicación del teorema de conservación de la energía


permite ratificar el valor de corriente obtenido anteriormente (ver ecuación
1.21).

¦P entregadas ¦P absorbidas

E1 ˜ I  E3 ˜ I R1 ˜ I  R2 ˜ I 2  E2 ˜ I  R3 ˜ I 2
2

E1  E 3 R1 ˜ I  R2 ˜ I  E2  R3 ˜ I <1.21>
E1  E3  E2
I
R1  R2  R3

1.17 1.
FUNDAMENTOS DE ELECTRÓNICA

1.6.3 ANÁLISIS DE UN CIRCUITO COMPLEJO

Un circuito complejo es aquél que no está formado por un único contorno cerrado o
malla. Como estos circuitos poseen al menos dos nudos, existen varias ramas, cuyas
corrientes serán las incógnitas del análisis. Para resolverlo será necesario suponer,
además del sentido, el camino recorrido por las distintas corrientes.

El sistema empleado para suponer las corrientes por las ramas determina el método de
resolución del análisis, siendo los dos más interesantes el “método de Kirchoff” y el
“método de mallas” basado en el anterior.

Ambos métodos van a ser expuestos en este capítulo, si bien es el segundo el más
empleado en la práctica por su mayor sencillez en la resolución.

1.6.3.1 Método de Kirchoff

La aplicación directa de las leyes de Kirchoff conduce a plantear un sistema de


ecuaciones en el que las incógnitas serán precisamente las corrientes de rama. Será por
tanto necesario plantear tantas ecuaciones linealmente independientes como ramas tenga
el circuito.

El procedimiento completo es el que se enumera a continuación:

1. Se seleccionan tantas corrientes de ramas (“r”) como ramas existan en el circuito y se


supone el sentido que se desee para cada una de ellas.

2. Si el número de nudos de la red es “n”, se plantea la primera ley de Kirchoff en “n-


1” nudos, pues la ecuación del nudo restante es combinación lineal de las anteriores.
De este modo se obtienen las llamadas “ecuaciones de nudo”.

3. Haciendo uso de la segunda ley de Kirchoff se plantean, a continuación, las


“ecuaciones de malla”. Como el número de incógnitas es igual al de las ramas “r” y
se tienen planteadas “n-1” ecuaciones de nudo, será necesario plantear “r-(n-1)”
ecuaciones de malla linealmente independientes entre sí. Estas ecuaciones se obtienen
recorriendo una malla y planteando la d.d.p. en bornes de cada elemento de la malla.
Para asegurar que son independientes es condición suficiente que cada malla elegida
contenga al menos una rama que no haya sido incluida en las demás.

1.18
Conceptos básicos sobre circuitos y componentes electrónicos

Generalmente el valor “r-(n-1)” coincide con el número de huecos que tiene el


circuito, por lo que es fácilmente deducible el número de ecuaciones de malla que se
tiene que plantear. Además, no se suele elegir ninguna malla que tenga un generador
de corriente, pues, como ya se ha comentado, no se conoce la tensión que existe en
bornes del mismo directamente.

4. Con todo esto se obtiene un sistema de ecuaciones de “r” incógnitas, que al resolver
proporciona directamente el valor de las corrientes por cada rama. Como fue
comentado anteriormente, un valor negativo de la corriente significará que realmente
fluye en sentido contrario al planteado, y habrá que tener en cuenta el signo al
trabajar con esa corriente.

Por otro lado, si en el circuito hay generadores de corriente, cada generador proporciona
la solución a una de las ecuaciones, pues, como ya se sabe, la corriente que fluye por la
rama en la que se encuentra un generador es igual a la corriente generada por el mismo,
con lo que deja de ser una incógnita del análisis.

Ejemplo 1.6. Resuelva el análisis del circuito de la figura 1.27.

R1 B R2

I5
I2
R3 I1 R6 +

E2 E1
C
A
+
I4

R4
I3 R5

I
Figura 1.27. Circuito de ejemplo resuelto por el método de Kirchoff.

1. Se establecen tantas corrientes como ramas tenga el circuito, en este


caso 5, con el sentido que en principio se desee. Si hay generadores de
corriente el sentido de la intensidad de la rama en la que se encuentre
suele hacerse coincidente con el sentido de la corriente generada, pues
coinciden. Es el caso de la corriente I3 en el circuito de ejemplo.

1.19 1.
FUNDAMENTOS DE ELECTRÓNICA

2. Como en el circuito existe un generador de corriente el número de


ecuaciones que quedan por resolver es 4 (“r=4”), siendo la quinta
ecuación el valor de la corriente fijado por el generador (I), que da la
solución de I3 (ecuación 1.22)
I I <1.22>
3

3. En el ejemplo, el número de nudos es 3 (“n=3”) y por tanto se deben


plantear dos ecuaciones (“n-1”) de nudo. Eligiendo los nudos A y B,
obtenemos las ecuaciones de nudo que se presentan como ecuación 1.23:

Nudo A: 0=I1+I 2+I 4+I 3 <1.23>


Nudo B: I1+I 2 I5

4. Queda por plantear “r-(n-1)” ecuaciones, para completar el sistema. En


el ejemplo “r-(n-1)=2”. Si se eligen las mallas coincidiendo con el
contorno de los huecos sin generador de corriente que presenta el
circuito obtenemos las expresiones presentadas por la ecuación 1.24 que
completa el sistema de ecuaciones.

V AA 0  E2  R3 ˜ I1  R1 ˜ I1  R6 ˜ I 2 <1.24>
VBB 0 R2 ˜ I 5  E1  R6 ˜ I 2

5. Estas dos últimas ecuaciones de malla (ecuación 1.24), junto con las dos
de nudo (ecuación 1.23) y la obtenida del generador de corriente
(ecuación 1.22), permiten obtener las cinco ecuaciones linealmente
independientes de donde se obtienen las corrientes de rama (sistemas de
ecuaciones 1.25).

0  E 2  R3 ˜ I1  R1 ˜ I1  R6 ˜ I 2
0 R2 ˜ I 5  E1  R6 ˜ I 2
<1.25>
0=I1+I 2+I 4+I 3
I1+I 2 I 5
I3 I

1.6.3.2 Método de mallas

El método de mallas se basa en plantear como incógnitas corrientes de malla en vez de


corrientes de rama, en base a la segunda ley de Kirchoff o ley de mallas. Este método
permite resolver un circuito mediante un sistema de ecuaciones de orden menor que el
anterior, y por lo tanto de forma más sencilla.

1.20
Conceptos básicos sobre circuitos y componentes electrónicos

Se denomina “corriente de malla” a la que circularía por un contorno cerrado, supuesto


éste aislado del resto del circuito. Para cada contorno cerrado, se puede definir su
corriente de malla, que no tiene porqué coincidir con las corrientes de las ramas que lo
forman.

El sistema de ecuaciones planteado de este modo permite hallar las corrientes de malla,
de las que se pueden obtener las de rama, objetivo final del análisis. Será necesario tener
especial cuidado en que estas corrientes sean linealmente independientes, tal y como
pasaba en el caso anterior, para que el sistema de ecuaciones resultante sea resoluble.

El proceso a seguir en este caso es el siguiente:

1. En primer lugar se determina el número de ecuaciones de malla a plantear,


eligiéndose las mallas sobre las que se va a hacer el análisis y fijándose el sentido de
las corrientes de malla.

El número de ecuaciones a plantear es el mismo que el número de ecuaciones de


malla a plantear por el método de Kirchoff. De este modo las ecuaciones de nudo
desaparecen del sistema de ecuaciones facilitando la resolución. Como se comentó
anteriormente, el número de ecuaciones de malla necesarias suele coincidir con el
número de huecos del circuito.

2. Para cada una de las mallas elegidas se plantea la segunda ley de Kirchoff,
obteniéndose de este modo el sistema de ecuaciones que al resolver proporciona el
valor de las corrientes de malla.

3. A partir de las corrientes de malla se obtienen finalmente las corrientes de rama,


teniendo en cuenta la primera ley de Kirchoff.

En el caso de que existan generadores de corriente, es recomendable hacer coincidir una


y sólo una corriente de malla con dicho generador de corriente, lo que permite ahorrar
una ecuación, pues la corriente de dicha malla coincide así con el valor de la corriente del
generador. Por otro lado, no es conveniente hacer coincidir una misma corriente de malla
con dos generadores de corriente distintos, pues la corriente de malla no se definiría
directamente.

Ejemplo 1.7. Analice ahora el circuito de la figura 1.27 por el método de


mallas.

1. En este caso se plantean como incógnitas las corrientes de malla. Como


el número de ramas es “r=5” y el número de nudos “n=3” se deben
plantear “r-n+1=3” ecuaciones de malla, para lo cual se plantean tres

1.21 1.
FUNDAMENTOS DE ELECTRÓNICA

corrientes de malla. Teniendo en cuenta que existe un generador de


corriente, y con el fin de simplificar el análisis las corrientes de malla (Ia,
Ib, Ic) planteadas son las que se presentan en la figura 1.28 siguiente.

R1 B R2

R6
Ia
R3 Ib +

E2 E1

A
+

R4
Ic R5

I
Figura 1.28. Estableciendo las corrientes de malla para el ejemplo 1.7.

2. En base a la segunda ley de Kirchoff se recorren las mallas, para obtener


la ecuación de malla correspondiente. En este caso el sistema es mucho
más sencillo que en la resolución del circuito por el método de Kirchoff,
pues solo posee dos incógnitas, teniendo en cuenta el generador de
corriente. El sistema obtenido se muestra en la ecuación 1.26

Ic I
V AA 0  E2  R3 ˜ I a  R1 ˜ I a  R6 I a  I b <1.26>
VBB 0 R2 ˜ I b  E1  R6 I b  I a

3. Finalmente, resolviendo el sistema de ecuaciones se obtienen las


corrientes de malla, obteniéndose posteriormente el valor de las
corrientes de rama (ver figura 1.27) a partir de estas últimas mediante la
primera ley de Kirchoff (expresión 1.27).

I1 Ia
I2 Ib  I a
I3 Ic <1.27>
I4 I c  Ib
I5 Ib

1.22
Conceptos básicos sobre circuitos y componentes electrónicos

1.7 RESISTENCIA EQUIVALENTE

La resistencia que presentan un conjunto de conductores unidos entre dos puntos A y B


puede calcularse como la asociación del valor resistivo de cada uno de ellos. Es lo que se
conoce como “asociación de resistencias”, y el valor resistivo resultante es la
“resistencia equivalente” “Req”.

Esta resistencia ha de cumplir la característica de que la corriente que circula por ella ha
de ser la misma que la que circulaba por la red de resistencias asociadas, tal y como se
observa en la figura 1.29.
Req
Req
A B A B
Red de
resistencias
I I

Figura 1.29. Concepto de resistencia equivalente

Con esta premisa se puede obtener fácilmente, mediante la ley de Ohm, el valor de la
resistencia equivalente, que será el indicado por la ecuación 1.28.

V AB
Req <1.28>
I

Existen ciertas asociaciones de resistencias particulares, que por su frecuencia de


aparición en los circuitos eléctricos, merecen un estudio detallado.

1.7.1 ASOCIACIÓN SERIE DE RESISTENCIAS

Este tipo de asociación se caracteriza en que por todas las resistencias asociadas circula
la misma corriente, es decir, se encuentran en la misma rama. En la figura 1.30 se
representa un conjunto de resistencias asociadas en serie.

R1 R2 Rn
B C Y

A Z
I
Figura 1.30. Asociación serie de resistencias.

1.23 1.
FUNDAMENTOS DE ELECTRÓNICA

Si se obtiene al d.d.p. entre los puntos inicial y final de la rama, y teniendo en cuenta que
la corriente que circula por todas ellas es la misma, resulta lo presentado en el desarrollo
1.29.
V AZ V AB  VBC ...VYZ
V AZ I ˜ R1  I ˜ R2 ... I ˜ Rn
<1.29>
V AZ I ˜ R1  R2 ... Rn
V AZ
Req R1  R2 ... Rn
I

Visto lo cual se concluye que la resistencia equivalente de una asociación serie de


resistencias es la suma de cada una de las resistencias que la componen, y por tanto
mayor que cualquiera de ellas.

1.7.2 ASOCIACIÓN PARALELO DE RESISTENCIAS

En la figura 1.31 se representa un conjunto de resistencias asociadas en paralelo. Como


se puede apreciar esta asociación se caracteriza porque la d.d.p. en sus bornes es la
misma para todas las resistencias.

A I

VAB
I1 I2 I3 In

R1 R2 R3 Rn

Figura 1.31. Asociación en paralelo de resistencias.

En este caso para obtener el valor de la resistencia equivalente Req se plantea la primera
ley de Kirchoff el nudo A, tal y como muestra el desarrollo 1.30.

1.24
Conceptos básicos sobre circuitos y componentes electrónicos

I I1  I 2 ... I n
V AB V AB V
I  ... AB
R1 R2 Rn
§ 1 1 1·
I V AB ¨  ... ¸ <1.30>
© R1 R2 Rn ¹
1 I § 1 1 1· 1
¨  ... ¸ Ÿ Req
Req V AB © 1
R R Rn¹ § 1 1 1·
¨  ... ¸
2

© R1 R2 Rn ¹

Sabiendo que la inversa de la resistencia se denomina “conductancia” (1/R=G) se puede


concluir que en una asociación en paralelo la conductancia equivalente es la suma de
conductancias que componen la asociación. Nótese que la Req de varias conectadas en
paralelo es menor que cualquiera de ellas.

En el caso especial en el que existan sólo dos resistencias en paralelo (figura 1.32), las
ecuaciones anteriores se reducen a la expresión dada por la ecuación que se muestra en la
figura, que será empleada en numerosos casos de análisis de circuitos.

1 R1 ˜ R2
Req
1 1 R1  R2
R1 R2 
R1 R2

Figura 1.32. Asociación paralelo de dos resistencias.

1.7.3 ASOCIACIÓN MIXTA DE RESISTENCIAS

Aplicando los resultados obtenidos en los dos tipos de asociaciones estudiados


anteriormente, se puede obtener el valor de cualquier red de resistencias simplificando la
red mediante asociaciones sucesivas en serie y en paralelo.

En la figura 1.33 se muestra un ejemplo de cómo obtener mediante sucesivas


asociaciones la resistencia equivalente de una red resistiva cualquiera.

Ejemplo 1.8. Obtención de la resistencia equivalente de una asociación


mixta.

1.25 1.
FUNDAMENTOS DE ELECTRÓNICA

B B
R4 R4
R1 Req1 R3 Req1 R1  R2

R3
R5 A
R2

R5 A

B
B
R5
Req1 ˜ R3
Req2 Req 2
Req3 =Req Req1  R3

A
R4 A
Req 3 Req Req 2  R4  R5

Figura 1.33. Ejemplo de obtención de la resistencia equivalente de una asociación mixta.

1.8 OTRAS MAGNITUDES ELÉCTRICAS

Además de la resistencia, que, como ya se sabe, caracteriza al impedimento que presenta


un conductor al paso de la corriente, existen otros comportamientos de los circuitos
eléctricos que se pueden modelar fácilmente. En este apartado se presentan dos de las
más importantes: la capacidad y la inducción.

1.8.1 LA CAPACIDAD

Es la magnitud que representa a aquellos elementos que permiten almacenar energía en


forma de campo eléctrico. En la figura 1.34 se muestra el símbolo de una capacidad, así
como la expresión que define el comportamiento de este elemento en un circuito.
VAB

Q( t )
V AB (t )
A B C
I C

Figura 1.34. Símbolo y definición de la capacidad.

1.26
Conceptos básicos sobre circuitos y componentes electrónicos

Como se observa, el condensador se comporta como un elemento almacenador de carga


eléctrica, tal y como ya se había comentado. Si se modifica la ecuación de la figura 1.34
para obtener la relación entre corriente y tensión se obtiene la expresión de la ecuación
1.31.

Q( t )
³ I (t )dt <1.31>

1
V AB (t ) I (t )dt

dV AB
I (t ) C
dt

Teniendo en cuenta la ecuación 1.31 anterior, el comportamiento de un elemento


capacitivo dependerá del tipo de señal de corriente que circule por él:

a) Circuitos de corriente continua. Como la corriente y la tensión deben ser constantes,


de la ecuación 1.31 se desprende que la única solución es que la corriente por una
capacidad sea nula. Es decir, un elemento capacitivo se comporta como un circuito
abierto en circuitos de corriente continua.
b) Circuitos de corriente variable. En ese caso la relación entre la corriente y la tensión
sigue la expresión presentada en la ecuación 1.31, y, por tanto, dependerá de la forma
de onda de la corriente. En el caso de corrientes sinusoidales el comportamiento muy
característico, pues se consigue que tanto la tensión como la corriente en el
condensador sean alternas, pero se encuentran desfasadas 90 grados. Y en cualquier
caso siempre hay que tener en cuenta que la señal de tensión en un elemento
capacitivo no puede cambiar bruscamente, pues es el resultado de integrar la corriente
que entra en él.

Un comportamiento de un elemento capacitivo es el que aparece al inicio de la excitación


del mismo con una tensión continua. Este efecto se ilustra en el ejemplo de la figura 1.35.

Ejemplo 1.9. Análisis transitorio de una red R-C.

R Ic

E C
Vc

Figura 1.35. Ejemplo del comportamiento de una capacidad en régimen transitorio.

1.27 1.
FUNDAMENTOS DE ELECTRÓNICA

Al arrancar el circuito de la figura se está aplicando una tensión que pasa de valor 0 a
valor E en un instante de tiempo, teniendo E una forma como la que se muestra en la
figura 1.36. El arranque del circuito es lo que se conoce como “régimen transitorio de un
circuito”, frente al comportamiento del mismo cuando la tensión y corriente en todos los
elementos se estabiliza, que se conoce como “régimen permanente de un circuito”.
E(t)

t=0 t

Vc(t)

t=0 t

Ic(t)

t=0 t

Figura 1.36. Evolución de las señales en régimen transitorio de un circuito capacitivo.

Teniendo siempre en cuenta que la tensión en el elemento capacitivo no puede cambiar


bruscamente con el tiempo, la forma de la tensión será la de una exponencial que parte
de valor 0 y alcanza finalmente el valor E, tal y como se presenta en la misma figura
1.36.

En cuanto a la corriente, el comportamiento es justo a la inversa; en régimen transitorio


sufre un pico, pues el elemento capacitivo está almacenando energía, y una vez que el
circuito se encuentra en régimen permanente (se ha estabilizado la carga en la
capacidad), la corriente a través del mismo se anula (puesto que es la derivada de la
carga respecto al tiempo). La tensión se estabiliza al valor de la fuerza electromotriz del
generador, pues al no existir corriente la caída de tensión en la resistencia es nula.

1.8.2 LA INDUCCIÓN

La inducción es otra magnitud típica de un circuito eléctrico. Permite caracterizar a los


elementos que almacenan energía en forma de campo magnético.

1.28
Conceptos básicos sobre circuitos y componentes electrónicos

La inducción tiene un comportamiento justamente opuesto al de la capacidad, pues es la


corriente eléctrica que la atraviesa la que no puede cambiar bruscamente, pues es la
integral de la tensión que existe en sus bornes. En la figura 1.37 se presenta su símbolo
eléctrico junto con las ecuaciones que caracterizan su comportamiento.

VAB
dI
V AB L
L dt

³
1
I V AB dt
L
A B
I

Figura 1.37. Símbolo y comportamiento eléctrico de una inducción.

Debido a las expresiones mostradas en la figura anterior, y del mismo modo que ocurría
con la capacidad, el comportamiento de una inducción en un circuito dependerá del tipo
de corriente que circule por él, distinguiéndose también aquí dos casos:

a) Circuitos de corriente continua. Puesto que en un circuito de corriente continua la


intensidad no varía con el tiempo, y teniendo en cuenta las expresiones presentadas
en la figura 1.37, la tensión en bornes de un elemento inductivo es nula,
independientemente del valor de la corriente que circule por la misma. Es por ello
que el comportamiento de una inducción en circuitos de continua en régimen
permanente es el de un cortocircuito.

b) Circuitos de corriente variable. En este caso la inducción también presenta un


comportamiento inverso a la capacidad, y será la tensión la que cambie bruscamente
y la corriente la que presente un comportamiento integrador. En circuitos de corriente
alterna también corriente y tensión serán sinusoidales en la inducción y desfasadas 90
grados.

Se podría realizar un análisis del comportamiento en régimen transitorio para la


inducción con un circuito semejante al presentado en la figura 1.35 anterior, obteniendo
formas de onda similares pero invirtiendo el orden de las magnitudes. Se plantea como
ejercicio el análisis del circuito comentado.

1.29 1.
FUNDAMENTOS DE ELECTRÓNICA

1.8.3 ANÁLISIS DE CIRCUITOS EN CONTINUA CON ELEMENTOS


CAPACITIVOS E INDUCTIVOS

Del mismo modo que se realizó el análisis en continua de circuitos únicamente con
elementos resistivos y generadores de energía eléctrica, con múltiples mallas en el
apartado 6, se pueden analizar circuitos en continua con elementos capacitivos e
inductivos.

Para ello, antes de pasar a realizar el análisis, bien sea por el método de mallas o por el
método de Kirchoff, es necesario sustituir en el circuito planteado las inducciones y las
capacidades por su equivalente en el régimen permanente de circuitos en continua. Esto
es:

a) Las inducciones se sustituirán por cortocircuitos. Las ramas que se encuentren en


paralelo con éstas serán eliminadas, pues no circulará corriente por ellas y dejan de
ser incógnitas en el análisis.

b) Las capacidades se sustituirán por circuitos abiertos. Las ramas en las que se
encontrase una capacidad se eliminan también, pues por ellas no circula corriente,
con lo que dejan de ser incógnita en el análisis.

Una vez realizadas las sustituciones, y las consecuentes eliminaciones de ramas, el


circuito, ya sin capacidades ni inducciones se analiza del mismo modo que se planteaba
en el punto 6 de este capítulo.

Ejemplo 1.10. Transformación de un circuito con condensadores y bobinas


para el análisis en régimen permanente.

En la figura 1.38 se muestra la transformación de un circuito tras eliminar


los elementos capacitivos e inductivos del mismo.

C1 R5

E2
L1 E2

E1
E1 C2
I1
I1
R3

Figura 1.38. Ejemplo de simplificación de un circuito con elementos inductivos y capacitivos, para el
análisis en continua

1.30
Conceptos básicos sobre circuitos y componentes electrónicos

1.9 INTRODUCCIÓN A LOS COMPONENTES ELECTRÓNICOS

1.9.1 CONCEPTO DE COMPONENTE ELECTRÓNICO

Un componente electrónico es cada una de las partes físicas de un circuito electrónico.


Las características específicas de cada componente electrónico determinan en definitiva
el comportamiento de los electrones en su interior, y por tanto la relación entre la
corriente que circula a su través, y la tensión que se fija en sus bornes.

De este modo, si bien un componente puede ser tratado como una entidad física según se
ha dicho anteriormente, para su estudio eléctrico es conveniente abstraerse de su forma
física y tratarlo a partir de una serie de magnitudes que lo caracterizan. Según esto, se
define la diferencia entre componente y elemento.

a) El componente es la parte física, y está determinado por la forma y constitución del


mismo.

b) El modelo determina su comportamiento eléctrico, las características que establecen


las relaciones que existen entre corriente y tensión en el mismo, y que forman la base
teórica para su estudio a nivel eléctrico o electrónico.

Evidentemente, los dos conceptos están indisolublemente unidos, pues las características
físicas de un componente son las que van a determinar su modelo de magnitudes.

Un buen ejemplo que muestra la diferencia presentada lo forman el par resistor-


resistencia: un resistor es un componente cuyo elemento o magnitud que lo caracteriza es
la resistencia.

Es importante comentar que un componente puede estar caracterizado por responder a


varias magnitudes distintas, y no a una única. De este modo un caso muy común en los
componentes electrónicos es que presenten un valor resistivo, capacitivo o inductivo al
margen de otro que sea su característica principal, por lo que el modelo será un
compendio de comportamientos, que muchas veces depende de la señal con la que esté
trabajando.

1.9.2 CLASIFICACIÓN DE COMPONENTES ELECTRÓNICOS

Actualmente, con la evolución tecnológica de la electrónica el número de componentes


electrónicos es creciente día a día, diseñándose nuevas versiones que incorporan mejoras

1.31 1.
FUNDAMENTOS DE ELECTRÓNICA

en los que ya existen, e ideando nuevos componentes específicos para aplicaciones


concretas a partir o no de otros anteriores.

Dentro de este amasijo de componentes se han establecido varias clasificaciones que


permiten tipificar un componentes en función de varias características.

1. La primera clasificación realizada a grandes rasgos se podría hacer teniendo en


cuenta la constitución interna del componente. Así se distinguen dos clases de
componentes:

a) Componentes discretos. Constituidos por una unidad, a lo sumo varias


independientes, introducidas dentro de una cápsula.

b) Componentes integrados. Están formados por varias (incluso miles y cientos de


miles) unidades, iguales o distintas, interconectadas con un fin funcional para
formar un circuito, presentado en una cápsula como un todo.

2. Una segunda clasificación se podría realizar teniendo en cuenta el tipo de señales con
las que trabajan. De este modo se distinguen entre:

a) Componentes analógicos. Aquellos que manejan señales continuas en el tiempo y


que pueden tomar un número infinito de valores dentro de un rango. Estas señales
son lo que se denominan “señales analógicas”, y en ellas la información está
contenida en la forma de dicha señal.

b) Componentes digitales. Son los que emplean señales que pueden tomar
únicamente un número finito de valores a lo largo del tiempo. Este tipo de señales
se denominan “señales digitales”, y en ellas la información está contenida en la
distribución en el tiempo de los distintos niveles permitidos.

3. En la misma línea se podría realizar otra clasificación de componentes en función de


su acción sobre las señales que tratan, obteniéndose también dos tipos:

a) Componentes pasivos. Aquéllos que no ejercen ganancia ni control sobre las


señales de corriente o tensión que se les aplica, sino que solo pueden introducir
pérdidas o atenuaciones. Sirven para interconectar otros componentes o
configurar la corriente o tensión que va a pasar por estos otros, proceso que se
denomina “polarización”.

b) Componentes activos. Son los que pueden introducir algún tipo de ganancia o
control sobre las señales.

1.32
Conceptos básicos sobre circuitos y componentes electrónicos

4. Finalmente, se puede realizar una última clasificación atendiendo a la relación


calidad/precio de los mismos, encontrando en este caso tres tipos de componentes:

a) Componentes “de gran público”. Son aquéllos en los que interesa obtener un
bajo precio por unidad, por lo cual se establecen unos criterios de calidad no
excesivamente restrictivos.

b) Componentes profesionales. Entre los que se encuentran aquéllos en los que se


busca un equilibrio entre calidad y precio.

c) Componentes de precisión. Son componentes especiales para ciertas


aplicaciones, por lo que en ellos lo que interesa es obtener una gran calidad sin
importar excesivamente el precio.

Realizada la descripción de las distintas características que permiten clasificar los


componentes, cabría destacar en este punto, que los componentes que son foco de interés
en este capítulo son discretos y analógicos, mientras que en capítulos posteriores se verán
distintos componentes integrados y digitales.

Por otro lado merece también la pena mencionar que, dentro de los elementos que se han
presentado hasta el momento en el capítulo, serán activos aquéllos que se comporten
como generadores (bien de tensión, bien de corriente) pues pueden aportar energía,
mientras que serán pasivos los componentes con carácter únicamente capacitivo, resistivo
o inductivo, pues no son capaces de generar energía ni modificar las señales de tensión o
corriente.

1.9.3 CARACTERÍSTICAS TÉCNICAS DE UN COMPONENTE


ELECTRÓNICO

Todo componente presenta, tal y como ya se ha comentado, un comportamiento que


determinan el modelo en magnitudes del mismo al ser excitado en un circuito electrónico
o eléctrico. Estas magnitudes presentan unas características reales, diferentes para cada
unidad, que son presentadas estadísticamente por los fabricantes en las llamadas “hojas
de características” o “Data Handbooks”.

Aunque las magnitudes, y por tanto las características técnicas de las mismas, variarán
dependiendo del componente concreto de que se trate, todas presentan algunas
características técnicas comunes, que son las que se detallan a continuación:

1.33 1.
FUNDAMENTOS DE ELECTRÓNICA

1.9.3.1 Valores nominal, máximo, mínimo y efectivo. Tolerancia

Suponiendo que un fabricante pretende construir un componente electrónico cualquiera y


este presenta una magnitud X, difícilmente todas las unidades tendrán idénticas
magnitudes X. El fabricante informa de este hecho mediante los siguientes parámetros:

1. Valor nominal “Xn”: Es el valor esperado de la magnitud del componente.

2. Valor máximo “Xmáx”: Es el valor máximo que puede tomar la magnitud del
componente en las unidades fabricadas sobre las que se ha hecho un estudio. Es
por tanto un valor estadístico.

3. Valor mínimo “Xmin“: Es el valor mínimo que puede tomar la magnitud del
componente en las unidades fabricadas sobre las que se ha hecho un estudio.

4. Valor efectivo “Xe”: Es el valor real que puede medirse de la magnitud del
componente.

A partir de las características presentadas se pueden definir otras, que también


proporciona el fabricante para caracterizar las cualidades de sus componentes, y que
aparecen definidas gráficamente en la figura 1.39:

di ds

Xmin Xn Xmáx

Figura 1.39. Características técnicas de un componente.

1. Desviación superior “ds”: Informa de cuanto mayor puede ser el valor de la


magnitud del valor esperado. Se define matemáticamente tal y como se muestra en la
ecuación 1.32:

ds X máx  X n
<1.32>

2. Desviación inferior “di”: Indica cuánto menor puede ser el valor de la magnitud real
de lo esperado, y se define matemáticamente tal y como se muestra en la ecuación
1.33:

di X min  X n <1.33>

1.34
Conceptos básicos sobre circuitos y componentes electrónicos

3. Tolerancia “T”: Permite identificar cual es el rango en el que se va a encontrar el


valor de la magnitud bajo estudio. Tal y como se muestra en la ecuación 1.34, la
tolerancia puede ser representada de forma absoluta o relativa al valor nominal en
tanto por cierto:

T X máx  X min
<1.34>
X máx  X min
T ˜ 100
X n

1.9.3.2 Estabilidad o deriva

La deriva (“D”) es una característica que permite estimar la variación de la magnitud X


con el tiempo. Esta variación puede ser provocada por muy diversas causas, pero su
efecto suele ser irreversible.

Su valor matemático se calcula mediante la siguiente expresión (1.35), que como se


observa es relativa al valor nominal de la magnitud.
'X
D ˜ 100 <1.35>
X n

1.9.3.3 Coeficiente de temperatura

De la misma forma que lo hace con el tiempo, la magnitud X puede variar con la
temperatura. Para caracterizar estos cambios, se usa el coeficiente de temperatura CTX,
que matemáticamente se define tal y como muestra la expresión 1.36:

1 dX $ 1
CTX ˜ C <1.36>
X dT

Generalmente, la expresión anterior suele linealizarse por tramos y expresarse en partes


por millón por grado centígrado (1.37):

1 X 2  X1
CTX ˜ ˜ 10 6 ppm /º C <1.37>
X 1 T 2  T1

1.35 1.
FUNDAMENTOS DE ELECTRÓNICA

1.9.4 INTERCONEXIÓN Y POLARIZACIÓN DE LOS COMPONENTES


ELECTRÓNICOS.

Los componentes electrónicos son unidos unos con otros para formar circuitos
electrónicos. Estos circuitos deben ser excitados mediante generadores de tensión o
corriente (que están formados, a su vez, por componentes). El objeto de estos
generadores será crear las corrientes adecuadas para cada componente del circuito de
forma que el conjunto cumpla una función específica.

Así, hallar el “punto de polarización o de trabajo” de un componente dentro de un


circuito es calcular la intensidad que lo atraviesa y la diferencia de potencial entre sus
extremos, en condiciones de régimen permanente.

En la figura 1.40 se muestra un ejemplo de lo comentado. En ella aparece un circuito de


polarización, que es el que fija la tensión y corriente en el componente X, haciéndole
trabajar en un punto u otro de trabajo (Vx, Ix).

Ix

Circuito
X
de
Vx
Polarización

Figura 1.40. Diagrama explicativo del proceso de polarización de un componente electrónico.

Por tanto, en el cálculo del punto de polarización aparecen dos incógnitas (tensión y
corriente), por lo que para resolverlo se necesita un sistema con dos ecuaciones que las
relacione. Estas ecuaciones provienen de:

1. El circuito al que está conectado el componente. De este circuito se obtiene una de


las dos ecuaciones, llamada “característica de carga”, y que resulta ser la ecuación
de la malla en la que se encuentra el circuito.

2. El comportamiento propio del componente, pues el tipo de componente define la


relación que existe entre la corriente que lo atraviesa y la tensión que cae en sus
extremos. Es lo que se conoce como “característica corriente tensión (I-V)” del
componente, y puede presentarse de forma gráfica o mediante una ecuación.

La resolución del sistema formado por las dos ecuaciones anteriores permite determinar
Vx e Ix, que forman el llamado punto de polarización o punto de trabajo del componente

1.36
Conceptos básicos sobre circuitos y componentes electrónicos

dentro del circuito. El sistema puede resolverse de forma gráfica o analítica dependiendo
de cómo se tenga la información.

1.10 ESTUDIO DE LOS COMPONENTES ELECTRÓNICOS


PASIVOS

Tal y como se comentó en el punto anterior del presente tema, existen sendos
componentes que presentan como modelo a cada uno de los elementos eléctricos que se
han presentado hasta el momento, a excepción de los generadores, esto es el resistor para
la resistencia, la bobina para la inducción y el condensador para la capacidad.

Todos ellos son componentes pasivos, pues no generan corriente ni tensión, y sus
principales cualidades se presentan a continuación:

1.10.1 RESISTORES

Un resistor es el elemento físico cuya magnitud eléctrica es la resistencia. Su símbolo


eléctrico y su definición en función de sus parámetros físicos ya fueron presentados en el
apartado 2 de este tema, mientras que en la figura 1.41 se presenta gráfica y
matemáticamente su característica I-V.

IR
VR

R 1/R VR
IR
R
IR
VR
Figura 1.41. Característica I-V de un resistor.

Tal y como se observa, y como ya era sabido por la ley de Ohm, la relación entre
corriente y tensión en un resistor es constante, y de valor igual a la inversa de la
resistencia.

El valor resistivo de un resistor no es siempre constante, pues en algunos casos este se


puede variar. En función de esta característica se definen dos tipos de resistores:

a) Resistores fijos. Su valor resistivo viene impuesto de fábrica. Su símbolo es el


símbolo genérico de resistencia mostrado anteriormente.

1.37 1.
FUNDAMENTOS DE ELECTRÓNICA

b) Resistores variables. Tienen tres terminales. Mediante el desplazamiento mecánico


de uno de sus terminales (llamado cursor) puede modificarse su valor resistivo, ya sea
de forma lineal o no lineal. La resistencia entre los otros dos terminales (llamados
terminales fijos) no cambia, y se conoce como valor nominal de la resistencia. Estos
resistores se suelen conocer con el nombre de “potenciómetros”, y su símbolo
eléctrico es el que se muestra en la figura 1.42:

Terminales fijos

V
R
Cursor o Terminal
I variable

Figura 1.42. Símbolo de un potenciómetro o resistor variable.

Como ya se comentó al definir los componentes pasivos, la función más importante de


los resistores es la de polarizar otros componentes, normalmente activos, pues
generalmente un mismo componente activo tiene diferentes zonas de trabajo claramente
diferenciales y el modo de obligarle a que trabaje en una de estas zonas es asociándole el
circuito de polarización correcto.

A parte de esa utilidad, los resistores se emplean también con otras funciones, como las
que se muestran a continuación:

a) Los resistores fijos como divisores de tensión, para obtener una tensión distinta y
menor a la que proporciona un generador de tensión, o como limitadores de corriente
si se fija una tensión máxima en el componente.

b) En cuanto a los resistores variables, su función principal a parte de la de


polarización es la de control y ajuste de equipos electrónicos. Esta utilidad se aplica
tanto a la calibración de sistemas electrónicos, como al control de dispositivos
encargados de modificar el sonido y la imagen en un equipo electrónico. Otra utilidad
muy extendida es la de emplearlos como paso previo en un diseño definitivo, para
probar diversas soluciones en un mismo circuito electrónico

1.38
Conceptos básicos sobre circuitos y componentes electrónicos

1.10.2 CONDENSADORES

Un condensador es el elemento físico cuya única magnitud característica es la capacidad,


por lo que su símbolo eléctrico es el que se presentó en el apartado 8.1 de este tema.

El condensador está formado por dos láminas conductoras separadas de un dieléctrico.


En la figura 1.43 se muestra la estructura física de un condensador así como la expresión
de la capacidad del mismo en función de sus parámetros físicos.

A
C H˜
d

Figura 1.43. Diagrama físico y expresión del valor de la capacidad de un condensador.

siendo H la constante dieléctrica del aislante, A el área de las placas conductoras y d la


distancia de separación de las mismas.

Por otro lado la característica I-V del componente (que representa la relación entre
tensión y corriente en el mismo) ya fue presentada también en el apartado 8.1, y es la que
se vuelve a presentar en la figura 1.44.

VC

dVC
I C (t ) C
C dt
IC

Figura 1.44. Característica I-V de un condensador y símbolo eléctrico.

Al igual que en el caso de los resistores, también existen condensadores fijos y variables,
pero estos últimos no están tan extendidos como los potenciómetros y solo se emplean en
aplicaciones muy específicas.

1.10.3 BOBINAS

El otro componente electrónico pasivo es la bobina, que es elemento físico


correspondiente a la magnitud de la inducción.

La bobina, como componente independiente, está formada por un conductor enrollado a


un núcleo de alta conductividad magnética, como puede ser el hierro dulce. La estructura

1.39 1.
FUNDAMENTOS DE ELECTRÓNICA

física de una bobina así como la expresión de la inducción en función de sus parámetros
físicos se muestra en la figura 1.45.

n2
L
ƒ

Figura 1.45. Diagrama físico y expresión del valor de la inducción de una bobina.

Siendo n el número de espiras (vueltas alrededor del núcleo) de la bobina y 8 el valor de


la reluctancia del núcleo, que caracteriza a la conductividad magnética del material con
que está hecho.

Por otro lado la característica I-V del componente (que representa la relación entre
tensión y corriente en el mismo) ya fue presentada en el apartado 8.2 de este tema, y se
vuelve a presentar en la figura 1.46.

VL

1
L³ L
I L (t ) V (t )dt
IL L

Figura 1.46. Característica I-V de un condensador y símbolo eléctrico.

1.11 ESTUDIO DE UN COMPONENTE ELECTRÓNICO ACTIVO.


EL DIODO

El diodo de unión es el componente activo más sencillo que existe, pues a partir de él se
han diseñado otros muchos basados en su principio de funcionamiento. El objetivo de
este punto no es el de presentar el funcionamiento del diodo según la distribución de las
cargas en su interior, sino el estudiarlo de patillas hacia fuera de modo que sirva como
ejemplo de metodología de estudio para cualquier otro componente activo

1.11.1 CARACTERÍSTICA I-V DE UN DIODO

Los diodos de unión son componentes de dos terminales de comportamiento asimétrico,


es decir, que tienen polaridad a la hora de conectarlos a un generador de tensión pues su
comportamiento no es el mismo cuando se polarizan con una señal de tensión o corriente
positiva que si se polarizan con una tensión o corriente negativa.

1.40
Conceptos básicos sobre circuitos y componentes electrónicos

En la figura 1.47 se muestra el símbolo eléctrico de un diodo, que presenta esta


característica de polaridad en el hecho de tener dos terminales perfectamente
diferenciados.
cátodo
ánodo
ID

VD
Figura 1.47. Símbolo eléctrico de un diodo de unión.

Como se observa en la figura 1.47 anterior, la tensión en el diodo se define como positiva
en el ánodo, y la corriente se define positiva fluyendo de ánodo a cátodo.

En la figura 1.48 se presenta la característica I-V ideal del diodo, que presenta también
esta asimetría. En términos generales, cuando el diodo se polariza con tensión positiva
deja pasar la corriente, fijando además la tensión en sus bornes a valor nulo, mientras que
cuando se polariza con tensión negativa no deja pasar la corriente.
ID
Equivale a un
cortocircuito
Equivale a un
circuito

VD

Figura 1.48. Característica I-V ideal del diodo.

De este modo se observa que el diodo tiene dos zonas de funcionamiento bien
diferenciadas:

1. Zona de conducción o de polarización directa, en la que se encuentra cuando circula


una corriente positiva de ánodo a cátodo. En ese caso el dispositivo fija en sus bornes
una tensión muy pequeña, comportándose en ese caso como un generador de tensión,
pero que en el caso ideal es prácticamente nula, comportándose entonces como un
cortocircuito.

2. Zona de corte o de polarización inversa, en la que se encuentra el diodo cuando se


fija una tensión negativa en sus bornes. Esta corriente es nula en la práctica, por lo
que funciona como un circuito abierto (corriente nula y tensión negativa de ánodo a
cátodo).

1.41 1.
FUNDAMENTOS DE ELECTRÓNICA

La característica I-V real de un diodo difiere ligeramente a la indicada anteriormente,


presentando la forma que aparece en la figura 1.49.

ID
IFM
Polarización
en directo

VRM
VD
VJ
Polarización Is
en inverso

Figura 1.49. Característica I-V real del diodo.

Para definir la curva los fabricantes proporcionan el valor de los parámetros que se
muestran en la figura 1.49 anterior, y cuyo significado es el que se presenta a
continuación:

a) Tensión umbral VJ. Valor de la tensión en directo a partir de la cual el diodo


comienza a conducir de forma apreciable. Además, cuando el diodo conduce en
directo, la tensión entre sus bornes se mantiene prácticamente constante a este valor,
independientemente de la corriente que circule. El rango de valores típicos de tensión
umbral es de entre 0.5V y 1V.

b) Corriente inversa de saturación Is. Aunque idealmente en inverso no circula


corriente por el diodo, en la práctica circula una corriente muy pequeña (del orden de
los nanoamperios = 10-9A) desde el cátodo hacia al ánodo (siendo por tanto negativa),
conocida como corriente inversa de saturación.

c) Corriente máxima en directo IFM.. Es la corriente máxima que puede circular por el
diodo en directo (y por tanto de ánodo a cátodo positiva) sin que éste sufra ningún
daño.

d) Tensión máxima en inverso VRM. Es la tensión máxima en inverso (de cátodo a


ánodo, y por tanto negativa) que puede soportar el diodo. Puesto que siempre es un
valor negativo, los fabricantes suelen proporcionar sólo su valor en módulo.

En la práctica suelen adoptarse soluciones intermedias entre la característica I-V ideal y


la real, utilizándose características I-V linealizadas como las que se muestran en la figura
1.50.

1.42
Conceptos básicos sobre circuitos y componentes electrónicos

I I I

V V V

Figura 1.50. Características I-V del diodo linealizadas.

A continuación se verá cómo determinar el punto de trabajo de un diodo en continua


mediante un ejemplo. Para ello se traza la recta de carga sobre la característica I-V para
obtener así la zona de polarización del diodo y obtener el punto de trabajo del
componente. Se realizará un análisis gráfico.

Ejemplo 1.11. Sea el circuito de la figura 1.51, donde además se muestra la


característica del diodo, con la intensidad máxima que soporta en directo
(IFM) y la tensión máxima aplicable en inverso (VRM).

ID(mA)
ID
IFM=100
R
VD
E
VRM=-20 20
VD(V)
0,2 0,6

-10-6

Figura 1.51. Circuito de polarización de un diodo y característica I-V del mismo


a) Determinar el punto de polarización del diodo si R=100: y E=5 V. Idem
con igual resistencia y E=-5 V.

La recta de carga del diodo en el circuito viene dada por la expresión 1.38.

E R ˜ I V <1.38>

siendo E y R valores conocidos. Dibujando dicha recta sobre la


característica I-V del diodo se obtiene el punto de intersección, que es el
único que satisface simultáneamente las condiciones de trabajo del circuito y
del componente (en este caso el diodo). Dicho punto (V,I) es el buscado
punto de polarización.

Para R=100: y E=5 V, el punto de corte, y por tanto de polarización es:

1.43 1.
FUNDAMENTOS DE ELECTRÓNICA

I(mA)
IFM=100

(V,I)=(0.6 V, 44 mA)
50

VRM=-20
V(V)
5
-1PA 0,6

Para R=100 : y E=-5 V, el punto de corte, y por tanto de polarización es:

I(mA)
IFM=100

VRM=-20
V(V)
-1PA 0,6

(V,I) = (-4.99V, -1PA)

b) Si E varía entre -30 V y 30 V, ¿qué valores de R aseguran la debida


protección del diodo?

En primer lugar, y como es obvio, cuando la tensión de la pila sea positiva el


diodo se polarizará en directo, puesto que la corriente generada por la pila
va de ánodo a cátodo. Por el contrario, cuando la tensión del generador sea
negativa, el diodo quedará polarizado en inverso, al tender a circular la
corriente de cátodo a ánodo.

Existen dos situaciones límites a estudiar: cuando E=-30 V la tensión en


inverso en el diodo debe ser inferior en módulo a VRM , y por otro lado
cuando E=30 V debe procurarse que la intensidad en directo sea menor que
IFM .

Trabajando en directo, y en el peor caso, que es con E=30V, el caso extremo


es que trabajemos en el punto (V,I)=(0.6 V,100 mA). Por tanto, se debe
asegurar el funcionamiento del diodo según la condición presentada por la
ecuación 1.39.

E V 30  0.6 <1.39>
I d 100mA
R R

1.44
Conceptos básicos sobre circuitos y componentes electrónicos

y por tanto R t 294 :

Trabajando en inverso, y en el peor caso que es con E= -30 V, el caso


extremo es trabajar en el punto (V,I) = (-20V, -1PA). Por tanto, la condición
es la que se muestra en la ecuación 1.40.

V R ˜ 1PA  30 t 20 <1.40>

y por tanto R t 10 M:

El valor de R que cumple las dos condiciones anteriores (ecuaciones 1.39 y


1.40) es el resultado del ejemplo (1.41):

R t 10 M: <1.41>

c) ¿Entre qué valores puede variar la tensión de entrada si R = 100 :?

La limitación en directo es Emax = R˜ IFM+VJ = 10.6 V


La limitación en inverso es Emin = R˜Is+VRM = -20.0001 V # -20 V

Por tanto la solución es la presentada en la ecuación 1.42 siguiente.

-20 V  E  10.6 V <1.42>

1.11.2 TIPOS DE DIODOS Y APLICACIONES

Por último, se comentan en este apartado varios tipos de diodos con características
especiales que los hacen ideales para aplicaciones específicas:

a) Diodos zenner. Este tipo de diodos tiene una característica I-V en inverso diferente a
los diodos normales, puesto que superado un valor de tensión, conocida como
“tensión zenner (Vz)”, el diodo comienza a conducir en inverso (corriente circulando
de cátodo a ánodo), manteniéndose la tensión prácticamente constante e igual al valor
zenner. La figura 1.52 muestra el símbolo eléctrico de este tipo de diodos, su
característica I-V ideal, y una de las idealizaciones más utilizadas de la misma.

1.45 1.
FUNDAMENTOS DE ELECTRÓNICA

I I
I

Vz Vz
V
V V
V

Figura 1.52. Símbolo eléctrico del diodo zenner y características I-V real e ideal

Las características de este diodo lo hacen ideal para aplicaciones de estabilización o


recortadores de tensión.

b) Diodos LED. Son diodos que, correctamente polarizados en directo, son capaces de
emitir luz de intensidad proporcional al valor de la corriente que circula por los
mismos. Se utilizan en dispositivos de visualización óptica, displays, etc. Su símbolo
eléctrico es el que se muestra en la figura 1.53 siguiente:

Figura 1.53. Símbolo eléctrico del diodo LED

1.12 OTROS COMPONENTES ELECTRÓNICOS.

1.12.1 COMPONENTES ELECTRÓNICOS DISCRETOS

Dentro de los componentes activos discretos que existen actualmente en el mercado se


pueden encontrar otros muchos a parte del diodo que, dados los objetivos del tema,
carece de sentido estudiar en profundidad.

Muchos de los componentes electrónicos existentes actualmente se basan en la estructura


microelectrónica del diodo: la unión p-n polarizada en directo. Este gran grupo de
componentes forman lo que se conoce como componentes de tecnología bipolar.

Existe, sin embargo, otro gran campo de diseño microelectrónico, basado en varias capas
de uniones p-n polarizadas en inverso formando lo que se conoce como estructura MOS.
A estos componentes se les conoce como componentes de tecnología unipolar, o
tecnología MOS.

1.46
Conceptos básicos sobre circuitos y componentes electrónicos

Se puede, por tanto, clasificar los componentes activos discretos en dos grandes grupos,
tal y como se va a presentar a continuación.

1.12.2 TECNOLOGÍA BIPOLAR

Dentro de esta tecnología se distinguen distintos componentes que, compartiendo la


misma base electrónica presentan un comportamiento más o menos complicado.
Empezando por el componente más sencillo se pueden encontrar:

1. Transistores bipolares (BJTs) NPN y PNP (ver figura 1.54) de distintos tipos en
función de si son para aplicaciones de amplificación, de radiofrecuencia, de potencia,
de optoelectrónica, etc.

C C

B NPN B PNP

E E

Figura 1.54. Símbolo eléctrico de los transistores bipolares.

2. Otros componentes de potencia como DIACs, TRIACs, tiristores, etc. que son
empleados fundamentalmente para aplicaciones de excitación en sistemas de tracción
(motores), o conversión de potencia (ver figura 1.55).

TRIAC
TIRISTOR

Figura 1.55. Símbolo eléctrico del TRIAC y del tiristor.

1.12.3 TECNOLOGÍA UNIPOLAR

Del mismo modo que ocurre con los componentes bipolares, dentro del la misma base
tecnológica también se pueden distinguir distintos componentes unipolares, de este
modo:

1. Transistores unipolares MOSFET (canal P o canal N), empleados para aplicaciones


de conmutación en lógica y en potencia. El símbolo eléctrico de estos componentes
es el que se muestra en la figura 1.56.

1.47 1.
FUNDAMENTOS DE ELECTRÓNICA

D
D

G
G

S
S

Figura 1.56. Símbolo eléctrico de los transistores FET.

2. Transistores FET(canal P o canal N), empleados en aplicaciones de audio y


radiofrecuencia, entre otras.

G Canal N G Canal P

Figura 1.57. Símbolo eléctrico de los transistores FET.

Actualmente el campo de la investigación en cuanto a diseño microelectrónico se centra


en la tecnología unipolar, donde se intenta conseguir dispositivos cada vez más rápidos, y
que soporten mayores potencias.

1.12.4 COMPONENTES ELECTRÓNICOS INTEGRADOS

A partir de los componentes discretos activos presentados se construyen los circuitos


integrados, bien sean analógicos o digitales. Es por ello que cuando se observa el interior
de un circuito integrado se aprecia que está formado por una serie de transistores (bien
sean MOS o BJTs) polarizados e interconectados convenientemente (ver figura 1.58).

Todos los chips están formados por componentes discretos, y en función de la


operatividad del mismo será necesario implementar un circuito más o menos complejo en
el interior del chip. Así, en función del número de elementos discretos que haya el en
circuito integrado se habla de tecnología MSI, LSI, VLSI, UVLSI.

Para que los integrados cada vez sean más potentes es necesario integrar en un mismo
chip cada vez más elementos discretos, por lo que se hacen también grandes esfuerzos de
investigación en el campo de la miniaturización del diseño electrónico, llegando a
trabajar con tecnología de hasta 0.3um.

1.48
Conceptos básicos sobre circuitos y componentes electrónicos

Figura 1.58. Diagrama interno de un circuito de tecnología bipolar.

No obstante, cualquiera de los componentes discretos que se han mencionado en este


punto se estudian del mismo modo que se hace con el diodo, conociendo su característica
I-V y observando en qué punto de la misma está trabajando para cada caso de
polarización.

Como el comportamiento de algunos de ellos puede llegar a ser bastante complejo, sobre
todo si se estudia su funcionamiento con señales alternas, a veces se recurre a emplear un
modelo equivalente del mismo, que, a base de generadores de corriente, tensión,
resistencia y condensadores, equivalgan al componente completo en el punto de trabajo
bajo estudio.

1.49 1.
FUNDAMENTOS DE ELECTRÓNICA

1.13 PROBLEMAS

1.1 En el circuito de la figura 1.59:


a) Determinar el valor y sentido de la corriente que circula.
b) Realizar el balance de potencias, indicando claramente qué elementos absorben o
entregan potencia.
E1
R1

E2
R2
DATOS:

E1=10V; E2=2V; E3=1V; E4=3V


R4 E4
R1=2:; R2=R3=1:; R4=3:; R5=5:
R3
E3

R5
Figura 1.59. Ejemplo 1.1
Solución:

a) I=0,5 A circulando en sentido antihorario.


b) Absorben todas las resistencias y los generadores E2 y E4
Entregan los generadores E1 y E3
Pentregada = Pabsorbida = 5,5 W

1.2 En el circuito de la figura 1.60:


a) Determinar la corriente que circula por cada una de las ramas.
b) Hallar las tensiones VAB, VBA , VBC , VCB , VAC y VCA .
c) Determinar los potenciales absolutos en los puntos A, B y C.
d) Realizar el balance de potencias, indicando claramente qué elementos entregan o
absorben potencia.
R1

E1 E2 DATOS:
R2 B R3
A C
E1=E2=E4=3V;
E3=5V
E3 E4

R1=R6=2:;
R5 R6 R2=R3=R4=5:;
R4
R5=7:

Figura 1.60. Ejemplo 1.2

1.50
Conceptos básicos sobre circuitos y componentes electrónicos

Solución:

a) Rama de R1 = 257,21 mA hacia la derecha.


Rama de R2 = 706,91 mA hacia la izquierda.
Rama de R3 = 390,2 mA hacia la izquierda.
Rama de R4 = 449,69 mA hacia abajo.
Rama de R5 = 316,71 mA hacia arriba.
Rama de R6 = 132,98 mA hacia arriba.

b) VAB = -534,56 mV; VBA = 534,56 mV; VBC = 1,049 V ; VCB = -1,049 V;
VAC = 514,44 mV ; VCA = -514,44 mV

c) VA = -2,75 V; VB = -2,22 V; VC = -3,26 V

d) Absorben todas las resistencias y E4


Entregan E1, E2 y E3.
¦ Pentregada = ¦ Pabsorbida = 5,5398 W

1.3 En el circuito de la figura, se pide:


a) Corriente en cada una de las ramas.
b) Balance de potencias, indicando qué elementos entregan o absorben potencia.
R3
DATOS:
R6
E1 E1=4V; E2=8V
R1 R2 R5
R1=3:; R2=2:; R3=(24/5) :
R4
E2
R4=R5=R6=6:

Figura 1.61. Ejemplo 1.3


Solución:

a) Rama de R1 = 1/5 A hacia abajo.


Rama de R2 = 3/10 A hacia abajo.
Rama de R3 = 1/2 A hacia la izquierda.
Rama de R4 = 1/6 A hacia arriba.
Rama de R5 = 1/2 A hacia abajo.
Rama de R6 = 5/6 A hacia arriba.

b) Absorben todas las resistencias. Entregan todos los generadores.


¦ Pentregada = ¦ Pabsorbida = 7,333 W.

1.51 1.
FUNDAMENTOS DE ELECTRÓNICA

1.4 Demostrar que en el circuito de la figura 1.62 la suma de las potencias entregadas
I2
es igual a la suma de las potencias disipadas.
R1

DATOS:
E1
E1=4V; E2=2V
+
I1=I2=2A

R1=R2=R4=1:; R3=R5=2: +
E2
R5 R2
I1

R4 R3

Figura 1.62. Ejemplo 1.4


Solución:

Absorben todas las resistencias y E1. Entregan E2, I1 e I2.


¦ Pentregada = ¦ Pabsorbida = 31,333 W

1.5 En el circuito de la figura 1.63, determinar la potencia puesta en juego por cada
uno de los generadores. R3

DATOS: R1
I1

I1=2A R4
E2
R2
E1=10V; E2=6V E1

R1=R3=2:; R2=R4=1:

Figura 1.63. Ejemplo 1.5

Solución:

E1 entrega 20W, I1 absorbe 8 W y E2 entrega 36W.

1.6 En el circuito de la figura 1.64, determinar:


a) Corrientes por todas las ramas.
b) Potenciales VAB, VBC y VAC.
c) Potenciales absolutos VA , VB , VC y VD.
d) Potencia puesta en juego por los generadores E2 e I1.

1.52
Conceptos básicos sobre circuitos y componentes electrónicos

C1 R5
DATOS:
E2
R1 B C E1=4V;
A E2=2V;
I1=1A;
I1 R4
R2 R1=1:;
E1
R2=2:;
R3 R3=3:;
R4=4:;
D R5=5:
Figura 1.64. Ejemplo 1.6

Solución:

a) Rama de R5 = 0 A.
Rama de R1 = 2A hacia la derecha.
Rama de E2 = 1A hacia la derecha.
Rama de E1 = 2A hacia arriba.
Rama de R2 = 1A hacia abajo.
Rama de I1 = 1A hacia abajo.
Rama de R4 = 0 A.

b) VAB = 2V; VBC = 2V; VAC = 4V;

c) VA = 4V; VB = 2V; VC = 0V; VD = 0V;

d) PE2 = 2W absorbe; PI1 = 0W;

1.7 En el circuito de la figura 1.65, y suponiendo régimen permanente, calcular:


a) Potencia puesta en juego por I1 y E1.
b) Potenciales absolutos en los puntos A, B, C y D.
C2 R2

A R3 B C3
DATOS:

C1 C1=C3=C4=1PF; C2=2PF;
R6 C4
R1
E2 L1=1mH
R4 D
E1=2V; E2=4V;
C I1=2A;
R7 R8
I1 L1
R1=3:; R2=5:; R3=R4=R5=R9=1:;
R9
E1
R5 R6=R7=R8=2:

Figura 1.65. Ejemplo 1.7

1.53 1.
FUNDAMENTOS DE ELECTRÓNICA

Solución:

a) PE1 = 2W entrega; PI1 = 9W entrega;

b) VA = -0,5V ; VB = -1V ; VC = -4,5V ; VD = -2V ;

1.8 En el siguiente circuito (figura 1.66), determinar:


a) Carga que adquiere el condensador.
b) Potencia disipada en R3 y potencia puesta en juego por I1.
c) Potenciales en los puntos A, B, C y D.
E2 E3
A L1 R3 B R4

E1
I1
R2
E4
R1

D R5 C1

C
Figura 1.66. Ejemplo 1.8

DATOS:

E1=10V; E2=2V; E3=8V; E4=6V; I1=5A ; R1=R2=R3=R4=R5=1:; C1=1PF ; L1=1mH

Solución:

a) Q = 13 PC.

b) PR3 = 0W; PI1 = 5W absorbe;

c) VA = -4V ; VB = -12V ; VC = -13V ; VD = 0V ;

1.9 En el circuito de la figura 1.67, determinar el valor de R para que el amperímetro


indique cero amperios, y en estas condiciones determinar la potencia disipada en el
circuito. R
A
DATOS:
R I1
E1=4V; I1=2A E1

Figura 1.67. Ejemplo 1.9

1.54
Conceptos básicos sobre circuitos y componentes electrónicos

Solución:

R = 2: y Pdisipada = 16 W.

1.10 En el circuito de la figura 1.68 se aplican VA - VB = 20V. Hallar la intensidad


total y las intensidades parciales, así como la resistencia equivalente.
R2
R1 DATOS:

R3 R1=2:; R2=3:; R3=4:; R4=6:

A B

R4

Figura 1.68. Ejemplo 1.10

Solución:

Corriente total = 8,71 A; Corriente por R1 = 5,38 A;


Corriente por R2 = 3,07 A; Corriente por R3 = 2,31 A;
Corriente por R4 = 3,33 A; Resistencia equivalente = 2,3 :;

1.11 Hallar la resistencia equivalente entre los puntos A y B, suponiendo R=2:.


R R

R R R

R
R
R
A B

Figura 1.69. Ejemplo 1.11

Solución:

Resistencia equivalente = 3,47 :.

1.55 1.
FUNDAMENTOS DE ELECTRÓNICA

1.12 Dado el circuito de la figura 1.70, calcular:


a) Corrientes I1, I2, I3, I4 e I5 en régimen permanente.
b) Tensiones Va, Vb y Vc.
I3

C1

R2 R3 I4

DATOS:
I1
R1 R5 R6 I5
R4
E1=E3=10V; E2=5V C2
I2
R1=R5=R6=2K E1

E2 E3
R2=R3=R4=1K

Figura 1.70. Ejemplo 1.12

Solución:

a) I1 = 1,1538 mA; I2 = -1,923 mA; I3 = 0 mA; I4 = -0,769 mA;


I5 = -0,19228 mA;

b) VA = 8,84 V ; VB = 9,609 V ; VC = 0 V ;

1.13 Analizar el circuito de la figura 1.71 y determinar las corrientes que pasan por
R1, R2, R6, R7, R8 y R9 y las tensiones que caen en las mismas considerando los
siguientes datos:
E2
C1 R7
DATOS:
R1 R2
E1 R1=R2=R5=R6=R7=1K
R3=R4=2K
R8 R9 R8=R9=5K
R4 R3 R5 E1=E2=10V
R6
C1=C2=1PF
C2

Figura 1.71. Ejemplo 1.13

Solución:

R1. Corriente 2,5 mA hacia abajo. Tensión 2,5 V.


R2. Corriente 2,5 mA hacia abajo. Tensión 2,5 V.
R6. Corriente 5 mA hacia arriba. Tensión 5 V.

1.56
Conceptos básicos sobre circuitos y componentes electrónicos

R7. Corriente 0 mA. Tensión 0V.


R8. Corriente 1mA hacia abajo. Tensión 5 V.
R9. Corriente 1 mA hacia arriba. Tensión 5 V.

1.14 Dado el circuito de la figura 1.72, y suponiendo que se encuentra en régimen


permanente, calcule:
a) Valor de las corrientes I1 e I2.
b) Potencial absoluto en los puntos A y B.
c) Potencias puestas en juego por los generadores I y E3, indicando si entregan o
absorben potencia.

L1 A C1 B
DATOS:
R2
I I2 R5
E1 = E2 = 2V ; E3 = 4V
I = 1A
R1 = R3 = 1: ; R2 = 2:
E1
R4 = R5 = 2 :
R1 E2 E3 L1 = 1mH
C1= 1PF
R4 R3

I1

Figura 1.72. Ejemplo 1.14

Solución:

a) I1 = 0A e I2 =-0,5 A.

b) VA = 0 V. VB = 3 V.

c) PI = 1 W entrega y PE3 = 2 W entrega.

1.15 Dado el circuito de la figura 1.73, y suponiendo que se encuentra en régimen


permanente, calcule:
a) Expresión literal y valor numérico de la resistencia equivalente del Bloque A (RA).
b) Valor de las corrientes I1, I2 e I3.
c) Potencial absoluto en los puntos A y B.
d) Potencias puestas en juego por los generadores I y E, indicando si entregan o
absorben potencia.

1.57 1.
FUNDAMENTOS DE ELECTRÓNICA

Bloque A

R4

R2
R5
R1
R3
I1
I3
L E
A B
DATOS:
C E = 6V
R8 I = 2A
R2 = R6 = R8 = 1:
R6 R1 = R3 = R4 = R5 = R7 = 2:
L = 1mH
R7 I2 C= 1PF

Figura 1.73. Ejemplo 1.15

Solución:

§ R 4 ˜ R5 ·
¨ R2  ¸ ˜ R3
R 4  R5 ¹
a) R A R1  © 3:
R 4 ˜ R5
R2   R3
R 4  R5

b) I1 = 2A, I2 = 2 A e I3 = 1 A

c) VA= 4 V y VB = -2 V.

d) PI = 0 W.

e) PE = 24 W entrega.

1.16 Dado el circuito de la figura 1.74, y suponiendo que se encuentra en régimen


permanente, se pide:
a) Determine el valor de las corrientes i1, i2 e i3.
b) Determine el valor de las tensiones V1, V2 y V3.
c) Determine el valor de las potencias puestas en juego por E1, I2 y R3, indicando
claramente si entregan o absorben potencia.
d) Indique las cargas adquiridas por los condensadores C1 y C2.

1.58
Conceptos básicos sobre circuitos y componentes electrónicos

V3
L1
DATOS:
C1
V1 C1 = C2 = 1 PF
I1 R1
L1 = L2 = 2 mH
R1 = R3 = 1 K:
R2 = R4 = 2 K:
C2 I1 = 1 mA; I2 = 2 mA;
I2
R2 E1 = 1 V; E2 = 2 V

i1

V2
E1 i3
L2

R3

i2
E2 R4

Figura 1.74. Ejemplo 1.16

Solución:

a) i1 = -1 mA, i2 = 0 mA e i3 = -1 mA.

b) V1 = 0 V, V2 = 2 V y V3 = 0 V.

c) PE1 = 1 mW absorbe. PI2 = 4 mW entrega. PR3 = 1 mW absorbe.

d) QC1 = 0 C y QC2 = 1PC.

1.17 Del catálogo de un componente caracterizado por la magnitud X, se obtiene que


el valor nominal vale Xn=10-6 u.c. (u.c.=unidades correspondientes), con una tolerancia
de +30% y -20%. Obtener las desviaciones superior e inferior (dadas en u.c.) y los
valores máximo y mínimo que puede tomar la magnitud.

Solución:

ds=0,3˜10-6 u.c.; Xmax=1,3˜10-6 u.c.


di=0,2˜10-6 u.c.; Xmin=0,8˜10-6 u.c.

1.59 1.
FUNDAMENTOS DE ELECTRÓNICA

1.18 Un componente con magnitud nominal Xn=104 u.c., marcada por el fabricante,
es sometido a una medida en laboratorio que arroja un valor de 9000 u.c. para la
magnitud citada. Sabiendo que la tolerancia es simétrica, ¿qué valor mínimo debe tener la
misma para asegurar que el componente está “dentro de tolerancia”?.

Solución:

T=r10%

1.19 Un componente presenta un valor efectivo de su magnitud característica, a 25qC,


de 110 u.c. Si su coeficiente de temperatura es de 150 p.p.m./ qC, ¿a qué temperatura el
valor efectivo será de 110,41 u.c.?.

Solución:

A 49,848qC.

1.20 En la figura 1.75 se ha representado la característica I-V de un componente en el


sentido indicado. Se polariza el mismo según el circuito de la figura 1.76.
a) Calcular el punto de polarización del mismo si:
R=1 K:; E=20V
R=1 K:; E=10V
R=1 K:; E=5V
R=10 K:; E=10V

V(V)
10 1
R

E
5
2
-10 Figura 1.76. Circuito 1º del ejemplo 1.20
3 10
I(mA)
I
1 2
-10 V

Figura 1.75. Característica I-V del ejemplo 1.20

1.60
Conceptos básicos sobre circuitos y componentes electrónicos

b) Volver a repetir los cuatro apartados anteriores si el circuito de polarización es el


representado en la figura 1.77.

1
R

2
Figura 1.77. Circuito 2º del ejemplo 1.20

c) Suponiendo R=1K y el circuito de polarización de la figura 1.76, ¿entre qué valores


puede variar E para que la potencia disipada en la resistencia nunca sea superior a 0.1
W?. Respóndase, de igual modo, a esta cuestión considerando el circuito de
polarización de la figura 1.77.

Solución:

a) Con R=1K y E= 20 V punto de polarización: (V=10V, I=10mA)


Con R=1K y E= 10 V punto de polarización: (V=5,833V, I=4,166mA)
Con R=1K y E= 5 V punto de polarización: (V=3,125V, I=1,875mA)
Con R=10K y E= 10 V punto polarización: (V=1,4285V, I=0,8571mA)

b) Con R=1K y E= 20 V punto de polarización: (V= -10V, I= -10mA)


Con R=1K y E= 10 V punto de polarización: (V= -5V, I= -5mA)
Con R=1K y E= 5 V punto de polarización: (V= -2,5V, I= -2,5mA)
Con R=10K y E= 10 V punto polarización: (V= -0,909V, I= -0,909mA)

c) Con el circuito de la figura 1.b) E<20V


Con el circuito de la figura 1.c) E<20V

1.21 La figura 1.78 representa la característica I-V de un componente en el sentido


indicado. Según el circuito de polarización adjunto en la figura 1.79, se pide:
a) Trazar la recta de carga para los siguientes casos:
1. R=1K: ; E= 1V
2. R=1K: ; E= 5V
3. R=1K: ; E= 10V
4. R=2K: ; E= 10V
5. R=0,5K: ; E= 10V
b) Obténganse conclusiones: ¿qué sucede si R es fija y se va variando E?¿y si se fija E y
se modifica R?
c) Se desea que el punto de trabajo sea V= 10V e I=20 mA. Proponer dos valores
posibles de E y R.

1.61 1.
FUNDAMENTOS DE ELECTRÓNICA

I
1 2 I(mA)
1
V R
20
E

V(V) 2
1

Figura 1.79. Circuito de polarización del ejemplo 1.21

Figura 1.78. Característica I-V del ejemplo 1.21

Solución:
I(mA)
20
a)
1.R=1K y E=1V
2. R=1K y E=5V
3. R=1K y E=10 V
4. R=2K y E=10 V
5. R=0.5K y E=10V

1 V(V)

b) 1. R=0,5K y E=20V
2. R=1K y E=30V

1.22 En la figura 1.80 y 1.81 se han representado el circuito de polarización de un


diodo y su característica idealizada respectivamente.
a) Trazando la recta de carga obtener el punto de polarización del diodo si:
R= 1K y E=10V
R=100k y E=5V
b) Si E puede variar entre -41 y 50 V, ¿qué valores de R se deben utilizar para que en
ningún caso se destruya el diodo?
c) Si R=100 :, ¿entre qué valores puede variarse E? I(mA)
IFN = 100

I
R
E V 20
VRM=-40V 10
0,3 0,5 0,6 V(V)
Figura 1.80. Circuito polarización del ejemplo 1.22 Is= -1PA

Figura 1.81. Característica I-V del ejemplo 1.22

1.62
Conceptos básicos sobre circuitos y componentes electrónicos

Solución:

a) Con R=1K y E=10 V sale el punto de polarización V=0,49V e I=9,51mA


Con R=100K y E=5 V sale el punto de polarización V=0,3V e I=0mA

b) R>1M:

c) -40,0001<E<10,6V

1.23 Dado el circuito de la figura 82, en el que X es un componente pasivo cuya


característica I-V se muestra en la figura 83, se pide:
a) Calcule el valor de la resistencia equivalente del bloque A (RA).
b) Determine el punto de trabajo del componente X cuando el circuito se encuentra en
régimen permanente.
c) Realice el balance de potencias del circuito, indicando las potencias entregadas o
absorbidas por todos los elementos que lo componen.

Bloque A

R2
I
L1 R1 R3
C1
R4

V
E1 x
R5

Figura 1.82. Circuito del ejemplo 1.23

I(Amperios)
DATOS:

E1 = 5 V
R1 = 1:; R2 = 4:; R3 = R4 = 8:; R5 = 5:;
2 L1 = 1mH;
C1 = 1PF;
V(Voltios)
4
-1

Figura 2

Figura 1.83. Característica I-V del ejemplo 1.23

1.63 1.
FUNDAMENTOS DE ELECTRÓNICA

Solución:

a) RA = 3:

b) I=1A y V=2V

c) Absorben todas las resistencias y el componente pasivo. Pabsorbida=5W


Entrega el generador de tensión. Pentregada=5W

1.64
Álgebra de Boole. Sistemas y códigos de numeración

TEMA 2
Álgebra de Boole.
Sistemas y códigos de numeración.

Índice de Contenidos

2.1 INTRODUCCIÓN A LA ELECTRÓNICA DIGITAL ....................................... 2.2

2.2 ÁLGEBRA DE BOOLE ...................................................................................... 2.4


2.2.1 Introducción ................................................................................................. 2.4
2.2.2 Concepto de función lógica o booleana....................................................... 2.5
2.2.3 Tabla de verdad de una función lógica ........................................................ 2.5
2.2.4 Funciones lógicas básicas ............................................................................ 2.6
2.2.5 Postulados, propiedades y teoremas del Álgebra de Boole ......................... 2.9
2.2.6 Forma canónica de una función booleana.................................................. 2.10
2.2.7 Paso de una función cualquiera a forma canónica ..................................... 2.11
2.2.8 Obtención de la función lógica a partir de la tabla de verdad ................... 2.12
2.2.9 Implementación de funciones lógicas mediante puertas NAND y NOR... 2.12

2.3 SIMPLIFICACIÓN DE FUNCIONES LÓGICAS ........................................... 2.15


2.3.1 Simplificación por el método algebraico ................................................... 2.16
2.3.2 Simplificación por el método de Karnaugh ............................................... 2.16

2.4 SISTEMAS Y CÓDIGOS DE NUMERACIÓN ............................................... 2.20


2.4.1 Sistemas de numeración............................................................................. 2.20
2.4.2 Representación de números con signo....................................................... 2.24
2.4.3 Códigos binarios ........................................................................................ 2.30

2.5 PROBLEMAS.................................................................................................... 2.34


FUNDAMENTOS DE ELECTRÓNICA

2.1 INTRODUCCIÓN A LA ELECTRÓNICA DIGITAL

Una señal analógica es aquélla que puede tomar infinitos valores a lo largo del tiempo.
Por el contrario, una señal digital sólo puede tomar un número finito “n” de valores
definidos. Si este número finito de valores es n=2, se dice que la señal digital es binaria,
y éstas son de gran interés en la electrónica digital, ya que los dispositivos electrónicos
que trabajan con ellas sólo deben adoptar dos estados (uno de ellos representará el 0 y el
otro el 1). La Figura 2.1.a) muestra un ejemplo de señal analógica, y la Figura 2.1.b)
corresponde a una señal digital binaria.

x x

t t

a) b)

Figura 2.1. Ejemplos de señales a) analógica y b) digital binaria.

Se dice que un sistema es analógico o digital si trabaja con señales analógicas o digitales,
respectivamente.

La mayor parte de las señales que existen en la naturaleza son señales analógicas
(temperatura, voz, luz, presión...). El tratamiento de dichas señales puede realizarse
mediante sistemas analógicos o digitales. Las ventajas de los sistemas digitales frente a
los analógicos se pueden resumir en:

x Mayor fiabilidad y facilidad de diseño.


x Mayor flexibilidad (un mismo sistema físico - hardware - pueda ser utilizado para
diversas aplicaciones sin más que modificar un programa - software-).
x Características constantes con el tiempo (en un sistema analógico cualquier
componente, resistencia, condensador, etc, que cambie sus características, hace que
cambien las del sistema).
x Menor coste, aunque en aplicaciones muy sencillas suelen ser más rentables los
sistemas analógicos.
x Alta capacidad de almacenamiento de información.
x Facilidad para detectar errores en la transmisión de datos.

2.2
Álgebra de Boole. Sistemas y códigos de numeración

Cuando las señales a tratar y procesar por un sistema digital son de carácter analógico
(señales procedentes de micrófonos, sensores de temperatura, etc.), se hace necesaria una
etapa de conversión de la señal analógica a una digital (circuito conversor analógico-
digital). Si el resultado del procesamiento se quiere mostrar de nuevo de forma analógica
debe utilizarse un circuito conversor digital-analógico, tal y como se muestra en la Figura
2.2.

x(t) Conversor x(n) Sistema y(n) Conversor y(t)


A/D digital D/A
Señal Señal Señal Señal
analógica digital digital analógica

Figura 2.2. Procesamiento digital de señales analógicas.

El proceso de conversión de una señal analógica a una digital puede dividirse


conceptualmente en varias etapas:

1) Muestreo de la señal analógica. En esta primera etapa se toman muestras de la señal


a intervalos regulares de tiempo (conocido como periodo de muestreo Ts). El periodo
de muestreo debe ser lo suficientemente pequeño para captar las variaciones de
interés de la señal.

x(t) xm(t)

Ÿ
Muestreo

t t
Ts
Señal analógica Señal muestreada

Figura 2. 3. Muestreo de una señal analógica.

2) Cuantificación de la señal muestreada. Se limitan los posibles valores de amplitud


de las muestras obtenidas a un número finito de valores (que suele ser un múltiplo de
dos - 2n -). Para ello se “redondea” la amplitud de cada muestra al valor permitido
más cercano.
xc(t)

t
Señal cuantificada

Figura 2. 4. Cuantificación de la señal muestreada.

2.3
FUNDAMENTOS DE ELECTRÓNICA

3) Codificación de la señal cuantificada. El último paso para obtener la señal digital es


codificar cada uno de los posibles niveles de la señal cuantificada y asignar a cada
muestra su código correspondiente. El número de bits necesarios para codificar los 2n
niveles de la señal es “n”.

0
10 11 11 11 10 01 00 01 10

Señal codificada

Figura 2. 5. Codificación de la señal cuantificada.

La señal digital consiste por tanto en una secuencia de códigos binarios que representan
las muestras de la señal analógica. Una vez realizado el tratamiento de la señal digital,
puede convertirse de nuevo en una señal analógica realizándose un proceso inverso al
anterior, conocido como conversión digital – analógica.

2.2 ÁLGEBRA DE BOOLE

El álgebra de Boole establece las bases matemáticas para operar con señales digitales
binarias, siendo su estudio fundamental en el análisis y diseño de sistemas digitales.

2.2.1 INTRODUCCIÓN

Supóngase la expresión: (x + y) ˜ z = a

En el álgebra convencional, la expresión anterior se denomina función o relación


algebraica. En ella, x, y, z y a son variables que representan números que pueden tomar
cualquier valor. Los símbolos +, ˜ y = representan operaciones aritméticas entre dichos
números.

En el álgebra de Boole, la expresión anterior se denomina función o relación lógica. En


ella, x, y, z y a son variables lógicas o binarias que representan “estados” que sólo pueden
tomar dos posibles valores, “1” y “0”. Los símbolos +, ˜ y = representan operaciones
lógicas entre dichas variables.

2.4
Álgebra de Boole. Sistemas y códigos de numeración

Los sistemas analógicos realizan funciones que pueden describirse perfectamente


mediante el álgebra convencional. Sin embargo, los sistemas digitales trabajan
únicamente con dos niveles de tensión que representan dos posibles estados, “1” y “0”,
por lo cual deben describirse mediante las funciones lógicas que estudia el Álgebra de
Boole.

2.2.2 CONCEPTO DE FUNCIÓN LÓGICA O BOOLEANA

Se denomina función lógica a toda variable binaria cuyo valor depende de una expresión
lógica formada por otras variables binarias relacionadas mediante los operadores lógicos
“+” y “˜”. En el álgebra de Boole el operador “+” equivale a la conjunción “o”, y el
operador “˜” a la conjunción “y” de la lógica clásica.

Ejemplo 2.1: S = a˜b+b˜c . S es una función lógica de a, b y c Ÿ S=f(a,b,c).


El circuito equivalente a esta función lógica se muestra a continuación:

a b
1 S
b c

La interpretación de este circuito equivalente es la siguiente: para que un


interruptor esté cerrado, la variable lógica que lo controla debe valer “1”.
Para que la función S valga “1” es necesario que exista conexión eléctrica
entre el “1” de la entrada y la salida “S”, y para ello debe cumplirse al
menos una de las dos siguientes condiciones:

x que a y b valgan 1 (se cierra la rama superior del circuito y S=1)


x que b y c valgan 1 (se cierra la rama inferior del circuito y S=1)

2.2.3 TABLA DE VERDAD DE UNA FUNCIÓN LÓGICA

La tabla de verdad de una función lógica está formada por tantas columnas como
variables contenga la función (denominadas generalmente variables de entrada) más la
correspondiente a dicha función (conocida comúnmente como variable de salida), y por
tantas filas como combinaciones binarias sea posible construir con las variables de
entrada. Para “n” variables el número de combinaciones es 2n. Dicha tabla muestra el
valor de salida de la función para cada una de las posibles combinaciones de sus
entradas. Por tanto, la tabla de verdad es un método alternativo a la expresión lógica para
representar una función lógica.

2.5
FUNDAMENTOS DE ELECTRÓNICA

Ejemplo 2.2: La tabla de verdad correspondiente a la función lógica del


ejemplo 2.1. es la siguiente:

Entradas Salida Se observa que, tal y como se indicó


a b c S en el ejemplo 2.1, la salida S toma el
0 0 0 0 valor 1 si “a y b valen 1” o “b y c
0 0 1 0 valen 1”
0 1 0 0
0 1 1 1 Por tanto, la tabla de verdad
1 0 0 0 mostrada es equivalente a la
1 0 1 0 expresión lógica: S=a˜b+b˜c
1 1 0 1
1 1 1 1

2.2.4 FUNCIONES LÓGICAS BÁSICAS

En este apartado se describen las funciones booleanas o lógicas más elementales. Para
cada una de ellas se muestran su expresión lógica, tabla de verdad, circuito equivalente y
símbolo lógico en el caso de que existan.

2.2.4.1 Función igualdad

a S
S=a 0 0
1 a S
1 1
Expresión lógica Tabla de verdad Circuito eléctrico equivalente

2.2.4.2 Función OR

a b S
0 0 0
0 1 1
a a
S = a+b 1 0 1 1 S S
b
1 1 1
b

Expresión lógica Tabla de verdad Circuito eléctrico equivalente Símbolo lógico

2.6
Álgebra de Boole. Sistemas y códigos de numeración

2.2.4.3 Función AND

a b S
0 0 0
0 1 0
1 0 0
S=a˜b 1 1 1
S a
S
1 a b b

Expresión lógica Tabla de verdad Circuito eléctrico equivalente Símbolo lógico

2.2.4.4 Función NOT

a S
0 1
S= a a S
1 0

Expresión lógica Tabla de verdad Símbolo lógico

2.2.4.5 Función OR-exclusiva (XOR)

a b S
0 0 0
0 1 1
a
S=a†b= a ˜ b  a ˜ b 1 0 1 S
b
1 1 0

Expresión lógica Tabla de verdad Símbolo lógico

2.2.4.6 Función NOR


a b S
0 0 1
0 1 0
a
S= a  b 1 0 0 b
S

1 1 0

Expresión lógica Tabla de verdad Símbolo lógico

2.7
FUNDAMENTOS DE ELECTRÓNICA

2.2.4.7 Función NAND

a b S
0 0 1
0 1 1 a
S
S=a˜b 1 0 1 b

1 1 0
Expresión lógica Tabla de verdad Símbolo lógico

2.2.4.8 Función NOR-exclusiva (X-NOR)

a b S
0 0 1
0 1 0 a
S= a † b a ˜ b  a ˜ b 1 0 0 b
S

1 1 1

Expresión lógica Tabla de verdad Símbolo lógico

Cualquier función lógica compleja se expresa a partir de estas funciones lógicas


elementales. Igualmente, el circuito lógico de una función compleja se dibuja utilizando
los símbolos lógicos mostrados anteriormente (que además, corresponden con los
símbolos de los circuitos que se utilizan para implementar estas funciones en la práctica,
conocidos como puertas lógicas).

Ejemplo 2.3: Dibuje, utilizando puertas lógicas, el circuito lógico


correspondiente a las siguientes funciones lógicas:

x F1 a ˜b  b˜c a
b a˜b
F1
b˜c
c
c

x F2 a † b ˜ c ˜ d a
b a†b
F2
c˜d
c
d

2.8
Álgebra de Boole. Sistemas y códigos de numeración

Para dibujar mediante símbolos lógicos una función lógica, deben tenerse en cuenta una
serie de criterios referentes a la simbología utilizada. En primer lugar, obsérvese que un
círculo a la salida de una puerta lógica invierte su función (por ejemplo, la función
NAND es la inversa de la AND), y por tanto equivale a añadir un inversor a la salida de
la función inversa, como se muestra en los siguientes ejemplos:

equivale a

equivale a

Aunque las funciones realizadas por los circuitos anteriores son equivalentes, las puertas
NAND o NOR existen como tales, por lo que a la hora de llevar a la práctica dichos
circuitos se utilizan dichas puertas (en lugar de las puertas AND u OR seguidas de
inversores, solución más costosa). No sucede lo mismo cuando aparece un círculo a la
entrada de una puerta lógica. Esta simbología se utiliza para evitar el dibujo de un
inversor en dicha entrada, pero dicho inversor debe existir físicamente en el circuito
eléctrico, como se muestra en el siguiente ejemplo:

equivale a

2.2.5 POSTULADOS, PROPIEDADES Y TEOREMAS DEL ÁLGEBRA DE


BOOLE

En este apartado se describen los postulados, propiedades y teoremas más importantes


del álgebra de Boole cuyo conocimiento resulta imprescindible, como se verá más
adelante, a la hora de simplificar expresiones lógicas por métodos algebraicos.

2.2.5.1 Postulados:

1. a+1=1 4. a˜0=0 7. a+ a =1 10. Si S=a+b, entonces S ab


2. a+0=a 5. a+a=a 8. a˜ a =0
3. a˜1=a 6. a˜a=a 9. a =a

2.9
FUNDAMENTOS DE ELECTRÓNICA

2.2.5.2 Propiedades:

1. Conmutativa: a+b=b+a y a˜b=b˜a


2. Asociativa: a+b+c=a+(b+c)=(a+b)+c
a˜b˜c=a˜(b˜c)=(a˜b) ˜c
3. Distributiva: a˜(b+c)=a˜b+a˜c
a+b˜c=(a+b) ˜(a+c)

2.2.5.3 Teoremas:

Los más usados para la simplificación de funciones lógicas son las conocidas “Leyes de
De Morgan”:
ab a˜b
a˜b ab

2.2.6 FORMA CANÓNICA DE UNA FUNCIÓN BOOLEANA

Se llama forma canónica de una función booleana a todo producto de sumas o sumas de
productos en los cuales aparecen todas las variables en cada uno de los términos que
constituyen la expresión, bien en forma directa, bien en forma complementada. Si la
función canónica está compuesta por sumas de productos se dice que es una función
canónica en MINTERMS (o primera forma canónica). Por el contrario, si está formada
por productos de sumas es una función canónica en MAXTERMS (o segunda forma
canónica).

Ejemplo 2.4:
La siguiente función S1 es una función canónica en MINTERMS:
S1 a ˜b˜c  a ˜b˜c  a ˜b˜c  a ˜b˜c
La función S2, por el contrario, está en forma canónica en MAXTERMS:
S2 ( a  b  c ) ˜ ( a  b  c ) ˜ ( a  b  c)

Toda función lógica puede ser expresada en sus formas canónicas en minterms y en
maxterms mediante las transformaciones oportunas. Además, el número de términos que
aparecen en la expresión en minterms más el número de términos de la expresión en
maxterms debe sumar 2n, siendo “n” el número de variables de la función.

2.10
Álgebra de Boole. Sistemas y códigos de numeración

2.2.7 PASO DE UNA FUNCIÓN CUALQUIERA A FORMA CANÓNICA

Para pasar una función cualquiera a su forma canónica en minterms debe expresarse en
primer lugar como suma de productos. Seguidamente se multiplicará cada término por la
suma de cada variable que no aparezca en dicho término con su negada (el resultado de
dicha suma es 1, por lo que no se altera el valor del término), y por último se aplicará la
propiedad distributiva para eliminar las sumas parciales de cada término.

Para pasar una función cualquiera a su forma canónica en maxterms debe expresarse en
primer lugar como producto de sumas. Seguidamente se sumará a cada término el
producto de cada variable que no aparezca en dicho término con su negada (el resultado
de dicho producto es 0, por lo que no se altera el valor del término), y por último se
aplicará la propiedad distributiva para eliminar los productos parciales de cada término.

Ejemplo 2.5: Obtener las dos formas canónicas de la función lógica


f=a˜b+a˜c

Forma canónica en minterms:


f
a ˜ b ˜ c  c  a ˜ b  b ˜ c a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c
a ˜b˜c  a ˜b˜c  a ˜b˜c

Forma canónica en maxterms:


f a ˜ b  a ˜ c a ˜ b  c a  b ˜ b  c ˜ c ˜ a ˜ a  b  c
a  b  c ˜ a  b  c ˜ a  b  c ˜ a  b  c ˜ a  b  c ˜ a  b  c
a  b  c ˜ a  b  c ˜ a  b  c ˜ a  b  c ˜ a  b  c

Ejemplo 2.6: Obtener las dos formas canónicas de la función lógica


f a  b ˜ b  c ˜ a  c

Forma canónica en minterms:


f a˜b˜a  a˜b˜c a˜c˜a  a˜c˜c  b˜b˜a  b˜b˜c  b˜c˜a  b˜c˜c
0 a˜b˜c  0a˜c  b˜a  b˜c  a ˜b˜c  b˜c
(a  a  1  1) ˜ b ˜ c  a ˜ c  b ˜ a
b˜c  a˜c  b˜a a  a ˜ b ˜ c  a ˜ b  b ˜ c  a ˜ b ˜ c  c
a ˜b˜c  a ˜b˜c  a˜b˜c  a˜b˜c  a ˜b˜c a ˜b˜c
a ˜b˜c  a ˜b˜c  a˜b˜c  a ˜b˜c

2.11
FUNDAMENTOS DE ELECTRÓNICA

Forma canónica en maxterms:


f a  b  c ˜ c ˜ a ˜ a  b  c ˜ a  b ˜ b  c
a  b  c ˜ a  b  c ˜ a  b  c ˜ a  b  c ˜ a  b  c ˜ a  b  c
a  b  c ˜ a  b  c ˜ a  b  c ˜ a  b  c

2.2.8 OBTENCIÓN DE LA FUNCIÓN LÓGICA A PARTIR DE LA TABLA DE


VERDAD

Para obtener la forma canónica en minterms de una función lógica a partir de su tabla de
verdad se suman todos los productos lógicos que den a la función el valor 1. Las
variables aparecen negadas cuando su valor en la tabla de verdad es 0.

Para obtener la forma canónica en maxterms de una función lógica a partir de la tabla de
verdad se multiplican todas las sumas lógicas que den a la función el valor 0. En este
caso, las variables aparecen negadas cuando su valor en la tabla de verdad es 1.

No es necesario representar siempre ambas formas, sino que se obtendrá generalmente


aquélla que presente menos términos.

Ejemplo 2.7: Obtener las dos formas canónicas de la función lógica cuya
tabla de verdad se muestra:
Forma canónica en minterms:
a b c S S= a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c
0 0 0 1
0 0 1 0 Forma canónica en maxterms:
0 1 0 0
0 1 1 0 S (a  b  c ) ˜ (a  b  c ) ˜ ( a  b  c ) ˜
1 0 0 0 ˜ ( a  b  c) ˜ ( a  b  c )
1 0 1 1
1 1 0 1 En este caso, aparecen menos términos en la
1 1 1 0
expresión en minterms.

2.2.9 IMPLEMENTACIÓN DE FUNCIONES LÓGICAS MEDIANTE PUERTAS


NAND Y NOR

Cualquier función lógica puede implementarse utilizando únicamente puertas lógicas


NAND o NOR, motivo por el cual a estas funciones se las conoce como funciones
universales.

2.12
Álgebra de Boole. Sistemas y códigos de numeración

El proceso a seguir para transformar cualquier tipo de función en una expresión


algebraica tal que se pueda implementar utilizando exclusivamente puertas NAND es el
siguiente:

x En primer lugar debe aplicarse a la expresión en su conjunto una doble inversión


(para que la función no se vea alterada).
x Si la operación más externa de la función es un producto, las dos negaciones deben
dejarse tal cual. Si es una suma, se elimina una de las negaciones mediante la
aplicación del teorema de De Morgan.
x Se continúa invirtiendo doblemente los términos o partes de la función hasta que
todas las sumas y productos se conviertan en productos negados.

Además, para realizar un inversor con puertas NAND deben recordarse los postulados
del Álgebra de Boole, y aplicarse del siguiente modo S a a ˜ a . Por tanto, uniendo las
dos entradas de una puerta NAND, y conectando a ellas la entrada, ésta se comporta
como un inversor:

a S equivale a a S

Ejemplo 2.8: Implementar las siguientes funciones lógicas utilizando


únicamente puertas NAND de dos entradas.

x S1 a˜ b c d a˜ b c d a ˜ b ˜ c ˜ d , y por tanto el circuito queda:

a a˜b
b

S1
c
c

y para implementarla únicamente con puertas NAND de dos entradas:

a a ˜b
b a ˜b˜c
a ˜b˜c
S1
c c
d

2.13
FUNDAMENTOS DE ELECTRÓNICA

x S2 a  b ˜ c  a a  b ˜ c  a a  b ˜ c  a a ˜ b ˜ c ˜ a , que resulta
en el siguiente circuito:

a
a a˜b

b
a ˜b˜c˜a
b S2

c c
c˜a

Igualmente, el proceso a seguir para transformar cualquier función lógica en una


expresión algebraica tal que se pueda implementar utilizando exclusivamente puertas
NOR es el siguiente:

x Se aplica una doble inversión.


x Si la operación más externa de la función es una suma, las dos negaciones deben
dejarse tal cual. Si por el contrario es un producto, se elimina una de ellas mediante
el teorema de De Morgan.
x Se continúa invirtiendo doblemente los términos hasta que todas las sumas y
productos se hayan convertido en sumas negadas.

Para realizar un inversor con puertas NOR deben recordarse los postulados del Álgebra
de Boole, y aplicarse del siguiente modo S a a  a . Por tanto, uniendo las dos
entradas de una puerta NOR, y conectando a ellas la entrada, ésta se comporta como un
inversor:

a S equivale a a S

Ejemplo 2.9: Implementar las siguientes funciones lógicas utilizando


únicamente puertas NOR de dos entradas.

x S1 a˜ b c d a˜ b c d a˜ b c d a  b  c  d , obteniéndose el
siguiente circuito:

2.14
Álgebra de Boole. Sistemas y códigos de numeración

a a
ab

b b abcd
S1

d
d

y utilizando sólo puertas NOR de dos entradas:

a a
ab abc abc
b abcd
b
S1
c

d
d

x S2 a  b ˜ c  a a  b ˜ c  a a  b  c  a , es decir:

a ab
b S2
a
ac

2.3 SIMPLIFICACIÓN DE FUNCIONES LÓGICAS

A la hora de realizar un circuito digital que implemente una función lógica, el número de
componentes necesarios será tanto menor cuanto más simplificada esté la función lógica.
Una función lógica está tanto más simplificada cuantos menos términos y operaciones
aparecen.

Existen diversos métodos para la simplificación de funciones lógicas:

1. Método algebraico.
2. Método gráfico de Karnaugh.
3. Método numérico de McCluskey.

A continuación se estudian en detalle los dos primeros métodos.

2.15
FUNDAMENTOS DE ELECTRÓNICA

2.3.1 SIMPLIFICACIÓN POR EL MÉTODO ALGEBRAICO


Consiste en reducir una función lógica recurriendo, en la medida de lo posible, a los
postulados, propiedades y teoremas del álgebra de Boole.

Ejemplo 2.10: Simplificar las siguientes funciones lógicas por el método


algebraico:

1. f
a  b ˜ a ˜ c a  b ˜ a  c a  b ˜ a  c a  b ˜ a  b ˜ c a  b ˜ c
2. f a  b ˜ c  a a ˜ b ˜ c ˜ a a ˜ b  c ˜ a a (b  c)
3. f a ˜ b ˜ c a  b ˜ c a  b  c
4. f a ˜ b ˜ c ˜ d  a ˜ b  a ˜ b ˜ d ˜ e  f a ˜ b ˜ >c ˜ d  1  d ˜ e  f @ a˜b

2.3.2 SIMPLIFICACIÓN POR EL MÉTODO DE KARNAUGH

El método de Karnaugh es un método tabular gráfico que se basa en los llamados “mapas
de Karnaugh”, consistentes en una tabla de cuadros, cada uno de los cuales representa un
término canónico. Estos cuadros están distribuidos de tal modo que dos cualesquiera de
ellos contiguos físicamente corresponden a términos canónicos adyacentes.

Dos términos canónicos son adyacentes cuando sus respectivas configuraciones binarias
difieren entre sí en un único bit. Se pueden definir también como aquellos términos a los
que se les puede aplicar la propiedad distributiva para simplificar una variable.

a
Mapa de Karnaugh para funciones de dos variables: b 0 1
0 0 1
1
2 3

Dos ejemplos de Mapas de Karnaugh para funciones de tres variables:


ba ba
c 00 01 11 10 c 00 10 11 01
0 0 1 3 2 0 0 2 3 1
1 1
4 5 7 6 4 6 7 5

Dos ejemplos de Mapas de Karnaugh para funciones de cuatro variables:


ba ba
dc 00 01 11 10 dc 00 10 11 01
00 0 1 3 2 00 0 2 3 1

01 4 5 7 6 10 8 10 11 9

11 12 13 15 14 11 12 14 15 13

10 8 9 11 10 01 4 6 7 5

2.16
Álgebra de Boole. Sistemas y códigos de numeración

En los mapas de 3 y 4 variables se verifica que los cuadros opuestos en los extremos de
una misma fila o columna también representan términos canónicos adyacentes.

El procedimiento de simplificación mediante mapas de Karnaugh se indica a


continuación, con ayuda de un ejemplo que consiste en simplificar la función canónica:

f c˜b˜a  c˜b˜a  c˜b˜a  c˜b˜a

1) Se dibuja el mapa adecuado para la función a simplificar (2, 3 o 4 variables). Esta


función puede venir dada en forma de minterms o maxterms (o en tabla de verdad).
ba
c 00 01 11 10
0 0 1 3 2
1 4 5 7 6

2) Se escribe un “1” en los cuadros correspondientes a los términos minterms de la


función, o un cero si los términos son maxterms.

ba
c 00 01 11 10
0 0 1 1 3 2
1 1 1 16
4 5 7

3) Se agrupan mediante una curva cerrada los grupos de dos “1’s” o “0’s” adyacentes
que no puedan formar grupos de cuatro.
ba
c 00 01 11 10
0 0 1 1 3 2
1 1 1 16
4 5 7

4) Se agrupan también, si los hay, los grupos de cuatro “1’s” o “0’s” adyacentes que no
puedan formar grupo de 8, y los grupos de ocho que no puedan formar grupo de 16,
etc (no existen en el ejemplo).

5) Cada uno de los grupos así obtenidos da lugar a un término simplificado, mediante el
siguiente criterio: en cada grupo desaparece la variable o variables cuyo valor no es
igual en todo el grupo. Las variables cuyo valor es igual en todo el grupo son tomadas
para formar un término simplificado del siguiente modo:

2.17
FUNDAMENTOS DE ELECTRÓNICA

x Si la simplificación es en minterms se toman como “no negadas” si su


valor es 1 en todos los cuadros del grupo, y como “negadas” si su valor
es 0, y se multiplican (término en minterms).

x Si la simplificación es en maxterms se toman como “no negadas” si su


valor es 0 en todos los cuadros del grupo, y como “negadas” si su valor
es 1, y se suman (término en maxterms).

b˜a
ba
c 00 01 11 10
c˜a
0 0 1 1 3 2
1 14 17 16
5

La función simplificada es f c˜a  b˜a

Las formas de los posibles grupos en un mapa de 3 variables son las siguientes:

Grupos de 2 Grupos de 4

Las formas de los posibles grupos en un mapa de 4 variables son las siguientes:

Grupos de 2 Grupos de 4 Grupos de 8

Los cuadros con “1” o “0” que no tengan ningún otro adyacente igual representan
minterms o maxterms, respectivamente, que no pueden simplificarse y no se modifican
en el resultado de la simplificación.

El número de unos o ceros en cada grupo debe ser siempre una potencia de 2, de modo
que en un grupo de 2n unos o ceros, se eliminan n variables de las que forman los
términos canónicos.

2.18
Álgebra de Boole. Sistemas y códigos de numeración

La agrupación de cuadros debe ser tal que el número de grupos sea el mínimo posible, y
cada grupo sea lo mayor posible. Un mismo “1” o “0” de un cuadro puede pertenecer a
más de un grupo a la vez.

Si este método de simplificación se aplica a una función canónica en minterms, el


resultado vendrá dado como suma de productos de variables. Si por el contrario, se aplica
a la forma canónica en maxterms, el resultado será un producto de sumas. En general, si
se desea simplificar al máximo la función, es conveniente realizar su simplificación en
minterms y en maxterms para ver cuál es el resultado más sencillo.

El método de Karnaugh puede aplicarse también en la simplificación de funciones


incompletas. Son funciones incompletas aquéllas cuyo valor puede ser indistintamente
“1” o “0” para algunas de las combinaciones de las variables de entrada, bien porque
dichas combinaciones no vayan a darse nunca en la práctica, o porque sea indiferente
para el diseño el valor de la función para dichas combinaciones. Estos valores
indeterminados de la función se representan mediante un aspa (X) en la tabla de verdad
de la función.

Las funciones incompletas se simplifican considerando dichos valores indeterminados de


la función como “1” o “0” según interese para obtener la mayor simplificación posible.
Para ello se colocan aspas en los cuadros correspondientes a las configuraciones de
entrada indeterminadas, y se consideran dichas aspas con el valor que convenga (“1” o
“0”) para formar grupos lo más grandes posibles.

Ejemplo 2.11: Obtener la función lógica simplificada que tenga por entrada
una cifra decimal codificada en binario (de 0 al 9), y detecte a su salida los
múltiplos de 3.

d c b a f
0 0 0 0 0
0 0 0 1 0 Simplificación en minterms:
0 0 1 0 0
0 0 1 1 1 ba
0 1 0 0 0 dc 00 01 11 10
0 1 0 1 0
0 1 1 0 1
00 0 1
1 3 2
0 1 1 1 0 01 16
4 5 7
1 0 0 0 0
1 0 0 1 1 11 X X X X
12 13 15 14
1 0 1 0 X
1 0 1 1 X 10 8 1 9 X 11 X10
1 1 0 0 X
1 1 0 1 X
1 1 1 0 X
1 1 1 1 X f d˜a  c˜b˜a  c˜b˜a

2.19
FUNDAMENTOS DE ELECTRÓNICA

Simplificación en maxterms:

ba
dc 00 01 11 10
00 0 0 0 1 0
3 2 f c  a ˜ d  b ˜ c  a
01 04 05 07 6
11 X12 X
13
X X
15 14

10 08 9 X11 X10

La simplificación por maxterms resulta en este ejemplo más reducida.

2.4 SISTEMAS Y CÓDIGOS DE NUMERACIÓN

El álgebra de Boole es la herramienta matemática básica que permite realizar


operaciones con señales binarias sencillas, como las producidas por conmutadores
eléctricos, que para su representación requieren únicamente dos estados distintos (0 y 1).
Sin embargo, y por lo general, los sistemas digitales trabajan con palabras binarias, es
decir, combinaciones o grupos de señales binarias que representan algún tipo de
información numérica o alfanumérica.

En este apartado se estudian los sistemas y códigos de numeración más utilizados en los
sistemas digitales.

2.4.1 SISTEMAS DE NUMERACIÓN

Un sistema de numeración es una forma de representar cualquier cantidad numérica.


Así, una misma cantidad se puede escribir de muchas formas distintas según sea el
sistema de numeración utilizado.

El sistema utilizado normalmente por el hombre es el sistema decimal o de “base 10”


(constituido por los diez símbolos que representan los números del 0 al 9), mientras que
el sistema utilizado internamente por las máquinas electrónicas digitales es el binario o
de “base 2” (constituido por dos símbolos, 0 y 1). Esto se debe a la facilidad desde el
punto de vista eléctrico de distinguir entre dos niveles de tensión (alto “H” y bajo “L”)
que representen los estados 1 y 0 respectivamente.

La mayor parte de sistemas de numeración utilizados actualmente (entre ellos el decimal


y el binario) son sistemas “polinomiales”. La cantidad de dígitos distintos que existen en
un sistema de numeración constituyen su “base”. El valor de un número depende del

2.20
Álgebra de Boole. Sistemas y códigos de numeración

valor de los dígitos que lo componen y de la posición de cada uno de ellos dentro del
conjunto.

En estos sistemas se verifica el conocido “Teorema Fundamental de la Numeración”, que


dice que el valor N de un número entero expresado en un sistema de base “b” se obtiene
como suma de funciones potenciales de dicha base según la siguiente expresión:

N a n ˜ b n  a n 1 ˜ b n 1  ...  a 1 ˜ b1  a 0

siendo ai los diferentes dígitos del número, con an el de mayor peso (dígito de la
izquierda) y a0 el de menor peso (dígito de la derecha).

Ejemplo 2.12: El sistema numérico en “base 4” utiliza cuatro símbolos


distintos (0,1,2 y 3). Dado un número en base 4, por ejemplo N|4=3102, su
valor numérico N (expresado en decimal o base 10), se obtiene del siguiente
modo:
N 3 ˜ 4 3  1 ˜ 4 2  0 ˜ 41  2 ˜ 4 0 192  16  0  2 210

Los sistemas de numeración polinomiales más usados en la práctica además del decimal,
son el binario (base 2), el octal (base 8) y el hexadecimal (base 16), que se revisan
brevemente a continuación.

2.4.1.1 Sistema binario

Es el sistema de base 2, y utiliza dos dígitos distintos, el 0 y el 1, denominados


normalmente con el nombre de “bit”. Un número binario está formado por un conjunto
de bits. El valor de cada posición del número aumenta de derecha a izquierda según
potencias de 2.

Con un número binario de “n” bits se pueden representar 2n números distintos, desde el 0
hasta el 2n-1.

2.21
FUNDAMENTOS DE ELECTRÓNICA

Ejemplo 2.13: A continuación se muestra la representación de los 16


primeros números en binario:
DECIMAL BINARIO
0 0000
1 0001
2 0010
3 0011
4 0100
5 0101
6 0110
7 0111
8 1000
9 1001
10 1010
11 1011
12 1100
13 1101
14 1110
15 1111

2.4.1.2 Sistema octal

Es el sistema de base 8, y utiliza ocho dígitos distintos, del 0 al 7. Se utiliza este sistema
frecuentemente por su facilidad de conversión con el sistema binario, lo cual hace que
números binarios muy grandes se manejen con mayor facilidad en octal. Esta facilidad de
conversión se debe a que la base 8 es potencia entera de 2.

La conversión de binario a octal se realiza del siguiente modo: se agrupan de tres en tres
comenzando por la derecha los bits del número binario. El valor en base 10 de cada uno
de esos grupos da lugar a un dígito octal. La conversión de octal a binario se realiza
igualmente sustituyendo cada cifra octal por el grupo de tres bits equivalente en binario.

Ejemplo 2.14: Pasar a octal el número binario A|2=1100100111010, y a


binario el número octal B|8=426

A|2 = 1 100 100 111 010 equivale en octal a A|8=14472


1 4 4 7 2

B|8= 4 2 6 equivale en binario a B|2= 1 0 0 0 1 0 1 1 0 = 100010110


4 2 6

2.22
Álgebra de Boole. Sistemas y códigos de numeración

2.4.1.3 Sistema hexadecimal

Es el sistema de base 16, y utiliza 16 dígitos distintos: del 0 al 9 más las letras
mayúsculas A,B,C,D,E,F, que tienen como valores propios 10,11,12,13,14 y 15
respectivamente.

Este sistema es más utilizado que el octal, también por su facilidad de conversión con el
binario. La conversión de binario a hexadecimal se realiza agrupando los bits de cuatro
en cuatro comenzando por la derecha, dando lugar cada grupo a un dígito hexadecimal.

Ejemplo 2.15. Pasar a hexadecimal el número binario A|2=1100100111010


A|2 = 1 1001 0011 1010 equivale en hexadecimal a

1 9 3 A
A|16=193A

2.4.1.4 Cambios de base

Para pasar un número expresado en cualquier base a base 10, se aplica el Teorema
Fundamental de la numeración visto anteriormente.

Ejemplo 2.16. Pasar de octal a decimal el número A|8=251.


A|10 = 2˜ 82+5˜ 81+1˜ 80=128+40+1=169

Para pasar un número entero de base 10 a cualquier otra base se realizan sucesivas
divisiones de dicho número por la base a la que se quiere cambiar, obteniéndose el
resultado a partir del último cociente y todos los restos en el orden inverso al que han
sido obtenidos.

Ejemplo 2.17. Pasar de decimal a hexadecimal el número A|10 = 19582.

19582 16
Resto: 14 1223 16
Resto: 7 76 16
Resto: 12 4

Por tanto, las cifras del número hexadecimal son, de mayor a menor peso:
4, 12 (C), 7, 14 (E)
Y el número en hexadecimal es A|16 =4C7E.

2.23
FUNDAMENTOS DE ELECTRÓNICA

Los cambios de base entre octal y hexadecimal conviene realizarlos a través del binario,
como se mostró en apartados anteriores. Los cambios de base entre dos bases distintas
cualesquiera hay que realizarlos a través de base 10.

2.4.1.5 Suma aritmética en base n

Para realizar la suma aritmética de dos números en base “n”, se comienza sumando los
dígitos de menor peso. Cuando la suma de una columna supere o iguale el valor de la
base, se anota como resultado de esa columna el valor de dicha suma menos la base, y se
acarrea una unidad a la siguiente columna de mayor orden.

Ejemplo 2.18: Realizar la suma de los dos números binarios 11010 y 01110:
1 111
11010
01110 +
101000

2.4.2 REPRESENTACIÓN DE NÚMEROS CON SIGNO

En el procesamiento de señales digitales suele ser necesario representar magnitudes tanto


positivas como negativas. Los sistemas de numeración básicos vistos en el apartado
anterior no contemplan la representación de números negativos. Para este fin existen
diversas técnicas de codificación, siendo las más importantes la de Valor Absoluto y
Signo, Complemento a 2, Complemento a 1 y Binario Desplazado, que se estudian a
continuación.

2.4.2.1 Valor absoluto y signo (VAyS)

Este método de codificación utiliza un bit adicional llamado “bit de signo” para expresar
el signo del número. Este bit se sitúa en la primera posición de la izquierda del número, y
si vale cero el número será positivo, mientras que si su valor es 1, el número tendrá signo
negativo. Después de este bit se escribe el valor absoluto del número en binario natural,
con la cantidad de bits que se desee.

Ejemplo 2.19: A continuación se muestra la codificación de varios números


en VAyS

+5 = 0/101 -5 = 1/101 = 1/0000101 +12 = 0/1100 -18 = 1/10010

2.24
Álgebra de Boole. Sistemas y códigos de numeración

Sin embargo, esta forma de representación de números positivos y negativos, aunque


muy sencilla de entender, no es la utilizada comúnmente en sistemas digitales que
realicen operaciones aritméticas, puesto que la suma directa de números con signo no
produce resultados correctos, como se muestra en el siguiente ejemplo.

Ejemplo 2.20: 2-3 = 2+(-3) = 0/010 + 1/011 = 1/101 = -5 Resultado erróneo.

2.4.2.2 Complemento a 2 (C2)

Para resolver el anterior problema se suele utilizar otra forma de representación de


números llamada “Complemento a 2” (C2), que se obtiene a partir de la representación
en VAyS mediante el siguiente proceso:

x Los números positivos se escriben exactamente igual que en VAyS (bit de signo = 0 y
valor absoluto en binario natural).

x Los números negativos se obtienen complementando a 1 el valor absoluto binario


correspondiente (cambiando ceros por unos y viceversa) y sumando 1, dejando el bit
de signo inalterado (1 = negativo).

Ejemplo 2.21:

VAyS C2
+14 0/1110 0/1110
-14 1/1110 1/0001+1=1/0010
+8 0/1000 0/1000
-8 1/1000 1/0111+1=1/1000
+18 0/10010 0/10010
-18 1/10010 1/01101+1=1/01110

Una “regla práctica” para obtener los números negativos en C2 más fácilmente a partir de
su correspondiente positivo expresado en VAyS es la siguiente: comenzando por la
derecha, se dejan como están todos los ceros y el primer uno, y a partir de aquí se
complementa a 1 el resto.

De acuerdo con este método de representación de números en C2, vemos que los unos a
la izquierda de un número negativo (prescindiendo del bit de signo) no aportan valor, al
igual que los ceros a la izquierda de un número positivo:

2.25
FUNDAMENTOS DE ELECTRÓNICA

Ejemplo 2.22:

+5 = 0/101 = 0/0000101 -5 = 1/011 = 1/1111011

Por tanto, si se quiere aumentar la cantidad de bits de un número representado en C2,


basta con añadir detrás del bit de signo ceros si el número es positivo y unos si el número
es negativo. Es decir, basta con repetir a la izquierda el bit de signo cuantas veces sea
necesario.

De este modo, el número -8 = 1/1000 puede ser representado con 3 bits de contenido más
el bit de signo (un bit menos que en VAyS), eliminando el uno a la izquierda, que no
aporta valor (-8 = 1/000). La misma peculiaridad sucede con todos los números negativos
potencia de dos (-4 = 1/00, -16 = 1/0000 ...).

Así pues, en C2 no existe el número -0 (que sí es válido en VAyS), sino que el cero se
representa únicamente como +0 = 0/000. Esto supone que en C2 pueden representarse un
total de 2n números distintos con n bits (incluido el de signo), uno más que en VAyS, por
el hecho de que con este último hay dos combinaciones distintas para el número 0. Por
ejemplo, con 4 bits totales pueden ser representados en VAyS los números desde el +7
(0/111) hasta el -7 (1/111), es decir, un total de 15 números diferentes en un rango
simétrico en torno al cero. Sin embargo en C2 pueden representarse los números entre el
+7(0/111) hasta el -8 (1/000), es decir, un total de 16 números distintos en un rango
asimétrico respecto al 0.

Con este sistema de representación de números, se define el “opuesto” de un número


dado en C2 como el que se obtiene “complementando a 2” todo el número, incluido el bit
de signo. Se entiende por complementar a 2 el proceso de invertir todos los bits y al
resultado sumarle 1, o bien al proceso análogo de complementar a 1 todos los bits a partir
del primer uno empezando por la derecha (regla práctica).

Ejemplo 2.23:

+3 = 0/0011 Ÿ -3 = 1/1101
-1 = 1/1111 Ÿ +1 = 0/0001
-8 = 1/1000 Ÿ +8 = 0/1000
+22 = 0/10110 Ÿ -22 = 1/01010

A contrario que en VAyS, el código C2 sí permite sumar directamente números con


signo proporcionando el resultado correcto. Obviamente, permite también realizar la
resta de números, al ser ésta la suma del primero de ellos con el opuesto del segundo,
calculado con el método anterior.

2.26
Álgebra de Boole. Sistemas y códigos de numeración

Ejemplo 2.24:

2 0/010 -8 1/000 -1 1/1111


-3 1/101 +1 0/001 -12 1/0100

-1 1/111 = -1 -7 1/001 = -7 -13 1/0011 = -13

2.4.2.3 Complemento a 1 (C1)

Es otro código muy utilizado para representar números enteros positivos y negativos,
consistente también en un bit de signo seguido de unos bits de valor, y que se obtiene a
partir de la representación en VAyS del siguiente modo:

x Los números positivos se escriben exactamente igual que en VAyS (bit de signo = 0 y
valor absoluto en binario natural).

x Los números negativos se obtienen simplemente complementando a 1 el valor


absoluto binario correspondiente (cambiando ceros por unos y viceversa), dejando el
bit de signo inalterado (1 = negativo).

Ejemplo 2.25:

VAyS C1
+14 0/1110 0/1110
-14 1/1110 1/0001
+8 0/1000 0/1000
-8 1/1000 1/0111
+18 0/10010 0/10010
-18 1/10010 1/01101

De igual forma que en C2, los unos a la izquierda de un número negativo en C1


(prescindiendo del signo) no aportan valor, al igual que los ceros a la izquierda de un
número positivo:

Ejemplo 2.26:

+5 = 0/101 = 0/000000101 -5 = 1/010 = 1/11111010

Por tanto, si se quiere aumentar la cantidad de bits de un número representado en C1,


basta también con repetir a la izquierda el “bit de signo” cuantas veces sea necesario.

2.27
FUNDAMENTOS DE ELECTRÓNICA

Sin embargo, y a diferencia de C2, en C1 se puede representar el número 0 con dos


códigos distintos, en positivo y en negativo, esto es:

0 = 0/000 = 1/111

Además, en C1 pueden representarse un total de 2n-1 números distintos con n bits totales
(incluido el de signo), desde el -(2n-1-1) hasta el 2n-1-1, es decir, uno menos que en C2 y
el mismo rango simétrico que en VAyS. Por ejemplo, con cuatro bits totales pueden ser
representados en C1 los números comprendidos entre +7 (0/111) y -7(1/000), es decir, un
total de 15 números diferentes, al igual que en VAyS.

Según este sistema de representación de números, se define el opuesto de un número


dado en C1 como el que se obtiene complementando a 1 (invirtiendo bit a bit) todo el
número, incluido el bit de signo.

Ejemplo 2.27:
+3 = 0/011 Ÿ -3 = 1/100
-1 = 1/110 Ÿ +1 = 0/001
-8 = 1/0111 Ÿ +8 = 0/1000
+22 = 0/10110 Ÿ -22 = 1/01001

Para que el resultado de la suma de dos números representados en C1 sea correcta, esta
suma se realizará bit a bit, incluyendo el bit de signo, y realimentando a la suma el
acarreo final (el superior al signo), es decir, sumando 1 a la suma si se produce dicho
acarreo final. El resultado vendrá así dado en C1.

Ejemplo 2.28: Realizar las siguientes sumas en C1:

2 0/010 -8 1/0111
-3 1/100 +1 0/0001
-1 0 1/110 = -1 -7 0 1/1000 = -7

12 0/1100 -1 1/1110
-1 1/1110 -12 1/0011
11 1 0/1010 -13 1 1/0001
1 1
0/1011 = +11 1/0010 = -13

2.28
Álgebra de Boole. Sistemas y códigos de numeración

2.4.2.4 Binario desplazado (BD)

En este código la representación de un número entero positivo o negativo mediante n bits


se obtiene sumando al número la cantidad 2n-1, y representando el resultado en binario
puro. De ahí que se le llame “binario desplazado” o también código en “exceso a 2n-1”.

Como resultado de esto se obtiene un código muy semejante al C2. De hecho, es idéntico
al C2, pero invirtiendo el bit de signo. Por tanto, en código BD se puede considerar al
último bit de la izquierda como bit de signo, pero con el criterio cambiado, es decir, valor
1 significa positivo y valor 0 es negativo.

El cambio de código de BD a C2 y viceversa se realiza simplemente invirtiendo el bit de


signo.

Ejemplo 2.29:
VAyS C2 BD
+14 0/1110 0/1110 n=5 14+16=30 1/1110
-14 1/1110 1/0010 n=5 -14+16=2 0/0010
+8 0/1000 0/1000 n=5 8+16=24 1/1000
-8 1/1000 1/1000 n=5 -8+16=8 0/1000
+18 0/10010 0/10010 n=6 18+32=50 1/10010
-18 1/10010 1/01110 n=6 -18+32=14 0/01110

Por lo demás, comparte prácticamente las mismas propiedades que el código C2:

x La expansión del número de bits se realiza repitiendo a la izquierda el bit de signo (0


negativo, 1 positivo), tantas veces como sea necesario.

x El número cero sólo admite una representación: 1/00...00.

x Para n bits totales tiene un rango asimétrico de representación de 2n números


diferentes, desde el -2n-1 hasta el 2n-1-1.

El código BD aporta una cualidad que no posee el C2, y que puede ser útil en algunas
aplicaciones: las representaciones de números crecientes también corresponden a
codificaciones crecientes en binario puro.

El cálculo del opuesto de un número dado en BD se realiza exactamente igual que en C2.
Se puede aplicar por tanto la misma regla práctica consistente en dejar iguales,
comenzando por la derecha, todos los ceros hasta el primer uno incluido, y a partir de ahí

2.29
FUNDAMENTOS DE ELECTRÓNICA

invertir todos los bits incluido el de signo. También sirve el proceso equivalente de
invertir todos los bits y sumar 1.

Ejemplo 2.30:
+3 = 1/0011 Ÿ -3 = 0/1101
-1 = 0/1111 Ÿ +1 = 1/0001
-8 = 0/1000 Ÿ +8 = 1/1000
+22 = 1/10110 Ÿ -22 = 0/01010

Las operaciones de suma y resta deben realizarse a través del código C2, invirtiendo los
bits de signo de los números de entrada para convertirlos a C2, operando en C2 (suma
directa bit a bit), e invirtiendo de nuevo el bit de signo para pasar el resultado de C2 a
BD. Si se suman números directamente en BD, los resultados serán erróneos.

2.4.3 CÓDIGOS BINARIOS

No toda la información utilizada o almacenada en un sistema digital se encuentra en


alguno de los sistemas de numeración vistos anteriormente. La cantidad de códigos
binarios que se pueden construir es infinita. Sin embargo, en la práctica se utilizan sólo
los más idóneos para las aplicaciones a las que se destinan. Por ejemplo, un código
idóneo para la realización de operaciones aritméticas es el C2. Sin embargo, existen otros
códigos con un mayor rendimiento de representación (dan más información con un
menor número de bits), o con una mayor robustez ante los errores de transmisión.

A continuación se hace una breve revisión de varios sistemas de codificación muy


utilizados en la práctica.

2.4.3.1 Código BCD (Decimales Codificados en Binario)

Este sistema codifica directamente en binario cada uno de los 10 dígitos decimales. Es
decir, a cada uno de dichos dígitos decimales se le asigna su correspondiente código
binario de 4 bits. Existen a su vez diferentes tipos de códigos BCD, que se clasifican en
líneas generales en “ponderados” y “no ponderados”.

Los códigos BCD ponderados son aquéllos en los que cada bit del código tiene un valor
o peso que depende de la posición que ocupa. Algunos ejemplos son el BCD Natural
(con pesos 8421), el BCD Aiken (con pesos 2421), y el BCD 5421, que codifican cada
dígito decimal del modo mostrado en la siguiente tabla (el código BCD más utilizado es
el BCD Natural, conocido simplemente como código BCD):

2.30
Álgebra de Boole. Sistemas y códigos de numeración

BCD BCD
Dígito BCD 5421
Natural Aiken
decimal (5 4 2 1)
(8 4 2 1) (2 4 2 1)
0 0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 1 0 0 0 1 0 0 0 1
2 0 0 1 0 0 0 1 0 0 0 1 0
3 0 0 1 1 0 0 1 1 0 0 1 1
4 0 1 0 0 0 1 0 0 0 1 0 0
5 0 1 0 1 1 0 1 1 1 0 0 0
6 0 1 1 0 1 1 0 0 1 0 0 1
7 0 1 1 1 1 1 0 1 1 0 1 0
8 1 0 0 0 1 1 1 0 1 0 1 1
9 1 0 0 1 1 1 1 1 1 1 0 0

Un ejemplo de código BCD no ponderado es el “BCD Exceso-3”, en el que la


codificación binaria de cualquier dígito decimal N se obtiene sumándole 3 (N+3) y
codificando el resultado en binario natural con 4 bits.

En general, la codificación de un número decimal de varias cifras en un código BCD


cualquiera se realiza simplemente expresando cada dígito por separado mediante la
combinación binaria adecuada.

Ejemplo 2.31: El número decimal 723 se puede expresar en código BCD de


la siguiente forma:

BCD Natural: 0111 0010 0011


BCD Aiken: 1101 0010 0011
BCD Exceso-3:1010 0101 0110

2.4.3.2 Códigos continuos o cíclicos

Un código binario es “continuo” si las combinaciones correspondientes a números


decimales consecutivos son adyacentes (difieren sólo en un bit).

Un código “cíclico” es aquél código continuo en el que la última combinación del código
es adyacente con la primera. La utilidad de estos códigos es que sólo cambia un bit de
una configuración a la siguiente, y se elimina la posibilidad de combinaciones
intermedias erróneas que pudieran aparecer de forma transitoria.

2.31
FUNDAMENTOS DE ELECTRÓNICA

El “código Gray” es un ejemplo de código continuo cíclico, que además se caracteriza


por ser “reflejado”, debido a que la formación de un código de “n” bits se realiza a partir
del código de “n-1” bits aplicando una reflexión, como se muestra en la siguiente tabla:

Decimal Gray
0 0000
1 0001
2 0011
3 0010
4 0110
5 0111
6 0101
7 0100
8 1100
9 1101
10 1111
11 1110
12 1010
13 1011
14 1001
15 1000

Otro código continuo y cíclico es el código Johnson, que además tiene la propiedad de
ser “progresivo”, debido a que el número de unos aumenta o disminuye progresivamente
de una configuración a la siguiente. Su capacidad de codificación para “n” bits es sólo de
2n configuraciones distintas. El código Johnson de 5 bits se utiliza para representar los
10 dígitos decimales (es también un código BCD):

Decimal Johnson
0 00000
1 00001
2 00011
3 00111
4 01111
5 11111
6 11110
7 11100
8 11000
9 10000

2.32
Álgebra de Boole. Sistemas y códigos de numeración

2.4.3.3 Códigos alfanuméricos

Son aquéllos que sirven para representar no sólo números, sino también letras y
caracteres especiales. El más representativo de los códigos alfanuméricos de 6 bits es el
ASCII (American Standard Code for Information Interchange). Sin embargo actualmente
se utilizan más frecuentemente códigos ASCII ampliados de 7 y 8 bits, que permiten
representar las letras mayúsculas y minúsculas, letras del alfabeto griego, mayor número
de caracteres especiales y también un conjunto de caracteres gráficos para creación de
esquemas o dibujos. Otro código alfanumérico similar al ASCII, pero de menor
aceptación, es el EBCDIC, surgido como código interno para programación en lenguaje
COBOL.

A continuación, y como ejemplo, se muestra el código ASCII de 7 bits. El bit b6 es el


más significativo o de mayor peso.

b6 0 0 0 0 1 1 1 1
b5 0 0 1 1 0 0 1 1
b4 0 1 0 1 0 1 0 1
b3 b2 b1 b0 0 1 2 3 4 5 6 7
0 0 0 0 0 NUL DLE SP 0 @ P ` p
0 0 0 1 1 SOH DC1 ! 1 A Q a q
0 0 1 0 2 STX DC2 “ 2 B R b r
0 0 1 1 3 ETX DC3 # 3 C S c s
0 1 0 0 4 EOT DC4 $ 4 D T d t
0 1 0 1 5 ENQ NAK % 5 E U e u
0 1 1 0 6 ACK SYN & 6 F V f v
0 1 1 1 7 BEL ETB ‘ 7 G W g w
1 0 0 0 8 BS CAN ( 8 H X h x
1 0 0 1 9 HT EM ) 9 I Y i y
1 0 1 0 10 LF SUB * : J Z j z
1 0 1 1 11 VT ESC + ; K [ k {
1 1 0 0 12 FF FS , < L \ l |
1 1 0 1 13 CR GS _ = M ] m }
1 1 1 0 14 SO RS . > N ^ n ~
1 1 1 1 15 SI US / ? O - o DEL

Tabla 3.1. Código ASCII de 7 bits.

2.33
FUNDAMENTOS DE ELECTRÓNICA

2.5 PROBLEMAS

2.1 Obtener la tabla de verdad de cada una de las siguientes funciones lógicas:

a) F1 a˜b a˜b d) F4 a  b ˜ c  d ˜ b  a
b) F2 a ˜ b ˜ c  a ˜ c ˜ d e) F5 a ˜ b  c ˜ d ˜ a  a † b

c) F3 a † b ˜ c ˜ d f) F6 c  d † a ˜ b

Solución:

a) a b F1 b) a b c d F2 c) a b c d F3
0 0 0 0 0 0 0 0 0 0 0 0 0
0 1 1 0 0 0 1 0 0 0 0 1 1
1 0 1 0 0 1 0 0 0 0 1 0 0
1 1 0 0 0 1 1 1 0 0 1 1 1
0 1 0 0 0 0 1 0 0 0
0 1 0 1 0 0 1 0 1 1
0 1 1 0 0 0 1 1 0 0
0 1 1 1 1 0 1 1 1 0
1 0 0 0 0 1 0 0 0 0
1 0 0 1 0 1 0 0 1 1
1 0 1 0 0 1 0 1 0 0
1 0 1 1 0 1 0 1 1 0
1 1 0 0 0 1 1 0 0 0
1 1 0 1 1 1 1 0 1 1
1 1 1 0 0 1 1 1 0 0
1 1 1 1 0 1 1 1 1 1

2.2 Representar el circuito en puertas lógicas correspondiente a las funciones siguientes:

a) F1 a ˜ b ˜ c  a ˜ c ˜ d b) F2 a 1  a2 ˜ b ˜ c c) F3 > a  b ˜ a  b ˜ b  c @
Solución:

a) a
b

F1

2.34
Álgebra de Boole. Sistemas y códigos de numeración

b) a1
a2

b F2

c)
a
b

F3

2.3 Extraer las dos formas canónicas (en minterms y en maxterms) de las siguientes
tablas de verdad:

a b c F1 a b c d F2 a b c d F3
a) 0 0 0
b) 0 0 0 0
c) 0 0 0 0
0 0 0
0 0 1 0 0 0 0 1 0 0 0 0 1 1
0 1 0 0 0 0 1 0 0 0 0 1 0 0
0 1 1 1 0 0 1 1 1 0 0 1 1 0
1 0 0 1 0 1 0 0 0 0 1 0 0 0
1 0 1 1 0 1 0 1 0 0 1 0 1 1
1 1 0 0 0 1 1 0 0 0 1 1 0 1
1 1 1 1 0 1 1 1 1 0 1 1 1 1
1 0 0 0 0 1 0 0 0 0
1 0 0 1 0 1 0 0 1 1
1 0 1 0 0 1 0 1 0 0
1 0 1 1 0 1 0 1 1 0
1 1 0 0 0 1 1 0 0 1
1 1 0 1 1 1 1 0 1 1
1 1 1 0 0 1 1 1 0 0
1 1 1 1 0 1 1 1 1 1

Solución:

a) Forma canónica en minterms:


F1 a ˜b˜c  a ˜b˜c  a ˜b˜c  a ˜b˜c
Forma canónica en maxterms:
F1 ( a  b  c ) ˜ ( a  b  c) ˜ (a  b  c ) ˜ ( a  b  c )

2.35
FUNDAMENTOS DE ELECTRÓNICA

b) Forma canónica en minterms:


F2 a ˜ b ˜ c ˜ d  a ˜ b ˜ c ˜ d  a ˜ b ˜ c ˜ d
Forma canónica en maxterms:
F2 a  b  c  d ˜ a  b  c  d ˜ a  b  c  d ˜ a  b  c  d ˜
a  b  c  d ˜ a  b  c  d ˜ a  b  c  d ˜ a  b  c  d ˜
a  b  c  d ˜ a  b  c  d ˜ a  b  c  d ˜ a  b  c  d ˜ a  b  c  d

c) Forma canónica en minterms:


F3 a ˜ b ˜ c ˜ d  a ˜ b ˜ c ˜ d  a ˜ b ˜ c ˜ d  a ˜ b ˜ c ˜ d  a ˜ b ˜ c ˜ d 
 a ˜b˜c˜d  a ˜b˜c˜d  a ˜b˜c˜d
Forma canónica en maxterms:
F3 (a  b  c  d) ˜ (a  b  c  d ) ˜ (a  b  c  d ) ˜ (a  b  c  d) ˜
˜ (a  b  c  d ) ˜ (a  b  c  d ) ˜ (a  b  c  d ) ˜ (a  b  c  d )

2.4 Expresar las siguientes funciones en sus dos formas canónicas (maxterms y
minterms):

a) F1 a  b ˜ b  c ˜ a  c b) F2 a˜ b  a˜c  b˜ c c) F3 a  b ˜ c

Solución:
a) En minterms:
F1 a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c
En maxterms:
F1 a  b  c ˜ a  b  c ˜ a  b  c ˜ a  b  c

b) En minterms:
F2 a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c
En maxterms:
F2 a  b  c ˜ a  b  c ˜ a  b  c

c) En minterms:
F3 a ˜b˜c  a ˜b˜c  a ˜b˜c  a ˜b˜c  a ˜b˜c  a ˜b˜c  a ˜b˜c
En maxterms:
F3 a  b  c

2.5 Implementar con puertas NAND de dos entradas las siguientes funciones:

a) F1 a˜b˜ c  a˜ b˜c b) F2 a  b ˜ a  b  c

2.36
Álgebra de Boole. Sistemas y códigos de numeración

Solución:

a) F1 a ˜ b ˜ c  a ˜ b ˜ c a ˜ b ˜ c ˜ a ˜ b ˜ c

a
b

c
F1

b) F2 a  b ˜ a  b  c a˜ b˜a˜b˜ c

b
F2

2.37
FUNDAMENTOS DE ELECTRÓNICA

2.6 Implementar con puertas NOR de dos entradas las siguientes funciones:

a) F1 a˜ b˜c˜a˜ c b) F2 a  b ˜ a  b  c

Solución:

a) F1 a˜ b˜c˜a˜c ab cac

c
F1

b) F2 a  b ˜ a  b  c a  b  a  b  c
a
b
F2

2.7 Simplificar por el método algebraico las siguientes expresiones:

a) > a ˜ b ˜ c @ d) a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c ˜ d

b) a  b ˜ c  a e) a ˜ b ˜ c ˜ d  a ˜ b  a ˜ b ˜ d ˜ e  f
c) > a ˜ b ˜ a@  b ˜ a

Solución:
a) a  b  c d) a ˜ c  a ˜ b ˜ c ˜ d
b) a ˜ b  c e) a ˜ b
c) b

2.38
Álgebra de Boole. Sistemas y códigos de numeración

2.8 Realizar un circuito lógico lo más simplificado posible para la activación de una
lámpara, empleando tres interruptores, de forma que la lámpara solamente se encienda
cuando esté activado un sólo interruptor o los tres simultáneamente.
Solución:
a b c L
Tabla de verdad: 0 0 0 0
L=0 (lámpara apagada)
0 0 1 1 L=1 (lámpara encendida)
0 1 0 1
0 1 1 0 a,b,c=0 interruptor apagado
1 0 0 1 a,b,c=1 interruptor encendido
1 0 1 0
1 1 0 0
1 1 1 1

L a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c a ˜ b ˜ c  b ˜ c  a ˜ b ˜ c  b ˜ c
a ˜ b † c  a ˜ b † c a † b † c

a
b
L
c

2.9 Diseñar un circuito lógico, empleando exclusivamente puertas NAND, que detecte
los números primos entre el 0 y el 15, representados en binario natural. Considérese el
cero como número no primo.
Solución:

Tomando el número A(d,c,b,a) de entrada con d como mayor peso y a como


menor peso, la función resultante en puertas NAND es la siguiente:

f d ˜ c˜b˜ c˜b˜a˜c˜ b˜a˜d ˜a

Implementación de la función anterior con puertas NAND de dos entradas:


d c b a

2.39
FUNDAMENTOS DE ELECTRÓNICA

2.10 Se dispone de una prensa que se pone en marcha mediante la actuación simultánea
de tres pulsadores: p1, p2 y p3. Si se pulsan solamente dos cualesquiera, la prensa
funcionará, pero se activará una lámpara indicando manipulación incorrecta. Cuando se
pulse un sólo dispositivo, también se encenderá la lámpara, pero no se activará la prensa.
Diseñar el circuito correspondiente utilizando únicamente puertas NAND de dos o tres
entradas.
Solución:
Tabla de verdad:

a b c P L P=prensa (1 si funciona y 0 si no funciona)


0 0 0 0 0 L=Lámpara (1 si se enciende y 0 si no se enciende)
0 0 1 0 1
0 1 0 0 1
a, b y c representan los tres pulsadores, poniéndose a
0 1 1 1 1
1 0 0
1 cuando éstos están activados.
0 1
1 0 1 1 1 P a˜b˜b˜c˜a˜c
1 1 0 1 1
1 1 1 1 0
L a˜ b˜ c˜a˜b˜c

El circuito lógico resultante es el siguiente:


c b a

2.40
Circuitos Digitales Combinacionales

TEMA 3
Circuitos Digitales
Combinacionales.

Índice de Contenidos

3.1 INTRODUCCIÓN .............................................................................................................. 3.2

3.2 FAMILIAS LÓGICAS ......................................................................................................... 3.2


3.2.1 Características generales de los circuitos digitales integrados ...........................................3.2
3.2.2 Tecnologías de fabricación.................................................................................................3.9

3.3 CIRCUITOS COMBINACIONALES MSI ......................................................................... 3.11


3.3.1 Decodificadores................................................................................................................3.11
3.3.2 Codificadores ...................................................................................................................3.24
3.3.3 Multiplexores ...................................................................................................................3.28
3.3.4 Demultiplexores ...............................................................................................................3.37
3.3.5 Comparadores...................................................................................................................3.40

3.4 ARITMÉTICA BINARIA ................................................................................................... 3.42


3.4.1 Semisumador Y Sumador Completo ................................................................................3.42
3.4.2 Suma Binaria ....................................................................................................................3.43
3.4.3 Resta Binaria ....................................................................................................................3.43
3.4.4 Multiplicación Binaria......................................................................................................3.49

3.5 PROBLEMAS ....................................................................................................................... 3.50

3.6 ANEXO: HOJAS CARACTERÍSTICAS DE CIRCUITOS INTEGRADOS


COMBINACIONALES ................................................................................................................ 3.77
FUNDAMENTOS DE ELECTRÓNICA

3.1 INTRODUCCIÓN

En el mundo de los sistemas digitales se pueden distinguir dos tipos de sistemas, que son:

a) COMBINACIONALES: Aquéllos cuyas salidas dependen en cada momento


únicamente de las entradas en dicho instante. Es por ello que el funcionamiento de
estos circuitos queda totalmente descrito mediante una tabla de verdad.

b) SECUENCIALES: Aquéllos cuyas salidas en cada instante no sólo dependen de las


entradas en ese instante sino también de las salidas en instantes anteriores. Es por ello
que se dice que estos sistemas poseen memoria. ·

Ambos tipos de sistemas se realizan a partir de la conjunción de puertas lógicas básicas.


Por ello, este tema comenzará definiendo las características generales y tecnologías de
fabricación de las citadas puertas lógicas que determinarán, a su vez, las características
de multitud de circuitos integrados que existen en el mercado y que implementan
funciones lógicas complejas en un sólo chip. Estos componentes son los que se van a
analizar en este tema y se conocen como circuitos combinacionales MSI (Medium Scale
of Integration).

3.2 FAMILIAS LÓGICAS

Las puertas lógicas se encuentran disponibles en el mercado en forma de circuitos


integrados, dentro de los cuales existen varias puertas lógicas del mismo tipo. En este
apartado se explican las características principales de dichos circuitos integrados a nivel
eléctrico.

3.2.1 CARACTERÍSTICAS GENERALES DE LOS CIRCUITOS DIGITALES


INTEGRADOS

La información más importante que los fabricantes de este tipo de circuitos ofrecen en
sus hojas características es la siguiente:

3.2.1.1 Diagrama de conexiones

Establece la relación entre las distintas patillas del circuito integrado y las puertas lógicas
que hay en su interior, para permitir su correcta conexión al resto del circuito al cual
pertenece. Un ejemplo de diagrama de conexiones para un integrado de puertas NAND
(74LS00) se muestra en la siguiente figura:

3.2
Circuitos Digitales Combinacionales

Vcc

14 13 12 11 10 9 8

1 2 3 4 5 6 7

GND

3.2.1.2 Descripción funcional

Describe el funcionamiento de cada una de las puertas lógicas del circuito integrado.
Suele darse tanto la función lógica como la tabla de verdad. Para el caso de las puertas
NAND del apartado anterior, esta información se muestra en la siguiente figura:

Y AB

Inputs Output
A B Y
L L H
L H H
H L H
H H L

H = High Logic Level


L = Low Logic Level

La tabla anterior indica cómo se comporta la puerta en función de los niveles de tensión,
tanto a la entrada como a la salida. La identificación de cada uno de los niveles de
tensión con los estados “1” o “0” depende de si se trabaja con lógica positiva o negativa.
Trabajando con lógica positiva, un nivel de tensión alto H se representa por un “1”
lógico, y un nivel de tensión bajo L por un “0” lógico.

3.3
FUNDAMENTOS DE ELECTRÓNICA

3.2.1.3 Función o característica de transferencia

Establece la relación entre la tensión de entrada y la tensión de salida de la puerta. Su


estudio se simplifica si la puerta sólo tiene una entrada y una salida, como sucede con la
puerta NOT, cuya función de transferencia se estudia en este apartado.

Vcc Todos los integrados de puertas lógicas deben ser


alimentados con una tensión Vcc cuyo valor depende de
Vi Vo varios factores, entre ellos la tecnología de fabricación
de la puerta. En cualquier caso, la tensión de entrada Vi
nunca debe superar el valor de la alimentación Vcc,
siendo éste además el valor máximo de tensión que se
puede conseguir en la salida Vo.

La función de transferencia (gráfica que relaciona la tensión de salida Vo con la de


entrada Vi) de un inversor ideal sería la siguiente:

Vo

Vcc

Vi
Vcc/2 Vcc

Sin embargo, en la práctica, la función de transferencia real de una puerta NOT presenta
la siguiente forma:
Vo

Vcc
VOH

VOL
Vi
VILmáx VIHmin Vcc

Pueden observarse las siguientes diferencias entre la función de transferencia real y la


ideal:

3.4
Circuitos Digitales Combinacionales

1) La tensión de salida a nivel alto no es Vcc. La tensión a nivel alto que realmente
alcanza la salida es VOH. Interesa que este valor se aproxime todo lo posible a Vcc.

2) La tensión de salida a nivel bajo no es nula, sino algo mayor. El nivel de salida a nivel
bajo es VOL, e interesa que sea lo más pequeño posible.

3) La transición entre estados lógicos no es abrupta, sino gradual. Se hace necesario


determinar hasta qué valor se considera la entrada como un nivel alto o a nivel bajo,
quedando una “zona de incertidumbre” entre ambos límites. Los valores que caracterizan
esto son:
- VILmax es la tensión de entrada máxima considerada como un nivel bajo.
- VIHmin es la tensión de entrada mínima considerada como un nivel alto.

3.2.1.4 Característica de entrada-salida

Para poder trabajar con las puertas lógicas sin necesidad de conocer la constitución
interna de las mismas, el fabricante informa de los valores de tensión y corriente
consumidos a la entrada y a la salida de la misma, tanto a nivel alto como a nivel bajo.
Debe tenerse en cuenta que las corrientes se consideran positivas cuando son entrantes
hacia la puerta.

a) Características de entrada
- VILmax es la tensión de entrada a nivel bajo máxima. El fabricante ofrece el peor caso
para toda la serie, que es el valor más pequeño obtenido.
- VIHmin es la tensión de entrada a nivel alto mínima. El fabricante ofrece el peor caso
para toda la serie, que es el valor más grande obtenido.
- IILmax es la corriente máxima consumida a nivel bajo. Es saliente, y por tanto
negativa.
- IIHmax es la corriente máxima proporcionada a nivel alto. Es entrante, y por tanto
positiva.

b) Características de salida
- VOHmin es la tensión de salida a nivel alto mínima de toda la serie.
- VOLmax es la tensión de salida a nivel bajo máxima de toda la serie.
- IOHmax es la corriente máxima de salida a nivel alto. Normalmente es saliente, y por
tanto negativa.

3.5
FUNDAMENTOS DE ELECTRÓNICA

- IOLmax es la corriente máxima de salida a nivel bajo. Normalmente es entrante, y por


tanto positiva.

A la hora de conectar dos o más puertas entre sí es necesario tener en cuenta las
características de entrada-salida de las mismas para hacer un estudio de compatibilidad.
Las puertas deben ser compatibles tanto desde el punto de vista de las tensiones como del
de las corrientes.

1 2

Puesto que debe estudiarse la compatibilidad en el punto de unión de las dos puertas, de
la puerta 1 interesan sus características de salida, mientras que de la puerta 2 interesan las
características de entrada.

Desde el punto de vista de las tensiones, debe cumplirse:

VOHmin(1) t VIHmin(2)
VOLmax(1) d VILmax(2)

Y desde el punto de vista de corrientes, debe haber compatibilidad en sus sentidos y


módulos. Así, si en la puerta 1 la corriente de salida es saliente, en la puerta 2 la corriente
de entrada debe ser entrante y al contrario, si en la puerta 1 la corriente de salida es
entrante en la 2 la de entrada debe ser saliente. Además el módulo de la corriente de
salida de la puerta 1 debe ser mayor que el de la corriente de entrada de la 2 para que sea
capaz de actuar sobre ella.

I OH max (1) t I IH max ( 2)


I OL max (1) t I IL max (2)

Ejemplo 3.1. Estudiar la viabilidad de la conexión de la figura, sabiendo


que las tres puertas son iguales y sus características de entrada-salida son
las mostradas en la tabla.

2
1
3

3.6
Circuitos Digitales Combinacionales

VOHmin VOLmax VIHmin VILmax IIHmax IILmax IOHmax IOLmax


2,4V 0,4V 2V 0,8V 40PA -1,6mA -800PA 16mA

x Análisis de la compatibilidad desde el punto de vista de las tensiones:

VOHmin t VIHmin o 2,4 t 2 o Son compatibles


VOlmax d VILmax o 0,4 d 0,8 o Son compatibles

x Análisis de la compatibilidad desde el punto de vista de las corrientes:

- A nivel alto, la corriente de la puerta 1 es saliente y las de las puertas 2 y


3 entrantes, por lo que los sentidos son correctos. En cuanto a los
módulos, debe cumplirse:

|IOHmax| t 2.|IIHmax| o 800.10-6 t 2.40. 10-6 o Son compatibles

- A nivel bajo, la corriente de la puerta 1 es entrante y las de las puertas 2 y


3 salientes, por lo que los sentidos son correctos. En cuanto a los módulos,
debe cumplirse:

|IOLmax| t 2.|IILmax| o 16.10-3 t 2.1,6. 10-3 o Son compatibles

Por tanto, la conexión puede realizarse puesto que no hay problemas de


compatibilidad. Pero, ¿qué sucede si en lugar de atacar a dos puertas, la
puerta 1 atacara a un número mayor de puertas? Llegará un momento en
que la puerta 1 no pueda dar suficiente corriente para atacar a las demás,
dejando de cumplirse la compatibilidad desde el punto de vista de corrientes.
Para informar sobre este hecho, el fabricante da un parámetro conocido
como fan-out, que se estudia a continuación.

3.2.1.5 Fan-out

Indica el número de entradas de puertas estándar del mismo tipo a las que puede atacar
una sola salida de una puerta. El fan-out es distinto a nivel alto que a nivel bajo,
informando los fabricantes únicamente del peor caso, que es el fan-out menor.
I OL max
A nivel bajo: ( fan  out ) L
I iL max ( es tan dar )
I OH max
A nivel alto: ( fan  out ) H
I iH max ( es tan dar )

3.7
FUNDAMENTOS DE ELECTRÓNICA

3.2.1.6 Márgenes de ruido

Indican la cantidad de tensión (ruido en continua) que puede introducirse a la salida de


una puerta sin que afecte a la interpretación de sus niveles por parte de la puerta a la que
ataca. También es distinto a nivel alto que a nivel bajo. El valor ofrecido por los
fabricantes está en módulo, siendo realmente siempre el margen de ruido a nivel alto una
tensión negativa y a nivel bajo una tensión positiva.

Margen de ruido a nivel alto: NMH = |VOHmin - VIHmin|

Margen de ruido a nivel bajo: NML = |VILmax - VOLmax|

3.2.1.7 Características en conmutación

Se produce una conmutación cuando hay un cambio de estado (de “1” a “0” o viceversa)
en la salida de la puerta. Idealmente, cuando cambia la entrada debe cambiar la salida de
manera instantánea, pero en la práctica hay un tiempo de propagación, que se define
tanto a nivel alto como a nivel bajo.

El tiempo de propagación de nivel alto a bajo


Vi Vo
(tpHL) es el tiempo que transcurre desde que
conmuta la entrada hasta que la salida pasa de
nivel alto a bajo.
Vi

El tiempo de propagación de nivel bajo a alto


(tpLH) es el tiempo que transcurre desde que t
conmuta la entrada hasta que la salida pasa de Vo
nivel bajo a alto.

Generalmente los tiempos de propagación t

anteriores son distintos, informando los


fabricantes del tiempo más pequeño por ser el tpHL tpLH
más restrictivo.

3.8
Circuitos Digitales Combinacionales

3.2.2 TECNOLOGÍAS DE FABRICACIÓN

Las puertas lógicas (y circuitos integrados realizados a partir de la conjunción de puertas


lógicas) se pueden construir usando dos tecnologías de transistor diferentes: bipolar y
metal-óxido semiconductor. Así surgen las tecnologías TTL y CMOS (que utilizan
transistores bipolares y unipolares respectivamente), cuyas características más
importantes se resumen a continuación:

3.2.2.1 Familia TTL (Transistor-transistor logic)

Fabrica todo tipo de puertas lógicas, y otros circuitos integrados de mayor complejidad.
Tiene varias series con diferentes características según ha ido evolucionando. La primera
en crearse fue la que hoy se conoce como “TTL estándar” (54/74), cuyas características
principales son:

x Vcc entre 4,5V y 5,5V (típicamente 5V)


x Temperatura de trabajo entre 0 y 70qC (en la serie 54 - militar- el rango es aún
mayor).
x Fan-out = 10
x VIHmin = 2V, VOHmin = 2.4V, VILmax=0.8V y VOLmax=0.4V.
x NMH = NML = 0.4V
x Tiempos de propagación de 10nseg.

Las series desarrolladas posteriormente son las siguientes:

x Serie 54/74L. Presentan menor consumo pero mayores tiempos de propagación.


x Serie 54/74S. Presentan bajo consumo y bajos tiempos de propagación.
x Serie 54/74LS. Mejora las características respecto a la anterior.
x Serie 54/74F. Es la más rápida de todas, a costa de un consumo mayor.

3.2.2.2 Familia CMOS

Disipan menos potencia que las TTL y son más inmunes al ruido. Por contra, son
también más lentas. Su serie principal es la 4000, cuyas características fundamentales
son:

x Vcc entre 3 y 18 V.
x Temperatura entre -40qC y 85qC.
x Fan-out > 50
x Si Vcc=5V: VIHmin = 3,5V, VOHmin = 4,95V, VILmax=1.5V y VOLmax=0.05V.

3.9
FUNDAMENTOS DE ELECTRÓNICA

x Gran inmunidad al ruido.


x Tiempos de propagación grandes.

Ejemplo 3.2. Estudiar la compatibilidad entre las familias TTL y CMOS.

VOhmin VOLmax VIHmin VILmax IIHmax IILmax IOHmax IOLmax


CMO
4,9V 0,1V 4V 1V 1PA -1PA -20mA 20mA
S
TTL 2,4V 0,4V 2V 0,8V 40PA -1,6mA -800PA 16mA

1) Puerta TTL atacando a una puerta CMOS alimentada a 5V.

TTL CMOS

- Análisis de la compatibilidad desde el punto de vista de las tensiones:

VOHmin(TTL) t VIHmin(CMOS) o ¿2,4 t 4?o NO compatibles a nivel alto.

VOlmax(TTL) d VILmax(CMOS) o 0,4 d 1 o Son compatibles

Al no ser compatibles a nivel de tensiones se puede afirmar que la conexión


directa de una puerta TTL a una CMOS no es correcta (sin necesidad de
hacer el estudio a nivel de corrientes), puesto que ésta última siempre
interpretará un nivel bajo a su entrada. La conexión debe realizarse a través
de circuitos de adaptación disponibles en el mercado.

2) Puerta CMOS alimentada a 5 V atacando a una puerta TTL.

CMOS TTL

- Análisis de la compatibilidad desde el punto de vista de las tensiones:

VOHmin(CMOS) t VIHmin(TTL) o 4,9 t 2o Son compatibles a nivel alto.

VOlmax(CMOS) d VILmax(TTL) o 0,1 d 0,8o Son compatibles a nivel bajo.

- Análisis de la compatibilidad desde el punto de vista de las corrientes:

3.10
Circuitos Digitales Combinacionales

A nivel alto, la corriente de la puerta CMOS es saliente y la de la TTL


entrante, por lo que los sentidos son correctos. En cuanto a los módulos,
debe cumplirse:

|IOHmax(CMOS)| t |IIHmax(TTL)| o 20.10-3 t 40. 10-6 o Son compatibles

A nivel bajo, la corriente de la puerta CMOS es entrante y la de la TTL


saliente, por lo que los sentidos son correctos. En cuanto a los módulos, debe
cumplirse:

|IOLmax(CMOS)| t |IILmax(TTL)| o 20.10-3 t 1,6. 10-3 o Son compatibles

Por tanto, la conexión directa de una puerta CMOS a una TTL sí que es
correcta puesto que existe compatibilidad desde el punto de vista de
tensiones y corrientes.

3.3 CIRCUITOS COMBINACIONALES MSI

El avance en las técnicas de diseño e integración de circuitos, permite desde hace tiempo
la inserción de circuitos combinacionales complejos en una sola pastilla, reduciendo de
este modo el tiempo de diseño al disminuir el número de componentes necesarios además
de aumentar la inmunidad al ruido debido al menor numero de interconexiones externas.
A continuación se presentan algunos de los circuitos combinacionales más
representativos.

3.3.1 Decodificadores

Un decodificador es un circuito combinacional que ante un código binario de entrada


selecciona las salidas que se activarán.

Se puede hacer una clasificación de los decodificadores en:

1) No excitadores (llamados simplemente decodificadores) -> ante un código a la entrada


se activa una única salida

2) Excitadores (denominados drivers) -> ante un código a la entrada se pueden activar


varias salidas simultáneamente.

3.11
FUNDAMENTOS DE ELECTRÓNICA

3.3.1.1. Decodificador no excitador

A) DEFINICIÓN. El decodificador (no excitador) es un circuito digital que tiene como


entrada una información codificada en binario y tantas salidas como posibles
combinaciones tenga el código de entrada, de forma que se activará en cada momento
una sola de las salidas, la correspondiente al código de entrada. Por tanto en general un
decodificador de ‘n’ entradas tendrá ‘N = 2n ’ salidas.
La clasificación más empleada para distinguir los decodificadores es en función del
número de entradas y salidas que tenga, y por ello se nombran como decodificadores de n
a 2n.

B) DESCRIPCIÓN. El patillaje típico de un decodificador se muestra a continuación.

S0
E0
S1
E1
n entradas
... N salidas
... ...
... ...
... ...
... ...
... ...
En-1
...
S N-1

Así el funcionamiento del decodificador consistiría en activar la salida correspondiente al


código de entrada introducido.

C) TABLA DE VERDAD. Seguidamente, se van a definir las tablas de verdad de varios


decodificadores típicos, a partir de las cuales se pueden generalizar las de cualquier otro
tipo.

c.1 ) Decodificador de ‘2 a 4’

El decodificador ‘2 a 4’ tiene como entrada un código binario de 2 bits y 4 salidas


activándose en cada momento una sola de ellas, la correspondiente al código de entrada.
Además suele incorporar una entrada de “inhibición” que cuando es activada deshabilita
todas las salidas colocándolas a un nivel determinado (su nivel inactivo). La tabla de
verdad del circuito descrito es la siguiente.

I E1 E0 S0 S1 S2 S3
S0 I ˜ E1 ˜ E 0
0 0 0 1 0 0 0
0 0 1 0 1 0 0 S1 I ˜ E1 ˜ E 0
0 1 0 0 0 1 0 S2 I ˜ E1 ˜ E 0
0 1 1 0 0 0 1
S3 I ˜ E1 ˜ E 0
1 X X 0 0 0 0

3.12
Circuitos Digitales Combinacionales

Por tanto el circuito lógico que responde a su implementación interna así como el
símbolo que lo representa son los siguientes:
I
S0

E1
S1 E0 S0
DECODIFICADOR
E1 S1
E0
S2
S2 2a4
I S3

S3

En el circuito descrito, cada salida proporciona un nivel ‘1’ cuando a la entrada del
decodificador aparece el código correspondiente a esa salida, quedando el resto inactivas
(‘0’). Si se sustituyen las puertas AND de salida por puertas NAND, se obtiene la misma
función lógica pero con las salidas invertidas, es decir, la salida correspondiente
proporcionará un nivel lógico ‘0’ cuando aparezca a la entrada su código, permaneciendo
el resto de salidas inactivas con un “1”.

Según esta filosofía podemos distinguir dos tipos de niveles de activación lógica en toda
señal de un sistema digital (salida o entrada), y que se representan en los circuitos con
una distinta simbología:

x Se habla de señales con nivel de activación a nivel alto cuando la señal, al ser
activada, proporciona un ‘1’. En este caso las señales se muestran en el diagrama de
bloques correspondiente tal y como aparece en la figura anterior.

x Se habla de señales con nivel de activación a nivel bajo cuando la señal, al ser
activada, proporciona un ‘0’ (activa a nivel bajo). En este caso la señal aparece en el
símbolo del circuito con un círculo indicando su activación a nivel bajo.

La siguiente tabla de verdad muestra la funcionalidad de un decodificador ‘2 a 4’ con las


salidas y la entrada de inhibición activas a nivel bajo, así como el símbolo que lo
representa.

I E1 E0 S0 S1 S2 S3
1 0 0 0 1 1 1 E0 DECODIFICADOR S0
1 0 1 1 0 1 1 E1 2a4 S1
1 1 0 1 1 0 1 con salidas y S2
1 1 1 1 1 1 0 inhibición
I S3
negadas
0 X X 1 1 1 1

3.13
FUNDAMENTOS DE ELECTRÓNICA

En algunos casos, en lugar de aparecer la señal de inhibición aparece la de habilitación


(E). La función de esta línea es inversa a la de la señal I, de forma que las salidas se
habilitarán cuando la señal E esté activa. Como esta señal también puede aparecer activa
a nivel alto o bajo el abanico final de posibilidades es el que se muestra en la siguiente
tabla.

Señal Nivel que produce deshabilitación de salidas


I (inhibición)
1
Activa a nivel alto
I (inhibición)
0
Activa a nivel bajo
E (habilitación)
0
Activa a nivel alto
E (habilitación)
1
Activa a nivel bajo

c.2) Decodificador de ‘3 a 8’

De la misma forma existen decodificadores de 3 entradas y 23=8 salidas. La tabla de


verdad del decodificador ‘3 a 8’ con salidas en activas a nivel alto se muestra a
continuación junto con el símbolo que lo representa.

I E2 E1 E0 S0 S1 S2 S3 S4 S5 S6 S7
0 0 0 0 1 0 0 0 0 0 0 0
0 0 0 1 0 1 0 0 0 0 0 0
0 0 1 0 0 0 1 0 0 0 0 0
0 0 1 1 0 0 0 1 0 0 0 0
0 1 0 0 0 0 0 0 1 0 0 0
0 1 0 1 0 0 0 0 0 1 0 0
0 1 1 0 0 0 0 0 0 0 1 0
0 1 1 1 0 0 0 0 0 0 0 1
1 X X X 0 0 0 0 0 0 0 0

E0 S0
E1 S1
E2 S2
S3
DECODIFICADOR
S4
S5
3a8 S6
I
S7

3.14
Circuitos Digitales Combinacionales

c.3) Decodificador decimal

Se trata de un decodificador de código BCD de 4 bits de entrada, de forma que sólo


cuenta con 10 salidas, ya que es el número máximo de combinaciones que se pueden
representar con un código BCD de 4 bits.

Al igual que se ha comentado ya para los decodificadores de código binario, se pueden


encontrar en el mercado decodificadores decimales con señales activas a nivel alto o a
nivel bajo y con o sin entrada de habilitación o inhibición.

En la siguiente figura se muestran la tabla de verdad y el símbolo de un decodificador


decimal con salidas activas a nivel bajo y entrada de inhibición.

E0 S0
E1 S1
E2 S2
E3 S3
DECODIFICADOR S4
S5
DECIMAL S6
I
S7
S8
S9

I E3 E2 E1 E0 S0 S1 S2 S3 S4 S5 S6 S7 S8 S9
0 0 0 0 0 0 1 1 1 1 1 1 1 1 1
0 0 0 0 1 1 0 1 1 1 1 1 1 1 1
0 0 0 1 0 1 1 0 1 1 1 1 1 1 1
0 0 0 1 1 1 1 1 0 1 1 1 1 1 1
0 0 1 0 0 1 1 1 1 0 1 1 1 1 1
0 0 1 0 1 1 1 1 1 1 0 1 1 1 1
0 0 1 1 0 1 1 1 1 1 1 0 1 1 1
0 0 1 1 1 1 1 1 1 1 1 1 0 1 1
0 1 0 0 0 1 1 1 1 1 1 1 1 0 1
0 1 0 0 1 1 1 1 1 1 1 1 1 1 0
1 X X X X 1 1 1 1 1 1 1 1 1 1

3.15
FUNDAMENTOS DE ELECTRÓNICA

D) EXTENSIÓN DE DECODIFICADORES

Consiste en construir decodificadores de mayor número de salidas a partir de


decodificadores con un número de salidas menor.

Ejemplo 3.3. En este caso se trata de realizar un decodificador con 16


salidas activas a nivel bajo (W0’,W1’ .... W15’) a partir de decodificadores
de menor tamaño, es decir:

E
E _ W0 _
_ _ W0'
W1 _
_ W1'
W2 _
Construir .... a partir de
.... W3 _
..
E3'E2'E1'E0' _
.. W15'

E1 E0

Nota.- “E” es la señal de habilitación (en este caso activa a nivel bajo).

Solución:

E
_ W0 _ W0'
1
W1 _ W1'
W2 _ W2'
W3 _ W3'

E 1 E1' E0'
_ W0 _
1 E
W1 _ _ W0 _ W4'
1 1
W2 _ W1 _ W5'
0
W3 _ W2 _ W6'
W3 _ W7'
E2' E3'
E1' E0'
E
_ W0 _ W8'
1
W1 _ W9'
W2 _ W10'
W3 _ W11'

E1' E0'
E
_ W0 _ W12'
0
Ejemplo: W1 _ W13'
E3' E2' E1' E0' W2 _ W14'
1 1 0 1 W3 _ W15'

código entrada 13 -> Se activará: W13'


E1' E0'

3.16
Circuitos Digitales Combinacionales

E) APLICACIONES

e.1) Discriminador de código

Se trata de diferenciar a la salida los diferentes códigos que se introducen a la entrada. En


el siguiente ejemplo, los diodos al conducir emiten luz, permitiendo discriminar los
códigos de entrada. Así, por ejemplo, si se introduce el código de entrada E3 E2 E1 E0 =
0 0 0 0, se encendería el diodo conectado a la salida número cero (de nombre W0). Cabe
destacar la existencia de buffers que aumentan la corriente a los niveles requeridos por el
diodo.
W0
3 2
. .
. .
. .
. .
E3 E2 E1 E0
. 3 2
.
W15

e.2) Generador de funciones lógicas

Supóngase que se dispone de un decodificador 2 a 4 (con salidas no negadas) en el que se


introducen en sus variables de selección E1 y E0, unas variables denominadas A y B.
Entonces sus salidas quedarían definidas por:

S0 E1˜ E0 A ˜ B
S1 E1˜ E0 A ˜ B
S2 E1˜ E0 A ˜ B
S3 E1˜ E0 A ˜ B

Supongamos que, además, se desea implementar la función (expresada en minterms):

F(A, B) A˜B A˜B

Observando que los minterms 0 y 3 de la función se corresponden con las salidas S0 y S3


de la función, se podría sintetizar F mediante el decodificador del modo:

S0
S1 2 A.B+A.B
1
S2 3

S3

E1 E0

A B
Si el decodificador 2 a 4 tuviera las salidas negadas:

3.17
FUNDAMENTOS DE ELECTRÓNICA

S0 E1˜ E0 A ˜ B
S1 E1˜ E0 A ˜ B
S2 E1˜ E0 A ˜ B
S3 E1˜ E0 A ˜ B

F(A, B) A˜B A˜B

Por el teorema de De Morgan:


F A˜ B  A˜ B A˜ B ˜ A˜ B
F S0  S3 S0 ˜ S3

S0 _

S1 _ 2 F
1
S2 _ 3

S3 _

E1 E0

En resumen los pasos para generar una función lógica a partir de un decodificador son:

1º Expresar la función en minterms.

2º Si la función tiene “n” variables, el decodificador tendrá “n” entradas de selección.

3º Asignar a las entradas de selección del decodificador las entradas (variables) de la


función.

4º Identificar las salidas del decodificador, con los minterms (términos) que se activan.

5º Si el decodificador tiene salidas negadas, unir los términos del paso 4º con una puerta
NAND. Si las salidas no son negadas unirlos con una OR.

Ejemplo 3.4. Realizar mediante el decodificador que estime conveniente la


función: F(A, B, C) A˜B˜C  A˜B˜C  A˜B˜C  A˜B  B˜C

3.18
Circuitos Digitales Combinacionales

Paso 1:
F A˜ B ˜C  A˜ B ˜C  A˜ B ˜C  A˜ B ˜C  A˜ B ˜C  A˜ B ˜C  A˜ B ˜C

Paso 2: El decodificador tendrá 3 entradas de selección

Paso 3: E2 = A, E1 = B; E0 = C

Paso 4: F ¦ (6,1,0,7,5)

Paso 5:
S0
S1
S2
S3
S4 F
S5
S6
S7
E2 E1 E0

A B C

3.3.1.2. Decodificador excitador

Es un circuito combinacional que ante un determinado código en sus entradas de


selección, elige las salidas que se activarán. Normalmente en vez de decodificador
excitador se le denomina driver.

Estos circuitos se utilizan fundamentalmente en los sistemas de visualización, donde se


encargan de facilitar los niveles de tensión e intensidad adecuadas para la representación
de la información alfa-numérica en los indicadores luminosos de los citados sistemas:

Circuito (1) (2)

combinacional Driver

Sistema visualización

(1) Señal de salida del circuito combinacional que tiene el código del número o letra a
visualizar (por ejemplo 1001 para representar el número 9 en el visualizador).

(2) Salidas que proporciona el driver para activar los indicadores luminosos del sistema
de visualización de forma que se represente adecuadamente la información.

3.19
FUNDAMENTOS DE ELECTRÓNICA

Como ejemplo de decodificador excitador (driver) y sistema de visualización, se va a


analizar el decodificador BCD “7 segmentos” y un display formado por 7 diodos led
(nombrados internamente como diodo a, b, c, d, e, f, g), que al estar correctamente
polarizados emiten luz pudiendo representar los siguientes datos:
Número decimal a visualizar Representación del número en el display

Así, por ejemplo, se observa cómo para representar el número 8 (dato 1000 a la entrada
del driver) han de estar todos los indicadores luminosos (diodos a, b, c, d, e, f, g)
encendidos (tal y como se muestra en la figura de debajo), debiendo encargarse el driver
de producir a su salida los niveles adecuados para que todos los diodos del display “se
enciendan”.

f b
g

e c

A) DECODIFICADOR BCD a “7 SEGMENTOS”

Este circuito integrado, como ya se ha comentado en el punto anterior, permite obtener a


partir de un código BCD de 4 bits el código necesario para excitar a un display 7
segmentos, es decir genera las señales necesarias para excitar a cada uno de los
segmentos del display a fin de representar el código BCD que tiene como entrada.

3.20
Circuitos Digitales Combinacionales

En la siguiente tabla de verdad se puede observar el funcionamiento básico de uno de


estos dispositivos, el 7447, que tiene señales de salida activas a nivel bajo de forma que,
cuando una salida está a ‘0’ se deberá encender el led correspondiente.

BCD A B C D a b c d e f g
0 0 0 0 0 0 0 0 0 0 0 1
1 0 0 0 1 1 0 0 1 1 1 1
2 0 0 1 0 0 0 1 0 0 1 0
3 0 0 1 1 0 0 0 0 1 1 0
4 0 1 0 0 1 0 0 1 1 0 0
5 0 1 0 1 0 1 0 0 1 0 0
6 0 1 1 0 0 1 0 0 0 0 0
7 0 1 1 1 0 0 0 1 1 1 1
8 1 0 0 0 0 0 0 0 0 0 0
9 1 0 0 1 0 0 0 1 1 0 0

Así, por ejemplo, cuando el driver recibe el dato 0 0 0 1 en sus entradas, activaría los
diodos en las posiciones b y c, representando el número uno de la forma:

También existen drivers con salidas activas a nivel alto, de manera que, en este caso,
cuando la salida esté a ‘1’, se activaría el led correspondiente.

B) DISPLAY DE 7 SEGMENTOS

Un display 7 segmentos es un elemento visualizador formado por 7 elementos luminosos


(nombrados de la a a la g), normalmente diodos led, aunque también pueden ser
filamentos incandescentes o de otros tipos. Mediante estos diodos led se puede
representar en el display una cifra decimal. Existen dos tipos de displays 7 segmentos en
función de la conexión interna de los diodos leds, veamos:
f e dg a c b f e dg a c b

anodo catodo

Display Ánodo Común Display Cátodo Común

3.21
FUNDAMENTOS DE ELECTRÓNICA

x En un display de ánodo común las señales se introducen por los cátodos de los
diodos led, por lo que deberán excitarse con ‘0’, mientras que el otro terminal de los 8
diodos se une y se lleva a un punto común, el ánodo común. Para que el display funcione
correctamente (los diodos leds se puedan polarizar en directo) será necesario llevar ese
punto a Vcc (la fuente de alimentación del circuito).

La forma de conectar un display ánodo común al driver se muestra en la figura siguiente,


donde se indica cómo los leds lucirán (conducen) cuando el driver tenga a su salida (Vo)
un nivel bajo. Cabe destacar que existirá una red de resistencias entre el driver y el
display que limiten la intensidad por los diodos a los niveles indicados por el fabricante.

VCC

CIRCUITO CON RESISTENCIAS

Vol - conduce
_ _ _ _ _ _ -
DRIVER Voh - no conduce

x En un display de cátodo común, por el contrario, las señales se introducen por los
ánodos de los diodos led, por lo que deberán excitarse con ‘1’ y el otro terminal de los 8
diodos se une y se lleva en este caso al punto llamado cátodo común. Para que el display
funcione correctamente ahora será necesario llevar ese punto a la masa del circuito.

La forma de conectar un display cátodo común al driver se muestra seguidamente, donde


se indica como los leds lucirán (conducen) cuando el driver tenga a su salida (Vo) un
nivel alto.

DRIVER
Vol - no conduce

Voh - conduce
CIRCUITO CON RESISTENCIAS

3.22
Circuitos Digitales Combinacionales

C) CONEXIÓN DEL DRIVER AL DISPLAY DE 7 SEGMENTOS

Como resumen de los puntos anteriores, se podría decir que existen en el mercado
decodificadores BCD-7 segmentos con salidas activas a nivel bajo o a nivel alto. Los
primeros se conectarán por medio de una red de resistencias a un display ánodo común y
los segundos a un display cátodo común.

Dependiendo del tipo de display (ánodo o cátodo común) el circuito con la red de
resistencias será de distinta forma:

c.1 ) Red de resistencias para un display ánodo común:

Red R's
Vcc
Ánodo común
DISPLAY
_ 4

a b c d e f g
_ 5
DRIVER 9
. 7
(decodificador BCD
.. 6
.. 2
..
a 7 segmentos)
._ 1
10

Cada resistencia se halla del siguiente modo:

Vcc  Vf  Vol max


If
R
siendo:

If-> intensidad a circular por cada diodo led


Vf -> tensión umbral del diodo cuando conduce
Volmax -> Tensión máxima a nivel bajo en una salida del driver

Así, por ejemplo, el driver comercial 74LS47 (de salidas activas a nivel bajo) se
conectaría a un display ánodo común de la forma:
VCC

A
B 1 A
C 2
4
B
C
D 8
BI/RBO
D
E
RBI F
LT G
74LS47
VCC

3.23
FUNDAMENTOS DE ELECTRÓNICA

c.2) Red de resistencias para un display cátodo común:

Red R's

VCC
VCC

VCC Cátodo común


DISPLAY
4

a b c d e f g
DRIVER 5
9
(decodificador BCD .... 7
.... 6
a 7 segmentos) .... 2
.... 1

10
Cada resistencia se calcula del siguiente modo:

Vcc  Vf
If
R
siendo:

If-> intensidad a circular por cada diodo led


Vf -> tensión umbral del diodo cuando conduce

3.3.2 Codificadores

A) DEFINICIÓN

Los circuitos codificadores realizan la función inversa a la de los decodificadores vistos


anteriormente. Son, por tanto, circuitos combinacionales con N entradas y ‘n’ salidas en
las que aparecerá el código binario correspondiente a la entrada que esté activada en cada
instante. La nomenclatura empleada para referirnos a éstos coincide con la empleada con
los decodificadores.

E0
S0
E1
N entradas ... S1 n salidas
...
... . ..
. .. . ..
... S n-1
...
...
E
N-1

3.24
Circuitos Digitales Combinacionales

B) DESCRIPCIÓN

En la siguiente figura se muestra el patillaje de un codificador con 4 entradas (activas a


nivel bajo) y 2 salidas.
I

E3 CODIFICADOR
S1
E2 4a2
E1 sin S0
E0 prioridad

Existe una relación unívoca entre el número de entrada activada y el código representado
a la salida excepto en el caso de activarse la entrada ‘E0’, situación (código a la salida)
que suele coincidir con la de que no haya ninguna entrada activada. Por otro lado todos
los codificadores más comunes del mercado suelen tener entradas activas a nivel bajo.

C) TABLA DE VERDAD

Los codificadores se pueden clasificar en dos tipos fundamentales en función de su


comportamiento ante la activación simultánea de varias de sus entradas:

x Codificadores sin prioridad, que ante la activación de varias entradas a la vez obtiene
una combinación sin sentido como código de salida. Por tanto, no se deberían activar
varias entradas simultáneamente.

Un ejemplo de codificador sin prioridad (dotado, además, de señal de inhibición) podría


ser el que se muestra a continuación, donde se observa la tabla de verdad a la que
responde así como su símbolo equivalente. Se observa que sus entradas son activas a
nivel bajo.
I

E7
E6
E5 S2
E4 CODIFICADOR
E3 8a3 S1
E2 sin
E1 prioridad S0
E0

3.25
FUNDAMENTOS DE ELECTRÓNICA

I E0 E1 E2 E3 E4 E5 E6 E7 S2 S1 S0
1 X X X X X X X X 0 0 0
0 1 1 1 1 1 1 1 1 0 0 0
0 1 1 1 1 1 1 1 0 1 1 1
0 1 1 1 1 1 1 0 1 1 1 0
0 1 1 1 1 1 0 1 1 1 0 1
0 1 1 1 1 0 1 1 1 1 0 0
0 1 1 1 0 1 1 1 1 0 1 1
0 1 1 0 1 1 1 1 1 0 1 0
0 1 0 1 1 1 1 1 1 0 0 1
0 0 1 1 1 1 1 1 1 0 0 0
Suma lógica de los códigos
0 Varias entradas activas (a “0”) activados independientemente

Este circuito tiene varios problemas. Así, no se puede saber si una salida en S2, S1 y S0
de 0 0 0, se debe a que:

- La entrada de inhibición está activada.


- Se activó únicamente la entrada E0.
- No se activó ninguna entrada.

Por otro lado, si se activan simultáneamente la entrada E1 y la E4 la salida sería:

001 OR 100 = 101 (código sin sentido).

Todos estos problemas e indefiniciones, se eliminan en el codificador con prioridad,


donde no hay dos códigos de salidas iguales y ante varias entradas activadas es prioritaria
la mayor de ellas.

x Codificadores con prioridad, que ante la activación simultánea de varias entradas


proporciona a la salida el código de la mayor de ellas.

Como ejemplo de codificador con prioridad y salidas y entradas activas a nivel bajo se
puede encontrar en el mercado el 74148, cuyo símbolo y tabla de verdad se representa a
continuación.

3.26
Circuitos Digitales Combinacionales

E7
E6
E5 S2
E4 CODIFICADOR
E3 8a3 S1
E2 con
E1 S0
prioridad
E0

P0 P1 I

I E0 E1 E2 E3 E4 E5 E6 E7 S2 S1 S0 P1 P0
1 X X X X X X X X 1 1 1 1 1
0 1 1 1 1 1 1 1 1 1 1 1 1 0
0 X X X X X X X 0 0 0 0 0 1
0 X X X X X X 0 1 0 0 1 0 1
0 X X X X X 0 1 1 0 1 0 0 1
0 X X X X 0 1 1 1 0 1 1 0 1
0 X X X 0 1 1 1 1 1 0 0 0 1
0 X X 0 1 1 1 1 1 1 0 1 0 1
0 X 0 1 1 1 1 1 1 1 1 0 0 1
0 0 1 1 1 1 1 1 1 1 1 1 0 1

Este codificador cuenta con una entrada de inhibición activa a nivel alto, así como con
dos salidas P1 y P0:

x P1 se pone a ‘0’ cuando hay alguna entrada activa.


x P0 se pone a ‘0’ cuando no hay ninguna entrada activa pero el codificador está
habilitado.

Al igual que sucedía con los decodificadores es posible construir codificadores de mayor
número de bits colocando dos o más codificadores con prioridad como los vistos,
utilizando adecuadamente las salidas de prioridad.

3.27
FUNDAMENTOS DE ELECTRÓNICA

3.3.3 Multiplexores

A) DEFINICIÓN

Es un dispositivo de “N” canales de entrada de datos, “n” señales de selección y un canal


de salida. El código en las entradas de selección determina qué canal de entrada se
transfiere a la salida.

Según sean el tipo de señales en los canales de entrada se pueden distinguir dos grupos
de multiplexores, si bien en ambos casos las entradas de selección son de tipo digital:

x Analógicos si las señales de entrada son de tipo analógico.


x Digitales si solo admite señales digitales en los canales de entrada.

En este tema nos vamos a centrar en los multiplexores digitales.

B) DESCRIPCIÓN
Canal 0

Canal 1
.. W
..
..
Canal N-1

...
S0 S1 S n-1
n

Así, por ejemplo, si el código en las entradas de selección (Sn-1.....S1,S0) es:

- 0....00 (código 0 en decimal) -> se transfiere el canal 0 a la salida


- 0....01 (código 1 en decimal) -> se transfiere el canal 1 a la salida
- 0....10 (código 2 en decimal) -> se transfiere el canal 2 a la salida

También suelen tener una o varias patillas de activación: Enable (habilitación = ON-
OFF), que deben estar al nivel adecuado para que el circuito desempeñe su función. Una
patilla de Enable activa a nivel bajo se podría simbolizar de cualquiera de las siguientes
formas:
<---> <--->

E E E

3.28
Circuitos Digitales Combinacionales

Dos ejemplos de multiplexores se muestran a continuación:

Cuádruple multiplexor de 4 canales Doble mul. de 6 canales

0
Canal 0 de 4 bits
1

Canal 1 2
W
W 3
Canal 2 4

5
Canal 3

S1 S0
S1 S0

(Mul. de 4 canales y cada uno de ellos tiene 4 entradas)

La forma de nombrar el multiplexor es: una primera palabra que indica el número de bits
por canal (si es uno, no se suele poner nada) + multiplexor + número de canales.

C) TABLA DE VERDAD

La tabla de verdad del (mono)-multiplexor de 4 canales de la figura es la siguiente:

Multiplexor de 4 canales
E S1 S0 I0 I1 I2 I3 W
0 X X X X X X 0 I0 E
1 0 0 I0 X X X I0 I1
W
1 0 1 X I1 X X I1 I2
1 1 0 X X I2 X I2 I3
1 1 1 X X X I3 I3
S1 S0

La salida W de la función en minterms responde a la siguiente función lógica:

W E ˜ S1˜ S0 ˜ IO  E ˜ S1˜ S0 ˜ I1  E ˜ S1˜ S0 ˜ I2  E ˜ S1˜ S0 ˜ I3

Como ejemplo se comprobará que, cuando el código en las entradas de selección es el 0


(E = 1, S0 = 0, S1 = 0) , se selecciona el canal 0:

W = 1·1·1·I0 + 1·1·0·I1 + 1·0·1·I2 + 1·0·0·I3 = I0

El multiplexor construido mediante puertas lógicas es el siguiente:

3.29
FUNDAMENTOS DE ELECTRÓNICA

Multiplexor internamente
E
S1

S0
I0

I1
I2 .....
. ... .
I3 .....
.....
.....
. ... .

Ejemplo 3.5. Escribir la tabla de verdad de un (mono) multiplexor de 2


canales (con entrada de inhibición I activa a nivel alto). Obtener su
implementación en base a funciones lógicas.

Solución:

Este circuito consta de dos entradas de información (EO y E1), una salida
(se llamará S) y una sola entrada de selección (se llamará C). La tabla de
verdad así como el símbolo de este circuito son los siguientes.

I
I C E1 E0 S
1 X X X 0 E0
0 0 X 0 0 MULTIPLEX S
E1 OR DE
0 0 X 1 1 2 CANALES
0 1 0 X 0
0 1 1 X 1 C

De la tabla de verdad se puede obtener la función lógica que lo caracteriza y


el circuito lógico que lo implementa:

I
E1
S S I ˜ C ˜ E 0  I ˜ C ˜ E1
E0
C

3.30
Circuitos Digitales Combinacionales

En la práctica estos multiplexores de dos canales se encuentran integrados


(de cuatro en cuatro) en un solo chip, el 74157 con las entradas de
inhibición y de selección de canal comunes a todos ellos, formando lo que se
conoce como cuádruple multiplexor de 2 canales.

I1-4
I1-3
I1-2 S3
I1-1 CUÁDRUPLE
MULTIPLEXOR S2
2 CANALES S1
I0-4
I0-3 S0
I0-2
I0-1

C I

D) EXTENSIÓN DE MULTIPLEXORES

El objetivo que se pretende al hacer una extensión es construir multiplexores “más


grandes” a partir de multiplexores “más pequeños”.

d.1) Extensión del número de bits por canal.

En este tipo de extensión, el objetivo es ampliar el número de líneas de cada canal del
multiplexor, dejando el número de canales inalterado.

Para ello, deben conectarse el número de multiplexores que sean necesarios con la señal
de selección común a todos ellos, tal y como se muestra en el siguiente ejemplo:

Ejemplo 3.6. A partir de un mono multiplexor de dos canales, construir un


cuádruple multiplexor de dos canales, es decir:

E
E A0
A1
I0 A2 A W0
W A3 W1
A partir de I1 Construir W W2
B0 W3
B1
B2 B
B3
S0

S0'

Solución: Se necesitan 4 multiplexores conectados del siguiente modo:

3.31
FUNDAMENTOS DE ELECTRÓNICA

A0 I0
W W0
B0 I1
S0

A1 I0 Si ponemos “S0” a 0: la salida es W=A


W W1 Si ponemos “S0” a 1: la salida es W=B
B1 I1
S0

A2 I0
W W2
B2 I1
S0

A3 I0
W W3
B3 I1
S0

S0'

d.2) Ampliación del número de canales

En este caso, se trata de añadir más canales al multiplexor, tal y como se muestra en el
siguiente ejemplo.

Ejemplo 3.7. En este caso se trata de construir un (mono) multiplexor de 4


canales a partir de (mono) multiplexores de 2 canales. En detalle, se pide:

A
I0
W B
Construir W'
A partir de I1 C
D S0' S1'

S0
Bit menor peso
Solución:
A I0 A
W Ejemplo:
B I1 B
S0
I0
W W' B - Con “S0” valiendo “1”
I1
S0
C I0 D - Con “S1” valiendo “0”
W
D I1 C S1'
S0 - Solución = B
S0'

3.32
Circuitos Digitales Combinacionales

Ejemplo 3.8. A partir de un (mono) multiplexor de dos canales, obtener un


(mono) multiplexor de ocho canales, es decir:

I0 I0'
I1 I1'
I2 I2'
I0
W Construir I3 I3'
A partir de I1 W'
I4 I4'
I5 I5'
S0 I6 I6'
I7 I7'

Solución:
S2'S1'S0'

I0' I0
W
I1' I1
S0
S0'
I0
I2' I0 W
W I1
I3' I1 S0
S0
I0
W
I1
S0
I4' I0
W I0
I5' I1 W
S0 I1 S2'
S0

I6' I0
W S1'
I7' I1
S0

d.3) Ampliación simultánea del número de canales y bits por canal

Combinando los dos tipos de ampliación anteriores, pueden obtenerse extensiones


simultaneas del número de canales y del número de bits por canal.

Ejercicio para el lector:

I0 B
W W
A partir de I1 Construir C

D
S0

S1 S0

3.33
FUNDAMENTOS DE ELECTRÓNICA

E) OBTENCIÓN DE MULTIPLEXORES A PARTIR DE DECODIFICADORES Y


PUERTAS LOGICAS

Como ejemplo se va a construir un multiplexores de 8 canales. El diagrama interno y


símbolo de este circuito en base a un decodificador 3 a 8 es el siguiente:

E7

E6

E5
S
E4

E3

E2 E7
E6
E1 E5
E4 MULTIPLEXOR
E0 E3 S
E2 8 CANALES
E1
C2 E0
C1
C0

DECODIFICADOR
I
3A8 I C2 C1 C0

Con circuitos como el anterior o el multiplexor de 16 canales se pueden construir


multiplexores de más canales empleando cierta circuitería adicional tal y como se
muestra a continuación.
C4 C3 C2 C1 C0

E0 E1 E2 E3 E12 E13 E14 E15 E16 E17 E18 E19 E28 E29 E30 E31
... ...
B
A

E15
E14
E13
E12
E11
E10

B
A

E15
E14
E13
E12
E11
E10
G

G
E9
E8
E7
E6
E5
E4
E3
E2
E1
E0

E9
E8
E7
E6
E5
E4
E3
E2
E1
E0
D
C

D
C
W

74150 74150

F) APLICACIONES
S

3.34
Circuitos Digitales Combinacionales

f.1) Selección del canal de entrada que pasa a la salida:

Ejemplo 3.9. En un plató de televisión tenemos 4 señales que vienen de 4


cámaras. Realizar circuito que seleccione qué señal de cámara va al
monitor.

Solución:

Cámara 1

Cámara 2
Multiplexor Monitor
Cámara 3

Cámara 4 S0 S1

S0 S1
f.2) Generación de funciones lógicas

Los pasos para implementar una función lógica cualquiera mediante multiplexores son
los siguientes:

1º Se pondrá la función en minterms.


2º Si la función tiene n variables, el multiplexor habrá de disponer de n-1 entradas de
selección.
3º Se asignan a las entradas de selección variables de la función.
4º Se expresa analíticamente la función del multiplexor (relación entre variables de salida
y de entrada) y comparándola con la expresión obtenida en el paso 1º, se dan a las
entradas de datos valores de 0, 1 o x (siendo x la variable de la función no asignada).
5º Se ponen las entradas de datos del multiplexor al nivel hallado en el paso anterior.

Ejemplo 3.10. Construir la función F dada a partir de un multiplexor.

F(A, B, C) A ˜ B ˜ C  A ˜ B ˜ C  A ˜ B ˜ C  A ˜ B  B ˜ C.

Solución:

1º. F(A, B, C) A ˜ B ˜ C  A ˜ B ˜ C  A ˜ B ˜ C  A ˜ B ˜ C  A ˜ B ˜ C.

3.35
FUNDAMENTOS DE ELECTRÓNICA

2º. El multiplexor dispondrá de dos entradas de selección:

I0
I1
I2
I3

S1 S0

3º. S1 = A
S0 = B
F A ˜ B ˜ I0  A ˜ B ˜ I1  A ˜ B ˜ I2  A ˜ B ˜ I3
4º y 5º.
F A ˜ B ˜ (C  C )  A ˜ B  A ˜ B ˜ C  A ˜ B ˜ (C  C )

1 I0
0 I1
F
C I2
1 I3
S1 S0

A B

Ejemplo 3.11. Se desea ahora implementar la siguiente función lógica con


un multiplexor de 4 entradas (2 de selección):

f a ˜b˜c  a ˜b˜c  a ˜b˜c  a ˜b˜c  a ˜b˜c

Se eligen las variables a y b como las variables para realizar los papeles de
entradas con lo que la función se puede volver a escribir de la siguiente
forma:
f a˜ b˜ c  a˜ b˜ c  a˜ b˜ c  a˜ b˜ c  a˜ b˜ c
a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c  c
a˜ b˜ c  a˜ b˜ c  a˜ b˜ c  a˜ b
Por tanto la implementación de la función a partir del multiplexor es la
siguiente:
Vcc I0
I1
I2 MULTIPLEXOR
4 CANALES f
c I3

a S1 S0
b

3.36
Circuitos Digitales Combinacionales

f.3) Conversor paralelo-serie

En este caso se introducen 4 bits simultáneamente (en paralelo) en las entradas de datos
del multiplexor y se pretende que en su salida salgan los datos bit a bit (en serie). Para
ello, las salidas del contador van pasando periódicamente (con una cadencia determinada
por la señal cuadrada CLK) por los estados 00, 01, 10 y 11 de forma que, al actuar sobre
las entradas de selección del contador, logran el efecto deseado (sacar los datos bit a bit)
tal y como se muestra en la siguiente figura:

I0 I1 I2 I3
S0
CLK Contador W I0 I1 I2 I3
S1

3.3.4 Demultiplexores

A) DEFINICIÓN

Estos circuitos realizan la función inversa a la de los multiplexores, es decir se


comportan como conmutadores con un solo canal de entrada, N (igual a ‘2n’) salidas, y
‘n’ entradas para seleccionar hacia qué salida se dirige el único canal de entrada.

Los demultiplexores no se encuentran disponibles como tales comercialmente sino que se


realizan a partir de decodificadores.

B) DESCRIPCIÓN. El patillaje genérico del dispositivo es el siguiente:

Canal N-1
..
..
..
W
Canal 1

Canal 0
...
S0 S1 S n-1
n

C) TABLA DE VERDAD

La siguiente tabla de verdad y símbolo corresponden a un demultiplexor de 4 canales,


donde cada canal consta de un único bit. La entrada de datos se llama I0.

3.37
FUNDAMENTOS DE ELECTRÓNICA

E IO S1 S0 W0 W1 W2 W3 E W0
1 X X X 0 0 0 0 IO
W1
0 I 0 0 I 0 0 0 W2
0 I 0 1 0 I 0 0 W3
0 I 1 0 0 0 I 0
0 I 1 1 0 0 0 I S1 S0

D) EXTENSIÓN

d.1) Extensión del número de canales.

Ejemplo 3.12:

E
W0' E W0
W1'
W2' W1
Construir I0' W3' a partir de I0
W4' W2
W5'
W6' W3
W7'

S1 S0
S2'S1'S0'

Solución

S2’ S1’ S0’ IO’ (en:)


0 0 0 W0’
0 0 1 W1’
0 1 0 W2’ S2'
0 1 1 W3’
2 1
1 0 0 W4’
1 0 1 W5’
I0' E W0 W0'
1 1 0 W6’
W1 W1'
1 1 1 W7’ I0
W2 W2'
W3 W3'
S1' S1 S0

S0'

E W0 W4'
W1 W5'
I0
W2 W6'
W3 W7'
S1 S0

3.38
Circuitos Digitales Combinacionales

d.2) Extensión del número de bits por canal.

Ejemplo 3.13:
E A0
A1
E W0
A B0
W1 construir I0' B1
partir I0 I1'
de W2 C0
C1
W3
D0
D1
S1 S0
S1' S0'
Solución:
E

E W0 A0'
W1 B0'
I0' I0
W2 C0'
W3 D0'
S1' S1 S0

S0'

E W0 A1'
W1 B1'
I1' I0
W2 C1'
W3 D1'
S1 S0

S0'

E) APLICACIONES

La aplicación fundamental es elegir a qué canal de salida pasan los datos del canal de
entrada.

Ejemplo 3.14:

Sala 1

Señal Sala 2
cine Demultiplexor

Sala 3

S0 S1 Sala 4

S0 S1

3.39
FUNDAMENTOS DE ELECTRÓNICA

F) CONSTRUCCIÓN DE DEMULTIPLEXORES A PARTIR DE


DECODIFICADORES

Ejemplo 3.15. Los dos circuitos de la figura desempeñan la misma función.


Así el circuito de la izquierda es un decodificador 2 a 4 con señal de Enable
E. El circuito de la derecha es un demultiplexor con entrada de datos I.

W3 S1S 0 E W3 IS1S 0
W2 S1S 0E W2 IS1S 0
W1 S1S 0 E W1 I S1S 0
W0 S1S 0E W0 I S1S 0

W0 W0
W1 I W1
I E
W2 W2
W3 W3

S1 S0 S1 S0

Como se observa, para construir el demultiplexor a partir del decodificador


es suficiente con poner en la entrada de Enable del decodificador el dato I.

3.3.5 Comparadores

A) DEFINICIÓN

Son circuitos combinacionales que tienen dos entradas (A y B) de ‘n’ bits cada una para
introducir dos códigos binarios y típicamente 3 salidas que indican si A<B, A>B o A=B.

B) DESCRIPCIÓN Y TABLA DE VERDAD

Existen comparadores de números de 4 y 5 bits con los que se pueden construir


comparadores de números mayores. Un circuito comparador típico es el 7485 cuyo
patillaje y tabla de verdad se muestra a continuación:
10
12 A0
13 A1
15 A2
9 A3
11 B0
14 B1
1 B2
2 B3 7
3 A<Bi A<Bo 6
4 A=Bi A=Bo 5
A>Bi A>Bo
74LS85

3.40
Circuitos Digitales Combinacionales

AyB A>Bi A=Bi A<Bi A>Bo A=Bo A<Bo


A>B X X X 1 0 0
A<B X X X 0 0 1
A=B 0 0 1 0 0 1
A=B X 1 X 0 1 0
A=B 1 0 0 1 0 0

Tal y como se observa en la tabla de verdad este circuito incorpora además de las
entradas para los números binarios, 3 entradas adicionales que entran en funcionamiento
cuando los números binarios son iguales. Estas entradas están especialmente diseñadas
para construir comparadores de más de 4 bits, tal y como se muestra en la figura
siguiente. A través de éstas se indica cuál ha sido el resultado de realizar la comparación
de la parte baja del número binario. Así, se realiza un comparador de dos números de 8
bits a partir de comparadores de dos números de 4 bits. Para ello, se introducen los bits
de mayor peso en el comparador superior. En el caso de que los citados bits sean iguales
el comparador superior recibe por medio de A<Bi, A=Bi y A>Bi los resultados de la
comparación de los bits de menor peso (introducidos al comparador inferior).

A7
A6
A5 A3
A4 A2
A1
A3 A0
B3
A2 B2
B1 A<B
A1 B0
A<Bi A<Bo
A=B
A0 A=Bi
A>Bi
A=Bo
A>Bo
7485 A>B
B7
B6
B5
B4 A3
A2
B3 A1
A0
B2 B3
B2
B1 B1
B0
B0 VCC A<Bi
A=Bi
A<Bo
A=Bo
A>Bi A>Bo
7485

3.41
FUNDAMENTOS DE ELECTRÓNICA

3.4 ARITMÉTICA BINARIA

En esta segunda parte se verá que existe la posibilidad de realizar circuitos sumadores y
restadores con sencilla lógica combinacional idéntica a la vista hasta ahora.

Sólo se van a ver los circuitos sumadores y restadores, teniendo en cuenta que los
circuitos multiplicadores y divisores se obtienen a partir de los anteriores. Realmente
todos los circuitos se basan en el sumador básico de un bit por lo que se va a dedicar un
apartado especial a este circuito combinacional para luego, y a partir de éste, obtener el
resto.

3.4.1 Semisumador Y Sumador Completo

El sumador básico se implementa, al igual que todo circuito combinacional, a partir de su


tabla de verdad, por lo que para obtenerlo se va a considerar que se tiene que construir un
sumador que tendrá como entrada dos números binarios de 1 bit y como salidas las
señales: S (suma) y C (acarreo). El circuito obtenido se denomina Semisumador y es la
base de toda la aritmética binaria.

a b S C a
b S
0 0 0 0 S a†b
0 1 1 0
C a ˜b C
1 0 1 0
1 1 0 1

Para realizar sumas de números de más de 1 bit será necesario añadir al sumador
diseñado una nueva entrada que indique si se ha generado acarreo en el bit anterior. El
circuito que incluye esta nueva entrada es lo que se conoce como Sumador Completo y
presenta la tabla de verdad y el circuito siguiente:

Cin a b S Cout S Cin † a † b


Cout ab  Cin( a  b)
0 0 0 0 0
0 0 1 1 0
a
0 1 0 1 0 b S
0 1 1 0 1 Cin
1 0 0 1 0 C
1 0 1 0 1 Cout
1 1 0 0 1 C
1 1 1 1 1
C

3.42
Circuitos Digitales Combinacionales

3.4.2 Suma Binaria

La suma de números binarios se realiza utilizando varios sumadores completos colocados


en cascada (A0 y B0 se suman en un primer sumador completo. El acarreo de salida se
introduce al Ci del siguiente sumador que suma A1 y B1...). De esta manera está
constituido el integrado más utilizado para suma binaria, el 7483 (cuadruple sumador
total), que permite sumar 2 números binarios de 4 bits.

A3
A2
A1
A0
S3
S2
B3 S1
B2 S0
B1
B0

Ci Cf
7483

Para realizar sumas de números mayores se pueden colocar sumadores de 4 bits en


cascada (se suman los 4 bits de menor peso en un primer sumador y el acarreo de salida
que se produce se transfiere como acarreo de entrada al siguiente sumador, donde se
suman los siguientes bits de mayor peso y así sucesivamente).

Este método de realizar la suma de números binarios se conoce como ‘suma en paralelo
con acarreo serie’, ya que para obtener el acarreo de cada bit es necesario que se obtenga
el de los bits anteriores o de menor peso. Es por tanto un método lento de obtener la
suma binaria, pero existen otros circuitos que permiten realizar la suma de forma más
rápida; son los ‘sumadores en paralelo con acarreo paralelo’. La idea consiste en utilizan
sumadores que sólo proporcionan los bits de suma, mientras que otros bloques se
encargan de obtener el acarreo de forma independiente. Estos últimos se denominan
‘generadores de acarreo’.

3.4.3 Resta Binaria

La resta binaria se va a hacer simplemente realizando la inversión aritmética del


sustraendo y utilizando posteriormente un circuito sumador de los ya vistos. Además, al
intentar definir la resta binaria surge el problema de la posible aparición de números
negativos como resultado. Por tanto a la hora de plantear el diseño de circuitos restadores
únicamente es necesario estudiar la representación binaria de números negativos. Para
ello existen diversas técnicas siendo las mas importantes la de Complemento a 2, Valor
Absoluto y Signo, Complemento a 1 y Binario Desplazado (vistas en el tema 2).

3.43
FUNDAMENTOS DE ELECTRÓNICA

3.4.3.1 Complemento a 2

Se puede realizar la suma o resta de dos números en C2 de 4 bits incluido el de signo


mediante un cuádruple sumador total (7483), con el circuito “Sumador/Restador” de la
figura:

S/R = 0/1

Ci
BSa A3
a2 A2
a1 A1 BSs
S3
a0 A0
S2 s2
7483 S1 s1
S0 s0
BSb B3
B2
b2
B1
b1
B0
b0 Cf

Este circuito realiza la suma o resta según la señal de control S/R. Si ésta vale 1 (resta),
se le suma a A el complemento a 2 de B, es decir, el opuesto de B (obtenido invirtiendo
todos los bits y sumando uno a través del acarreo inicial). Si S/R vale 0, B no se
complementa y es sumado directamente con A.

Dado que el acarreo final C4 no ha de tenerse en cuenta, el resultado será correcto sólo
cuando pueda ser representado en C2 con 4 bits, es decir, cuando esté comprendido entre
+7 y -8. Esta limitada capacidad de salida del circuito hace que se produzca con facilidad
un error “por rebose o desbordamiento” en la salida (cuando el resultado necesita 5 bits
para ser representado en C2). Esta situación hay que corregirla, detectando cuándo se
produce rebose, o bien intentando construir un Sumador/Restador con 5 líneas de salida.

Una sencilla regla nos permite detectar el posible rebose, ya que sólo se produce este tipo
de error cuando ambos números de entrada al sumador son positivos y el resultado de
cuatro líneas marca negativo, o bien cuando ambos números son negativos y el resultado
da positivo.

Se puede construir así un circuito “detector de rebose” asociado al circuito


Sumador/Restador del siguiente modo:

3.44
Circuitos Digitales Combinacionales

S/R = 0/1

Ci
BSa A3
a2 A2
a1 A1 BSs
S3
a0 A0
S2 s2
4-ST S1 s1
S0 s0
BSb B3
B2
b2
B1
b1
B0
b0 Cf

Rebose

Para corregir el error por rebose caben dos soluciones:

a) Si se quiere operar con números en C2 de n bits cada uno (incluido el signo), se debe
utilizar un circuito sumador total de n+1 bits, completando los números de entrada con
un bit a la izquierda igual al signo del número (extensión de signo de un número en C2).
El resultado (de n+1 bits) ya no presentará rebose:

S/R = 0/1
Ci
A4
BSa A3
a2 A2
S4 BSs
a1 A1 S3
S3
a0 A0
S2 s2
5-ST S1 s1
B4
S0 s0
BSb B3
B2
b2
B1
b1
B0
b0 Cf

b) Si se tiene que utilizar sumadores de n bits con números de entrada también de n bits
en C2, se debe utilizar el circuito Sumador/Restador junto con el circuito de detección de
rebose, añadiendo a las n líneas de resultado un bit más a la izquierda que actuará como
bit de signo final, para tener así n+1 bits de resultado sin rebose. El bit añadido coincidirá
con el bit de signo del resultado si no hay rebose, y si existe rebose coincidirá con el
acarreo final Cf del sumador total (esta es la única situación en que dicho acarreo se
utiliza):

3.45
FUNDAMENTOS DE ELECTRÓNICA

S/R = 0/1
BSs
Ci
BSa A3
a2 A2
a1 A1 S3
S3
a0 A0
s2
S2
4-ST S1 s1
S0 s0
BSb B3
B2
b2
B1
b1
B0
b0 Cf

Rebose

3.4.3.2 Valor Absoluto y Signo

Los circuitos Sumadores/Restadores anteriores sirven para operar con números de


entrada en C2, y el resultado también viene dado en C2. Sin embargo, suele utilizarse
mucho la representación de números en VAyS, por lo que se necesita un circuito
“cambiador de código” que permita la transformación entre ambos códigos (C2 y VAyS),
y así poder realizar sumas y restas en VAyS.

Este circuito se puede construir a partir de la definición de “complementación a 2”, que


es el proceso para pasar de VAyS a C2: si el número es positivo se deja igual, y si es
negativo se invierten todos los bits y se suma 1, dejando el bit de signo negativo. Para
números de cuatro bits el conversor de código es el siguiente:

Ci

BSa A3

VAyS a2 A2
a1 A1 BSa
S3
a0 A0
S2 a2
4-ST C2
S1 a1
S0 a0
0 B3
B2
0
B1
0
B0
0 Cf

3.46
Circuitos Digitales Combinacionales

Este mismo circuito también es válido para pasar un número de C2 a VAyS, ya que este
proceso de cambio es idéntico al anterior.

De este modo, puede realizarse un circuito Sumador/Restador de números en VAyS de 4


bits mediante 4 bloques sumadores totales: dos de ellos para traducir a C2 los números de
entrada, uno para sumar/restar sin rebose, y el último para traducir el resultado de C2 a
VAyS. El circuito total es el siguiente:

S/R = 0/1

Ci
BSa

a2
A(VAyS) a1
A(C2)
a0

4-ST Ci
0 ArB(C2)
0 Ci
0
0 Cf

5-ST BSs
S3
Ci
0
5-ST S2
S1
ArB(VAyS)
BSa
0 S0
B(VAyS) a2 0
a1 Cf 0
a0
0 Cf
4-ST
0
0 B(C2)
0
0 Cf

3.4.3.3 Complemento a 1

El circuito Sumador/Restador básico de dos números dados en C1 de 4 bits cada uno


(incluido el signo) es el siguiente:

Ci
BSa A3
a2 A2
A (C1) a1 A1 BSs
S3
a0 A0
S2 s2
4-ST S1 s1
ArB (C1)
BSb S0 s0
B3
b2 B2
B (C1) b1 B1
b0 B0
Cf

S/R

3.47
FUNDAMENTOS DE ELECTRÓNICA

Este circuito realiza la suma o la resta en función de la señal de control S/R: con S/R = 0
realiza la suma y con S/R = 1 hace la resta.

Igual que en C2, también este circuito puede producir “rebose o desbordamiento” en la
salida cuando el resultado necesite 5 bits para ser representado en C1. La forma de
detectar dicho rebose es la misma que en C2, es decir, cuando ambos números de entrada
al sumador son positivos y el resultado de 4 líneas marca negativo, o bien cuando ambos
números son negativos y el resultado marca positivo.

Para evitar que se produzca error por rebose, la mejor solución es utilizar un circuito
sumador de un bit más que los números de entrada, completando estos con un bit a la
izquierda igual al signo del número. El resultado de n+1 bits ya no presentará rebose:

A4 Ci
BSa A3
a2 A2
A (C1) BSs
a1 A1 S4
S3 s3
a0 A0
S2 s2 ArB (C1)
5-ST S1 s1
B4
BSb S0 s0
B3
b2 B2
B (C1) b1 B1
b0 B0
Cf

S/R

El circuito “cambiador de código” que permite la transformación de C1 a VAyS y


viceversa para números de 4 bits es el siguiente:

BSa BSa

A(VAyS) a2 a2
A(C1)
a1 a1
a0 a0

3.4.3.4 Binario Desplazado

El circuito “Sumador/Restador” sin rebose de dos números dados en BD de 4 bits cada


uno es el siguiente:

3.48
Circuitos Digitales Combinacionales

S/R

A4 Ci
BSa A3
a2 A2
A (BD) S4 BSs
a1 A1
S3 s3
a0 A0
S2 s2 ArB (BD)
5-ST S1 s1
B4
BSb S0 s0
B3
b2 B2
B (BD) b1 B1
b0 B0
Cf

Este circuito realiza la suma o resta en función de la señal de control S/R: si S/R = 0 hace
la suma y si S/R = 1 la resta.

3.4.4 Multiplicación Binaria

La operación de la multiplicación binaria suele realizarse mediante operaciones


consecutivas de suma y desplazamiento. Una excepción a este procedimiento es el de
multiplicar un número binario por otro que sea potencia de 2, es decir 2n. En ese caso
particular el resultado se obtiene simplemente añadiendo ‘n’ ceros a la derecha del
primer factor, venga éste dado en binario natural, valor absoluto o complemento a 2.

Así, por ejemplo, para multiplicar 3 por 21, basta introducir un cero a la derecha del
número 3 para obtener el resultado de la multiplicación. Para multiplicar 3 por 22, basta
introducir un cero a la derecha del número 3 para obtener el resultado de la
multiplicación y así sucesivamente:

3 = 11 (codif. binaria)

3x2 = 6 = 110 (codif. binaria).

3x4 = 12 = 1100 (codif. binaria)

3.49
FUNDAMENTOS DE ELECTRÓNICA

3.5 PROBLEMAS

3.1 Se dispone de varios multiplexores de dos canales como el mostrado en la figura


3.1, donde también se especifica su tabla de verdad.
E

ENTRADAS SALIDA
E I0 I1 S W
I0
1 X X X 0
Multiplexor W
0 I0 X 0 I0

I1 0 X I1 1 I1

S
Figura 3.1
a) Utilizando multiplexores del tipo anterior, obténgase un cuádruple multiplexor de dos
canales, tal y como se muestra en la figura 3.2. (nótese que en la tabla las variables en
negrilla son de 4 bits).
E

ENTRADAS SALIDA
a0
A a1 E A B S W
a2 w0
a3 Multiplexor w1 W
w2 1 X X X 0
b0 w3
B b1
b2 0 A X 0 A
b3
0 X B 1 B

S
Figura 3.2
b) Utilizando multiplexores como el de la figura 3.1, obténgase un doble multiplexor de
cuatro canales, tal y como se muestra en la figura 3.3. (nótese que las variables en
negrilla son de dos bits).
E ENTRADAS SALIDA
E A B C D S1 S0 W
A a0
a1 1 X X X X X X 0

B b0 w0 W 0 A X X X 0 0 A
b1 Multiplexor w1
c0 0 X B X X 0 1 B
C c1
0 X X C X 1 0 C
d0
D d1 0 X X X D 1 1 D

S1 S0 Figura 3.3

3.50
Circuitos Digitales Combinacionales

Solución:

a) Para multiplexar dos datos de 4 bits se necesita utilizar cuatro


multiplexores 2 a 1 que proporcionan las cuatro salidas necesarias.
E

A0
IO W0
I1
B0 S

A1
IO
W1
I1
B1
S

A2
IO W2
I1
B2
S

A3
IO W3
B3 I1
S

b) En este apartado no es tan inmediato calcular el número de


multiplexores necesarios. Una posible solución es la siguiente:

E
A0
I0
B0 I1 S I0
I1 S W0

A1
I0
B1 I1 S I0
I1 S W1

C0
I0 S1
D0 I1 S

C1
I0
D1 I1 S

S0

3.51
FUNDAMENTOS DE ELECTRÓNICA

3.2 Utilizando sistemas combinacionales se pueden implementar funciones lógicas.


Teniendo en cuenta este aspecto, sintetice la función:

f ( a , b , c) a ˜b˜ c  a ˜b ˜c  a ˜b ˜c  a ˜b  b ˜c

a) Mediante un multiplexor de cuatro canales.


b) Mediante un decodificador de tres entradas, y salidas activas a nivel bajo

NOTA: Si se requieren más componentes, se dispone sólo de puertas NAND de dos


entradas.

Solución:

a.- Mediante un multiplexor de 4 canales:

“H”
I0
I1
C I2 W F
I3

S1 S0

A B
b.- Mediante un decodificador 3 a 8 y puertas NAND de 2 entradas.

C
A Y0
B B Y1
C Y2
A Y3
F
Y4
Vcc G1
G2A
Y5
Y6
G2B Y7

3.3 Se dispone de un decodificador 3 a 8, con salidas activas a nivel alto, que incorpora
además una entrada de habilitación (E) activa a nivel bajo. Además, se pueden utilizar
puertas NOR de dos entradas. Se pide:

a) Obtenga a partir de este decodificador un demultiplexor ( una entrada y ocho salidas


con tres líneas de selección).
b) Si se desea que el demultiplexor diseñado tenga una entrada de habilitación (activa a
nivel alto), ¿qué modificaciones haría sobre el circuito anterior?.
c) ¿Qué modificaciones haría en el diseño realizado, tras los dos apartados anteriores, si
el decodificador hubiese tenido salidas a nivel bajo?

3.52
Circuitos Digitales Combinacionales

Solución:
a)
S0

Selección
A Y0
S1 B Y1
C Y2
S2 Vcc Y3
Y4
G1 Y5
G2A Y6
G2B Y7
D=entrada

b)
Selección

S0
A Y0
S1 B Y1
C Y2
S2 Vcc Y3
Y4
G1 Y5
G2A Y6
G2B Y7
D entrada

G habilitación

c) Si la salida del decodificador es activa a nivel bajo, pero se desea que


la salida en el demultiplexor sea activa a nivel alto, basta introducir la
señal D por la entrada de “enable” invertida; esto es, sólo se requerirá
eliminar el último inversor.

3.4 Utilizando un decodificador ‘5 a 32’ como bloque, puertas NAND e inversores,


diseñe un circuito lógico que tenga por entrada un número binario N, de 5 bits (A, B, C,
D y E) y que gobierne a su salida una columna de 8 diodos LED (D1, D2, D3,..., D8) de
la forma siguiente:

Valor de N Diodos encendidos

0dNd3 D1

4dNd7 D1,D2

8dNd11 D1,D2,D3

12dNd15 D1,D2,D3,D4

16dNd19 D1,D2,D3,D4,D5

20dNd23 D1,D2,D3,D4,D5,D6

24dNV27 D1,D2,D3,D4,D5,D6,D7

28dNd31 Todos

3.53
FUNDAMENTOS DE ELECTRÓNICA

Solución:
En este problema se aplica la filosofía estudiada para implementar una función
combinacional por medio de un decodificador. Hay que tener en cuenta que
siempre que esté encendido un diodo, los de menor peso también lo deben estar.
Para conseguir esto se llevan las salidas de mayor peso hacia las de menor peso.

EI4 D
I3 I2 C I1 B I0 A

“5 A 32”
0123 4 5 6 7 ......... 20 21 22 23 24 25 26 27 28 29 30 31

...........
Vcc

D1

D2 D6 D7 D8

3.5 Implemente las siguientes funciones:

a ) f a1  a1 ˜ a 0 b) f a ˜ b ˜ c  a ˜ b ˜ c  a ˜ b ˜ c
c) f a ˜b  a ˜ c  a ˜b˜c d) f x  x˜z  y˜z

a) Usando el multiplexor 74LS153 y los inversores necesarios.

b) Usando el decodificador 74LS138 y puertas NOR de dos entradas.

NOTA: Las características de ambos circuitos integrados se incluyen en el anexo del


capítulo.

3.54
Circuitos Digitales Combinacionales

Solución:

a.- Mediante el 74LS153 e inversores.

Vcc
C0 C0
C1 C1
C2 Y C2 Y
C3 C3

G S1 S0 G S1 S0

A1 A0 C A B

Vcc
Vcc
C0 C0
C1 C1
C2 Y C2 Y
C3 C3

G S1 S0 G S1 S0

x y
C A B

b.- Mediante el decodificador y puertas NOR de dos entradas:

a0 C
A Y0 F A Y0 F
a1 B Y1 B B Y1
C Y2 C Y2
Y3 A Y3
Vcc G1
Y4
Y5
Vcc G1
Y4
Y5
G2A Y6 G2A Y6
G2B Y7 G2B Y7

C Z
A Y0 A Y0
B B Y1 F Y B Y1
C Y2 C Y2
A Y3 X Y3
Vcc Y4 Y4 F
G1
G2A
Y5
Y6
Vcc G1
G2A
Y5
Y6
G2B Y7 G2B Y7

Todas las puertas NAND deben sustituirse por su equivalente en puertas


NOR. El equivalente en puertas NOR de una NAND de 3 entradas es:

3.55
FUNDAMENTOS DE ELECTRÓNICA

3.6 Diseñe un circuito que, ante dos entradas de dos bits (X[1..0@ e Y[1..0@) presente a su
salida (S[1..0@) el mayor de ambos. Para el diseño se emplearán comparadores de cuatro
bits y dobles multiplexores de dos canales.

Solución:
X0
A0
X1 A1
A2
A3
Y0 B0
B1
Y1 B2
B3
A<Bi A<Bo 6
Vcc A=Bi
A>Bi
A=Bo
A>Bo

A/B
Y0 1A
X0 1B
1out S0
Y1 2A
2out S1
X1 2B

3.7 Sobre el circuito de la figura 3.4, se pide determinar la expresión de la función


f(a,b,c,d), a partir de las tablas de verdad del decodificador y el multiplexor (figura 3.5).

DECODIFICADOR MULTIPLEXOR

Q0 I0
b S1 Q1 I1 W f
a S0 Q2 I2
Q3 I3
S1 S0

d c
Figura 3.4

3.56
Circuitos Digitales Combinacionales

Funcionamiento decodificador Funcionamiento


multiplexor
S1 S0 Q3 Q2 Q1 Q0
S1 S0 W
L L L L L H
L L I0
L H L L H L
L H I1
H L L H L L
H L I2
H H H L L L
H H I3

Figura 3.5

Solución:
f d c b a  d cb a  dc ba  dcba

3.8 Se pretende implementar la siguiente función lógica:

f a ˜b˜ c  d ˜ c˜b  e˜b˜c

para lo cual se va a emplear un multiplexor. Se pide:

a) Indique, de forma razonada, el tamaño mínimo del multiplexor necesario para


implementar dicha función lógica.

b) Dado un multiplexor de 8 a 1 con entrada de enable E activa a nivel alto, implemente


la función lógica anterior.

c) Indique, de forma razonada, el tamaño mínimo del decodificador necesario para


implementar la función lógica anterior.

Solución:

a.- Si se examina la función f se puede observar que existen dos variables (B y


C) que se repiten en todos los términos producto, siendo una sola de las otras
variables la que completa dichos términos. Por tanto, será necesario un
multiplexor de 4 a 1.

b.- Como la función f se puede realizar con un multiplexor 4 a 1, para


conseguirlo a partir de uno de 8 a 1 basta poner una de las entradas de selección
a un nivel fijo (alto o bajo). Una posible solución es:

3.57
FUNDAMENTOS DE ELECTRÓNICA

d D0 W
D1
e D2 Y
D3
a D4
D5
D6
D7
c
A
b B
C
G

c.- Como existen 5 variables lógicas, es necesario un decodificador 5 a 32.

3.9 En el circuito de la figura 3.6 se utiliza un multiplexor para realizar una función
lógica:
Funcionamiento
del multiplexor
A I0
B I1 W f S1 S0 W
I2
I3 L L I0
C S1 S0 L H I1
H L I2
H H I3

Figura 3.6
Se pide:

a) Determine la expresión simplificada de la función lógica f.


b) Indique otra posible forma de obtener la función f utilizando el mismo multiplexor.

Solución:

a.- Función simplificada: f ab c  b

b.- Otra posible implementación

a I0
I1 W f
Vcc I2
I3

b c

3.58
Circuitos Digitales Combinacionales

3.10 A partir de multiplexores de 8 canales (74LS151) y de las puertas NAND de dos


entradas necesarias, obtenga un multiplexor de 16 canales y salida activa a nivel bajo.
Deberá disponer también de entrada de enable activa nivel bajo.

Solución:

E15 E8 E7 E0
12
13
14

15
17
18
19

12
13
14

15
17
18
19
9

2
3
4
5

2
3
4
5
B
A

B
A
D7
D6
D5
D4
D3
D2
D1
D0

D7
D6
D5
D4
D3
D2
D1
D0
G

G
C

C
W

W
Y

Y
74LS151 74LS151
8

7
W

3.11 El circuito de la figura 3.7 obtiene a la salida el mayor de dos números, X e Y, de 5


bits, que vienen codificados en módulo y signo (el bit de mayor peso, Xs e Ys, representa
el signo - 1 negativo - y los restantes cuatro bits corresponden a la magnitud en valor
absoluto).
74LS157
1A 1Y
2A S0
3A 2Y
S1
4A
1B 3Y S2
2B S3
3B 4Y
4B Ss

G
A/B
74LS85
X0 A0
X1 A1
X X2 A2
X3
XS A3 A<B
A=B Cto 1
B0 A>B f1
Y0
Y1 B1
Y Y2 B2
Y3 B3
YS
A<BI A=BI A>BI
Cto 2

Figura 3.7

3.59
FUNDAMENTOS DE ELECTRÓNICA

Se pide:

a) Indique el nivel a introducir en las entradas (A>B)i , (A=B)i y (A<B)i para que cuando
el módulo de los dos datos sea el mismo, se active a nivel alto la salida A=B.

b) Obtenga la expresión de la función lógica que debe realizar el circuito 1 de la figura


para que la salida del multiplexor se corresponda con el módulo del mayor de X e Y.
Implemente la función obtenida con cualquier tipo de puertas.

c) Obtenga la función lógica y la implementación del circuito 2 para que la salida Ss


corresponda con el signo del mayor X e Y.

Solución:

a.-
(A>B) in = indiferente

(A=B) in = “H”

(A<B) in = indiferente

b.-
Ys

A<B
F1

Xs

c.-
Ys
F1
Ss

Xs

otra solución más sencilla

Ys
Xs Ss

3.60
Circuitos Digitales Combinacionales

3.12 El circuito de la figura está formado por un comparador binario de 4 bits (74LS85)
cuyas entradas de acarreo están llevadas a un nivel alto. Un cuádruple multiplexor de 2
entradas (74LS157) cuyo terminal de “strobe” (E) se encuentra activado, la selección de
la entrada A o B de cada multiplexor se realiza con la entrada A/B, de forma que cuando
en ella exista un nivel bajo se seleccionan las entradas A, y en caso contrario las B.
74LS85

X0 A0
X1 A1
X X2 A2
X3 A3
A<B out
Y0 B0
B1 A>B out NC
Y1
Y Y2 B2
A=B out NC
Y3 B3

A<B in
Vcc A>B in
A=B in

1A 1OUT A a a
1B
b b
2A 2OUT B
2B c c
RED
3A DE
3B 30UT C d d
RESISTENCIAS
e e
4A
4OUT D
4B
f f
A/B
g g
E CATODO

74LS157 74LS48

a) Suponiendo que el valor decimal de las entradas es X=6 E Y=9, ¿cuál es el dígito
visualizado en el display? Repetir el apartado para X=8 e Y=8.

b) ¿Cuál es la función del circuito?

c) Si en vez de tomar la salida (A<B)out del comparador se tomase (A>B)out para atacar
al multiplexor, ¿cuál sería la nueva función del circuito?

d) En el circuito anterior no se ha representado la conexión del pin BI del C.I.


74LS481. Indíquese una posible conexión para este terminal.

e) Dibuje la conexión de la red de resistencias al display.

Solución:

a.- Como la entrada (A<B)in el multiplexor deja pasar su entrada B que


es Y=9. Si X=8 e Y=8 implica que A=B. Por ello la salida representará la
entrada X=8.

1
las hojas características de este integrado están al final del capítulo, en un anexo.

3.61
FUNDAMENTOS DE ELECTRÓNICA

b.- Como se puede deducir del apartado anterior el circuito visualiza en el


display el mayor de los dos números: X, Y.

c.- En este caso, haciendo un análisis similar a los apartados anteriores,


se representa a la salida el menor de los dos números.

d.- Si se analiza la tabla de verdad se concluye que BI debe ir a nivel


alto.

e.-
X0 A
X1 A
X2 A
X3 A
Y0 B
Y1 B
Y2 B
Y3 B
A<Bi A<B
VC A=Bi A=B NC
A>Bi A>B NC
VC

1 1Y DISPLA
1
2 2Y 1 A a
2 2 B b
3 3Y 4 C c
3 8 D d
4 4Y BI E e
4 F f
G g
A/
G

VC VC

3.13 A la vista de la tabla de verdad del comparador 74LS85 de la figura, complétese la


tabla.
X0 U15
X1 A0
X2 A1
X3 A2
X4 A3
Y0 B0
Y1 B1
Y2 B2
Y3 B3
Y4 A<Bi A<Bo Q
A=Bi A=Bo R
C A>Bi A>Bo P

3.62
Circuitos Digitales Combinacionales

ENTRADAS SALIDAS
X4 X3 X2 X1 X0 Y4 Y3 Y2 Y1 Y0 C P Q R
H H H H H H H H H H L
L H H H H L H H H H H
H H H H L H H H L H H
H H H L H H H H H L L
L L L L L L L L L L L
H H H H L H H H H H L

Solución:

El circuito de la figura compara dos datos binarios de cuatro bits: X4 X3


X2 X1 (conectado a la entrada A) e Y4 Y3 Y2 Y1 (conectado a la entrada
B). Cuando uno de estos datos es mayor que el otro , la salida del
comparador está determinada, sin tener que comprobar el valor de las
entradas (A>B)in, (A<B)in y (A=B)in. En el caso que los datos a comparar
sean iguales, si se deberán tener en cuenta estas entradas del
comparador, que corresponden con las señales X0, Y0 y C.

Para conocer el valor de las salidas se busca en la tabla, del comparador,


la fila que corresponde con la combinación de entradas en cada caso. Por
ejemplo, en el primer caso los datos X e Y son iguales, por lo que habrá
que comprobar el valor de las señales X0, Y0 y C, siendo
:
X0=(A<B)in=H Y0=(A=B)in=H C=(A>B)in=L

El valor de las salidas ante esta situación será:

P=(A>B)out=L Q=(A<B)out=L R=(A=B)out=H

Procedimiento de la misma manera con el resto de las situaciones la


solución completa se muestra en la tabla.

ENTRADAS SALIDAS
X4 X3 X2 X1 X0 Y4 Y3 Y2 Y1 Y0 C P Q R
H H H H H H H H H H L L L H
L H H H H L H H H H H L L H
H H H H L H H H L H H H L L
H H H L H H H H H L L L H L
L L L L L L L L L L L H H L
H H H H L H H H H H L L H L

3.63
FUNDAMENTOS DE ELECTRÓNICA

3.14 Para visualizar un número decimal de 3 dígitos codificado en BCD de 4bits se


realiza el montaje mostrado en la figura, donde los displays de 7 segmentos son de tipo
ánodo común.

DATO DE ENTRADA AL SISTEMA DE REPRESENTACIÓN


C3C2C1C0 D3D2D1D0 U3U2U1U0

VCC
VCC
3
5
4
6
2
1
7

3
5
4
6
2
1
7

3
5
4
6
2
1
7
LT

LT

LT
8
4
2
1

8
4
2
1

8
4
2
1
RBI

RBI

RBI
BI/RBO

BI/RBO

BI/RBO
G

G
D
C

D
C

D
C
E

B
A

B
A

B
A
F

F
14
15

10
11
12
13

14
15

10
11
12
13

14
15

10
11
12
13
9

9
LT
1
2
6
7
9
5
4

1
2
6
7
9
5
4

1
2
6
7
9
5
4
a b c d e f g a b c d e f g a b c d e f g
10 10 10

VCC VCC VCC

Se pide:

a) ¿Podría emplearse un decodificador con salidas activas a nivel alto? Justifíquese.

b) Calcúlese el valor de R (resistencias entre el decodificador y el display) para el caso


en que se desee una intensidad en directo por los diodos de 8 mA.

c) Se pretende modificar las conexiones de RBI1, RBI2 y RBI3 del circuito anterior
para que se iluminen todos los ceros siempre (sean o no significativos). Indíquese
sobre la tabla la conexión de RBI1, RBI2 y RBI3.

3.64
Circuitos Digitales Combinacionales

ENTRADAS Se debe conectar a...


RBI1
RBI2
RBI3

Solución:
a.- Los displays son de ánodo común, luego, los LED´s se iluminarán
cuando se les aplique un nivel bajo, por lo tanto el driver debe tener salidas
activas a nivel bajo. En caso contrario se iluminarían los segmentos que
deben estar apagados y viceversa.

VCC
1

2
3
Saturado
2 Vol

b.- El valor de la resistencia para que circule una corriente de 8 mA es:

12  1,2  0,4
R= 1K 3
0,008

c.- Observando la tabla de verdad del driver 74LS47, se puede ver que cuando la
entrada RBI está a nivel alto el dato se representa siempre, aún cuando sea el
dato cero. Todas las entradas de los decodificadores deberán estar a nivel alto:

ENTRADAS Se debe conectar a...


RBI1 H
RBI2 H
RBI3 H

3.15 Diseñar un circuito comparador de dos números de 4 bits dados en:

3.65
FUNDAMENTOS DE ELECTRÓNICA

a) Binario Desplazado.
b) Complemento a 2.
c) Complemento a 1.
d) Valor Absoluto y Signo.

Solución:

a.- Comparador de dos números en binario desplazado. Una propiedad de


este código es que los números queden llevarse directamente a un
comparador de números en binario naturales. Por tanto:

A0 A0
A1 A1
A2 A2
Bsa A3
B0 B0
B1 B1
B2 B2
Bsb B3
A<Bi A<Bo
A=Bi A=Bo
A>Bi A>Bo
VCC

b.- Comparador de dos números en Complemento a 2. No es correcta la


comparación directa. Hay que pasarlos a BD invirtiendo el bit de signo.

A0 A0
A1 A1
A2 A2
Bsa A3
B0 B0
B1 B1
B2 B2
B3
A<Bi A<Bo
A=Bi A=Bo
A>Bi A>Bo

VCC

Bsb

c.- Comparador de dos números en Complemento a 1.

PRIMERA POSIBILIDAD: pasar de C1 a C2, y de C2 a BD, es decir:

3.66
Circuitos Digitales Combinacionales

B0 A1 S1
B1 A2 S2
B2 A3 S3
Bsb A4 S4

B1
B2
B3 A0
B4 A1
A2
C0 C4 A3
B0
B1
B2
B3
A0 A1 S1 A<Bi A<Bo
A1 A2 S2 A=Bi A=Bo
A2 A3 S3 A>Bi A>Bo
Bsa A4 S4
VCC
B1
B2
B3
B4

C0 C4

SEGUNDA POSIBILIDAD. ¿Qué sucedería si los conectáramos directamente?


- Si A y B son positivos: el resultado sería correcto.
- Si A y B son negativos: el resultado sería correcto.
- Si uno es positivo y el otro negativo: resultado erróneo.

Sin embargo, invirtiendo el bit de signo, todas las posibilidades darían


resultados correctos. Por tanto, podemos ahorrar los dos sumadores del
siguiente modo:

A0 A0
A1 A1
A2 A2
Bsa A3
B0 B0
B1 B1
B2 B2
B3
A<Bi A<Bo
A=Bi A=Bo
A>Bi A>Bo

VCC

Bsb

3.67
FUNDAMENTOS DE ELECTRÓNICA

d.- Comparación de dos números en VayS: Una opción es pasar de VayS a


C2, y de C2 a BD. Pero para ahorrar dos, puede usarse el siguiente:

A0
A1
A2 A0
A1
A2
Bsa A3
B0
B1
B0 B2
B1 B3
B2 A<Bi A<Bo
A=Bi A=Bo
A>Bi A>Bo
Bsb
VCC

3.16 Diseñar el sistema digital necesario para implementar un circuito cambiador de


código en los siguientes casos.

a) Complemento a 1 a Complemento a 2
b) Complemento a 2 a Complemento a 1
c) Valor Absoluto y Signo a Binario Desplazado.
d) Complemento a 1 a Binario Desplazado.

Solución:

a.- Cambiador de C1 a C2. Si el número es positivo, queda igual, y si es


negativo hay que sumarle 1.

A0 A1 S1
A1 A2 S2
A2 A3 S3
Bsa A4 S4

B1
B2
B3
B4

C0 C4

3.68
Circuitos Digitales Combinacionales

b.- Cambiador de C2 a C1. Si es positivo queda igual. Si es negativo hay


que restarle 1, es decir, sumar (-1), que en C2 es 1/111:

A0 A1 S1
A1 A2 S2
A2 A3 S3
Bsa A4 S4

B1
B2
B3
B4

C0 C4

c.- Cambiador de VayS a BD. Primero pasamos a C2, Y a continuación se


invierte el bit de signo.

A(BD)
A1 S1
A0 A2 S2
A3 S3
A4 S4

B1
A1
A(VAyS)

B2
B3
B4

C0 C4
A2
Bsa

d.- Cambiador de C1 a BD. Se pasa primero a C2, y a continuación se invierte el


bit de signo.
A(BD)

A0 A1 S1
A(C1)

A1 A2 S2
A2 A3 S3
Bsa A4 S4

B1
B2
B3
B4

C0 C4

3.69
FUNDAMENTOS DE ELECTRÓNICA

3.17 Diseñar un circuito cambiador de código de BCD 5421 a BCD natural.

Nº DECIMAL BCD 5421 BCD NATURAL


0 0000 0000
1 0001 0001
2 0010 0010
3 0011 0011
4 0100 0100
5 1000 0101
6 1001 0110
7 1010 0111
8 1011 1000
9 1100 1001

Solución:
a.- Resultado mediante un decodificador + un codificador.

S0 E0
S1 E1
DECOD. S2 E2 CODIF.
HEXAD. S3 E3 HEXAD.
S4 E4
S5 E5
S6 E6
E0 S7 E7 S0
BCD5421 E1 S8 E8 S1 BCDnat.
E2 S9 E9 S2
E3 S10 E10 S3
S11 E11
S12 E12
S13 E13
S14 E14
S15 E15

b.- Resolución mediante un sumador.


- Si el número d 4 (bit a3=0), queda igual.
- Si el número < 4 (bit a3=1), hay que restar tres, es decir, sumar (-3), que en C2
es 1/101.

A1 S1
A2 S2
BCD5421 A3 S3 BCDnat
A4 S4

B1
B2
B3
B4

C0 C4

3.70
Circuitos Digitales Combinacionales

3.18 Diseñar un cambiador de BCD Natural a BCD Aiken.

Nº DECIMAL BCD NATURAL BCD AIKEN


0 0000 0000
1 0001 0001
2 0010 0010
3 0011 0011
4 0100 0100
5 0101 1011
6 0110 1100
7 0111 1101
8 1000 1110
9 1001 1111

Solución:

- Primera posibilidad: con un decodificador y un codificador como en el


ejercicio anterior.

- Segunda posibilidad: con un comparador y un sumador.


Si: A<5: queda igual (sumar ‡).
Si: A t 5: hay que sumar 6 (0110).

A1 S1
A2 S2
A3 S3 BCDaiken
A4 S4

B1
A0 A0 B2
BCDnat. A1 A1 B3
A2 A2 B4
A3 A3
VCC B0 C0 C4
B1
B2
B3
"0" A<Bi A<Bo
"0" A=Bi A=Bo
"1" A>Bi A>Bo
A>5

Hay más posibilidades de conexión. Y en lugar de un comparador, puede usarse


un sumador haciendo función de comparador. Si al sumar 11 se produce
acarreo, es que el número es t 5.

3.71
FUNDAMENTOS DE ELECTRÓNICA

3.19 Sean A y B dos números de 4 bits (incluido el signo) en Valor Absoluto y Signo.
Diseñar el circuito que de a su salida el módulo de la diferencia A-B en Valor Absoluto
y Signo.

Solución:

A(C2)
Co
BS
VAyS (no se usa)

|A-B|(VAyS)
A(VAyS) a C2 (A-B)c2
Sum.
sin BS
rebote C2 a
(5bits) VAyS
1 2 -B(C2)
B(VAyS)

VAyS
a C2

Cada uno de los bloques conversores se construye con sumadores tal y


como se vio en el ejercicio 13. El sumador de 5 bits se construye con 2 de 4
bits propagando el acarreo final de uno al inicial del otro.

3.20 Diseñar un circuito digital que implemente la siguiente función:

A 5

S 5

B 5

G
C

G C S
0 0 A+B (C2)
0 1 A+B (Valor Abs. y Signo)
1 0 A-B (C2)
1 1 A-B (Valor Abs. y Signo)

3.72
Circuitos Digitales Combinacionales

Solución:
C

Ci

A(C2)
Ci
VAyS Sum.
A(VAyS) a C2 Cambia
o no a
VAyS
G Sum. depen-
+ 5bits diendo
de C
- B(C2)
BS
B(VAyS)

VAyS ArB
a C2

Rebose

3.21 Diseñar un circuito Sumador/Restador sin rebose, gobernado por una señal S/R
(S/R=0 suma, S/R=! Resta), que pueda operar con números de entrada de 3 bits mas
signo bien en C2 o bien en C1, según la señal de control C (C=0 entradas en C2, C=1
entradas en C1). El resultado vendrá dado siempre en VAyS.

Solución:
Una posible solución es:

C
0 1
Mux. S
S/R

Ci
BS
A(C1oC2)
(A-+ B)
C1oC2
Ci
SUM.
de 5
bits

....
(ArB)VAyS

....
BS
SUM
..... 5 bits
B(C1oC2)

.....

Cf

3.73
FUNDAMENTOS DE ELECTRÓNICA

Otra solución es la siguiente:

S/R
A C1oC2

BS Ci

A(C2) Ci
SUM

(A-+ B)C2

(ArB)VAyS
C2 a
SUM
VAyS
B C1oC2

BS Ci
B(C2)

SUM ....
....

3.22 Diseñar un circuito sumador de dos números de una cifra en BCD con salida de dos
cifras en BCD.

Solución:

Una posible solución es la siguiente:


Unidades

A1 S1
A2 S2
A3 S3
A4 S4

B1
A1 S1 A0 B2
A A2 S2 A1 B3
A3 S3 A2 B4
A4 S4 A3
S=A+B B0 C0 C4
B1 B1
B B2 B2
B3 B3
B4 A<Bi A<Bo
A=Bi A=Bo
C0 C4 A>Bi A>Bo
Decenas

A>9 Bit mayor peso


VCC

Bit menor peso

3.74
Circuitos Digitales Combinacionales

Casos:
- Si 0 d S d 9 ni A<9 ni Cf (C4) son “1”, con lo cual en el sumador final se
suma 0 y las decenas quedan a 0.
- Si 10 d S d 15 : A>9 vale 1, con lo que a las unidades se obtienen restando 10
a S, y las decenas valen 1.
- Si 16 d S d 18 : Cf (C4) vale 1, con lo que de nuevo a Sse le suma 6 para
obtener las unidades, y las decenas se ponen a 1.

3.23 Se desea implementar una Unidad Aritmético-Lógica (UAL) que realice las
siguientes operaciones de aritmética binaria con 3 números expresados en Valor
Absoluto y Signo (4 bits mas signo) en función de dos entradas de controla X1 y X2. La
salida tendrá suficientes bits como para que no se produzca rebose.

X1 X2 S
0 0 -A (C2)
0 1 A-B (Valor Abs. y Signo)
1 0 4xA (C2)
1 1 A+B-C (Valor Abs. y Signo)

Solución:

X2
X1
X1
+ X2
-A
VAyS +
- A(C2) Ci
BS
VAyS a
VAyS

C2
A

X1 BS C
Ci
A(C2)

SUM
X2 E0 (YA)

+ SUM
-Bo0 . ....
VAyS
MUX
. ... .
BS +
. ... .
-B o0
.... .
.... .
Salida total

C2
VAyS a
. . .. .
.... .
VAyS

(rAr(B o 0)-(Co 0))

E1
C2 SUM
....
B

....

X2

BS
-C o 0
C2

VAyS a
VAyS

C2
....
C

....

X1
X2

3.75
FUNDAMENTOS DE ELECTRÓNICA

3.24 Diseñar un sistema combinacional que tenga por entradas dos números A y B en
Valor Absoluto y Signo de 5 bits cada uno (incluido el signo) y una entrada de selección
S que de cómo salida el resultado de la operación A+2(A+B) en Complemento a 2 si
S=0, o en Valor Absoluto y Signo si S=1.

Solución:
S
A Ci
BS C2 BS
A(VAyS)

VAyS +
(A -B)
a C2 C2
C2 a
SUM
VAyS 2|A+B| Ci
6 Bits
B
BS C2
B(VAyS)

VAyS SUM
a C2 8 Bits
.....
.....
.....
.....
.....

Sol en VAyS
.....
..... 8-ST
.....
.....
A(C2)

3.25 Dados dos números A y B en Valor Absoluto y signo de 5 bits incluido el de signo,
diseñar un circuito combinacional que multiplique por 7 el mayor de ellos y l reste el
menor.

Solución:
A(C2) A(BD) VCC
1 2
A(C2)

BS E0 Ci
A(VAyS)

VAyS
A>B
a C2 8 Mayor
COMP MUX
A=B
5 bits
B(C2)

B(C2) B(BD) A<B E1


1 2
C SUM
BS 8 bits
B(VAyS)

VAyS menor C2 7 Mayor


a C2 C
1 2
A(C2)

Resultado en C2

E1

.... -Mayor
MUX
....
....
1 2
B(C2)

E0

1 2
menor C2

.... -Menor
....
7 Mayor - menor = 8 Mayor - Mayor - menor. ....
1 2

3.76
Circuitos Digitales Combinacionales

3.6 ANEXO: HOJAS CARACTERÍSTICAS DE CIRCUITOS


INTEGRADOS COMBINACIONALES

DM74ALS00. PUERTAS NAND DE DOS ENTRADAS............................................................. 3.78

DM74ALS138. DECODIFICADOR 3 A 8 CON SALIDAS NEGADAS....................................... 3.80

DM74LS47. DECODIFICADOR BCD-7 SEGMENTOS (ÁNODO COMÚN) ............................. 3.83

DM74LS48. DECODIFICADOR BCD-7 SEGMENTOS (CÁTODO COMÚN) ........................... 3.87

74F148. CODIFICADOR 3 A 8 CON PRIORIDAD. ................................................................... 3.90

DM74ALS151. MULTIPLEXOR DE 8 CANALES. ..................................................................... 3.95

DM74ALS153. DOBLE MULTIPLEXOR DE 4 CANALES. ........................................................ 3.99

DM74ALS157. CUÁDRUPLE MULTIPLEXOR DE 2 CANALES. ............................................ 3.102

DM74LS85. COMPARADOR DE 4 BITS................................................................................. 3.105

DM74LS83. SUMADOR COMPLETO DE 4 BITS. .................................................................. 3.109

3.77
FUNDAMENTOS DE ELECTRÓNICA

DM74ALS00. Puertas NAND de dos entradas

3.78
Circuitos Digitales Combinacionales

3.79
FUNDAMENTOS DE ELECTRÓNICA

DM74ALS138. Decodificador 3 a 8 con salidas negadas

3.80
Circuitos Digitales Combinacionales

3.81
FUNDAMENTOS DE ELECTRÓNICA

3.82
Circuitos Digitales Combinacionales

DM74LS47. Decodificador BCD-7 segmentos (Ánodo Común)

3.83
FUNDAMENTOS DE ELECTRÓNICA

3.84
Circuitos Digitales Combinacionales

3.85
FUNDAMENTOS DE ELECTRÓNICA

3.86
Circuitos Digitales Combinacionales

DM74LS48. Decodificador BCD-7 segmentos (Cátodo Común)

3.87
FUNDAMENTOS DE ELECTRÓNICA

3.88
Circuitos Digitales Combinacionales

3.89
FUNDAMENTOS DE ELECTRÓNICA

74F148. Codificador 3 a 8 con Prioridad.

3.90
Circuitos Digitales Combinacionales

3.91
FUNDAMENTOS DE ELECTRÓNICA

3.92
Circuitos Digitales Combinacionales

3.93
FUNDAMENTOS DE ELECTRÓNICA

3.94
Circuitos Digitales Combinacionales

DM74ALS151. Multiplexor de 8 Canales.

3.95
FUNDAMENTOS DE ELECTRÓNICA

3.96
Circuitos Digitales Combinacionales

3.97
FUNDAMENTOS DE ELECTRÓNICA

3.98
Circuitos Digitales Combinacionales

DM74ALS153. Doble Multiplexor de 4 Canales.

3.99
FUNDAMENTOS DE ELECTRÓNICA

3.100
Circuitos Digitales Combinacionales

3.101
FUNDAMENTOS DE ELECTRÓNICA

DM74ALS157. Cuádruple Multiplexor de 2 Canales.

3.102
Circuitos Digitales Combinacionales

3.103
FUNDAMENTOS DE ELECTRÓNICA

3.104
Circuitos Digitales Combinacionales

DM74LS85. Comparador de 4 bits.

3.105
FUNDAMENTOS DE ELECTRÓNICA

3.106
Circuitos Digitales Combinacionales

3.107
FUNDAMENTOS DE ELECTRÓNICA

3.108
Circuitos Digitales Combinacionales

DM74LS83. Sumador Completo de 4 bits.

3.109
FUNDAMENTOS DE ELECTRÓNICA

3.110
Circuitos Digitales Combinacionales

3.111
FUNDAMENTOS DE ELECTRÓNICA

3.112
Circuitos Digitales Combinacionales

3.113
Circuitos Digitales Secuenciales

TEMA 4
Circuitos digitales
secuenciales.

Índice de Contenidos

4.1 INTRODUCCIÓN A LOS SISTEMAS SECUENCIALES.................................. 4.2

4.2 BIESTABLES........................................................................................................ 4.4


4.2.1 Básculas R-S NOR y NAND ..................................................................................... 4.6
4.2.2 Biestable R-S síncrono activo por nivel.................................................................... .4.9
4.2.3 Biestable R-S síncrono activo por flanco................................................................. 4.11
4.2.4 R-S síncrono con entradas auxiliares asíncronas ..................................................... 4.12
4.2.5 Biestable J-K............................................................................................................ 4.13
4.2.6 Biestable D............................................................................................................... 4.14
4.2.7 Biestable T ............................................................................................................... 4.15
4.2.8 Características temporales de los biestables ............................................................ 4.17
4.2.9 Aplicaciones de los biestables.................................................................................. 4.17

4.3 CONTADORES................................................................................................... 4.18


4.3.1 Contadores asíncronos ............................................................................................. 4.20
4.3.2 Contadores síncronos ............................................................................................... 4.23
4.3.3 Líneas adicionales de los circuitos contadores síncronos comerciales .................... 4.33

4.4 REGISTROS........................................................................................................ 4.35


4.4.1 Registros de desplazamiento serie-serie................................................................... 4.36
4.4.2 Registros de desplazamiento serie-paralelo ............................................................. 4.37
4.4.3 Registros de desplazamiento paralelo-serie ............................................................. 4.38
4.4.4 Registros de desplazamiento paralelo-paralelo ........................................................ 4.39
4.4.5 Registros de desplazamiento bidireccional .............................................................. 4.40
4.4.6 Registros de desplazamiento universales ................................................................. 4.41
4.4.7 Aplicaciones de los registros.................................................................................... 4.41

4.5 PROBLEMAS...................................................................................................... 4.43

4.6 ANEXO: HOJAS CARACTERÍSTICAS............................................................ 4.55


FUNDAMENTOS DE ELECTRÓNICA

4.1 INTRODUCCIÓN A LOS SISTEMAS SECUENCIALES

Los circuitos electrónicos digitales vistos hasta ahora son circuitos combinacionales. En
ellos la salida del circuito viene dada en función del valor que en esos instantes tienen las
entradas. Si se cambia la combinación de valores de las entradas, la salida es otra sin
tener nada que ver con el valor de la salida anterior. Por tanto la salida de dicho circuito
no puede tener en cuenta situaciones pasadas. El esquema de entradas y salidas básico de
un circuito combinacional es el que se muestra en la Figura 4.1:

x1 z1
x2 CIRCUITO z2
Entradas : COMBINACIONAL : Salidas
: :
SIN REALIMENTACION
xn zm

Figura 4.1. Circuito combinacional de múltiples entradas y salidas.

En un sistema combinacional no existe ningún elemento que mantenga información de


situaciones pasadas (por ejemplo: el botón ya se ha pulsado 2 veces, etc.). Si se tiene en
cuenta esta información temporal el sistema digital adquiere nuevas posibilidades. Ahora
bien, la simplicidad de diseño de los circuitos combinacionales radica en que el número
posible de combinaciones diferentes en las señales de entrada es finito y mediante
métodos de simplificación de funciones, como Karnaugh o McCluskey, se obtiene el
mínimo circuito a diseñar.

En los circuitos secuenciales se amplía el número de posibilidades tanto como se quiera


ir hacia atrás en el tiempo, pues toda esa información se va almacenando en el estado
actual del sistema. Por este motivo la tabla de verdad de los circuitos combinacionales no
es válida a la hora de realizar o diseñar circuitos secuenciales. En lugar de ver todas las
posibilidades que pueden existir, basta con almacenar/memorizar lo que se necesita saber
en el sistema secuencial para poder seguir teniendo salidas con un significado válido.

Ejemplo 4.1: realización de un circuito digital de control de temperatura.

Como entrada al circuito existe un sensor de temperatura cuyo valor se mide


cada minuto. En caso de que durante 10 veces consecutivas se haya
sobrepasado el indicativo de temperatura elevada, se activa una alarma. Se
puede ver que las posibles combinaciones temporales que dan como
resultado la activación de la alarma no se pueden poner como una tabla de
verdad.

4.2
Circuitos Digitales Secuenciales

Pero su realización no es muy complicada, basta poner un circuito contador


que lleve la cuenta del número de veces que se ha sobrepasado cierta
temperatura de referencia.

¿Qué elemento se ha incorporado? Un circuito electrónico capaz de ir


almacenando la información necesaria (el estado del sistema), para que ante
nuevos valores de las señales de entrada se puedan ir obteniendo las salidas
del sistema secuencial e ir actualizando el estado del sistema, para poder
continuar.

Siguiendo con el ejemplo descrito, el número de alarmas activadas es la


información que se memoriza. Por tanto, la información de estado del
sistema secuencial se memoriza/almacena en el sistema para que pueda ser
tenida en cuenta en el siguiente instante temporal.

Teniendo almacenado el estado en que se encuentra el sistema, si se tiene


una nueva entrada se ha de calcular el nuevo estado del sistema al cual se
pasa y la salida del circuito. Una posible representación de un sistema
secuencial genérico puede ser la que se muestra en la Figura 4.2:

x1 z1
Entradas : CIRCUITO : Salidas
xn zn
COMBINACIONAL
:
:
:

Estado Estado
actual : : siguiente
MEMORIA :
:

Figura 4.2. Circuito secuencial genérico: funciones combinacionales más elementos de memoria.

En un sistema secuencial síncrono existe un ritmo o cadencia de funcionamiento, en el


ejemplo anterior se leía la temperatura con una cadencia de un minuto. Si se desea
sincronizar el funcionamiento del sistema, se requiere de una señal denominada reloj
(clock – CLK). El período de dicha señal coincide con el ciclo mínimo de
muestreo/actualización del sistema secuencial. El estado se memoriza/almacena durante
todo un período y cuando llega un nuevo período de la señal de reloj (transición de nivel
o flanco) se actualiza el estado del sistema y la salida del mismo, teniendo en cuenta las
entradas y el estado anterior.

En la Figura 4.3 se puede ver cómo con cada flanco ascendente de la señal de reloj
(transición 01) el estado del sistema secuencial va evolucionando (estado E1, E2, ...).

4.3
FUNDAMENTOS DE ELECTRÓNICA

Ahora bien, el sistema secuencial puede ser tipo Mealy (Salida 1), en el cual las salidas
del sistema para cierto estado del mismo pueden variar en el momento en que lo hacen
las entradas, o tipo Moore (Salida 2) en el que las salidas sólo tienen en cuenta el valor
del estado actual, permaneciendo estables hasta que no se produzca la siguiente
transición al próximo estado cuando lo indique la señal de reloj.

Reloj

Entradas

Estado
E1 E2 E3 E4 E5 E6 E7 E8
Salida 1 Mealy

Salida 2 Moore
S1 S2 S3 S4 S5 S6 S7 S8

Figura 4.3. Evolución del estado de un sistema secuencial y salida del mismo: Mealy y Moore.

En lo que sigue se van a ir viendo primero los elementos básicos necesarios para poder
almacenar la información del estado del sistema secuencial, y posteriormente circuitos
secuenciales comunes, como son los contadores y registros.

4.2 BIESTABLES

La unidad básica de almacenamiento o elemento fundamental de un circuito secuencial


es el biestable (también denominado flip-flop). En él se puede tener memorizado un 0 o
un 1. Se denomina biestable porque puede almacenar dos valores: cero o uno,
permaneciendo ese nivel en la salida de manera estable. El significado del bit
almacenado puede ser, por ejemplo, cero  motor parado, uno  motor en marcha.

Generalmente, la información a almacenar es mayor y no basta con un único bit, ya que


el significado viene dado por la agrupación de varios bits (por ejemplo: una agrupación
de 4 bits que tomados en bloque representan el número de veces que se ha pulsado un
botón).

En la Figura 4.4 se muestra el esquema de entradas y salidas de un circuito biestable


genérico:

4.4
Circuitos Digitales Secuenciales

Q
Entradas de BIESTABLE Salidas
control
Q

Figura 4.4. Esquema de un biestable genérico.

Mediante las entradas de control se puede cambiar el valor del bit almacenado. La
información almacenada en un biestable es un bit (0 o 1). El bit se obtiene directamente
en la salida Q, o bien se puede tener el bit negado en la salida Q . Es importante darse
cuenta de que la información es única (por ejemplo, el equipo en marcha se puede
representar por Q=1 o por Q =0, mientras que el equipo parado se codifica tanto con

Q=0 como con Q =1).

Los circuitos biestables a menudo se clasifican atendiendo a los siguientes criterios:

1) Según el tipo de las entradas de control: biestables R-S, J-K, D y T:


Mediante estas entradas se puede modificar el valor del bit almacenado, bien de
forma directa, poniendo un cero o un uno directamente, o bien atendiendo al valor
almacenado (si había un cero, poner un uno y viceversa (modo toggle)). Cada uno de
ellos tiene un funcionamiento diferente pero como se verá más adelante hay formas
de hacer equivaler unos a otros.

2) Según el tipo de disparo (transición en las salidas):


a) Asíncronos: las salidas conmutan cuando lo hacen las entradas siguiendo una
secuencia dada.
b) Biestables síncronos: las entradas no dictan el instante de conmutación, sí el valor
que debe tener la salida del biestable, pero el instante de conmutación se realiza
según una señal de entrada de “reloj”.

3) Según la forma de disparo:


a) activos por “nivel”: la señal de entrada o señal de reloj se activa cuando tiene un
determinado nivel de tensión (bajo L o alto H).
b) activos “por flanco”: la señal de entrada o señal de reloj se considera activa
cuando hay una transición de nivel, conmutación L-H o H-L.

En la Figura 4.5 se muestra la nomenclatura de los diferentes intervalos que existen en la


señal de reloj CLK:

4.5
FUNDAMENTOS DE ELECTRÓNICA

Nivel H Nivel H

Reloj
CLK

Nivel L Nivel L Flanco descendente

Flanco ascendente

Figura 4.5. Nomenclatura de las diferentes activaciones de una señal de reloj.

4.2.1 BÁSCULAS R-S NOR Y NAND

Por báscula se denomina al circuito biestable asíncrono más sencillo. El circuito básico
de las básculas R-S se muestra en la Figura 4.6.

R 1 Q S
Q
NOR NAND

S 2 Q Q
R
Figura 4.6. Esquemas de las básculas R-S NOR y R-S NAND.

Se va a estudiar el funcionamiento de la báscula R-S NOR, siendo aquél análogo al de la


báscula R-S formada por puertas NAND. El símbolo externo de una báscula R-S se
muestra en la Figura 4.7.

R Q

S Q

Figura 4.7. Símbolo del biestable R-S.

Donde:
x Q es la salida almacenada en el biestable ( Q viene determinada por el valor de Q).

x R (RESET) es la señal de entrada que lleva la salida a nivel bajo, Q=0 ( Q =1).

x S (SET) es la señal de entrada que lleva la salida a nivel alto, Q=1 ( Q =0).

4.6
Circuitos Digitales Secuenciales

El funcionamiento de la báscula R-S NOR se basa en la conexión realimentada de dos de


dichas puertas. La función lógica de una puerta NOR es:

Entradas Salida
A B Z
Entradas Salida
0 0 1
A B Z
0 1 0
1 0 0 0 B B
1 1 0 1 X 0

Para estudiar el funcionamiento de la báscula se van a ir dando valores a las entradas de


control R y S. Con las entradas R y S inactivas (en el caso de la báscula NOR, entradas

inactivas a nivel bajo), se mantiene la condición 0+Q = Q , y 0+ Q = Q. Por lo tanto

con RS=00 se queda almacenado el valor actual.

En el caso de desear realizar un RESET del bit (Q=0/ Q =1), se ha de activar la línea de
entrada R, dejando inactiva la entrada S, es decir RS=10. Con ello la puerta NOR de la
entrada R, pone a cero su salida independientemente de la otra entrada, 1+X =0, por lo
que R significa poner a cero la salida Q.

Ahora bien, como S es 0 en la otra puerta, hace que se tenga S+Q = 0+Q = Q =1, valor

que no afecta a la puerta de la entrada R dado que ya se tenía 1+X =0, R+ Q = 1+1 =0,

es decir, Q ha cambiado pero no afecta ya a Q, luego el sistema no sigue evolucionando


y permanece estable. Si más tarde R se desactiva, en su puerta NOR continúa

manteniéndose Q, R+ Q = 0+1 =0, como ya se había demostrado anteriormente, con lo

que RS=00 no cambia el valor almacenado.

En caso de desear realizar un SET del bit (Q=1/ Q =0), se ha de activar la línea de
entrada S, manteniendo inactiva la entrada R, es decir se pone RS=01. Con ello la puerta
NOR de la entrada S pone a cero su salida independientemente del valor que tenga Q,
1+Q =0.

El resultado de activar S ha de ser poner Q=1, o lo que es lo mismo, modificar la báscula


haciendo Q =0, para despues propagar la información a la puerta de arriba y poner el

4.7
FUNDAMENTOS DE ELECTRÓNICA

valor complementado en Q, Q=1. Por lo tanto, como en la otra puerta R es 0, se tiene

R+ Q = 0+ Q = Q =1, valor que no afecta a la puerta de la entrada S dado que se

tiene S+Q = 1+0 =0. Si más tarde se desactiva S, se continúan manteniendo los valores

de Q y Q .

La combinación de entradas RS=11 no se puede activar dado que supone tener


Q=0/ Q =0, con lo que la báscula pierde su característica de salidas complementadas. Si
se pone a pesar de todo, se debe pasar por una posterior activación de RESET o SET ,
pues de lo contrario, si después de activar RS a la vez se desactivan también a la vez se
desencadenaría una carrera entre Q y Q que, o bien se queda almacenado un valor
indeterminado, o bien puede seguir siendo inestable.

Para que esto último no ocurra, los circuitos comerciales tienen diferenciadas las puertas
NOR R y S para que una sea algo más lenta que otra y no haya posibilidad de
inestabilidades en el circuito.

La tabla de transición de una báscula (biestable asíncrono) R-S es la siguiente:

Entradas Próximo estado Próximo estado


Rt St Qt+1 Qt+1
0 0 Qt Qt
0 1 1 SET
1 0 0 RESET
1 1 X X

donde Qt es el valor actual, 0 o 1 del biestable R-S.

Así, se puede resumir su funcionamiento en que con RS=00 se mantiene el valor


almacenado, si se activa R se produce el reset de la báscula y, por último, si se activa S
se produce el set de la báscula. El mapa de Karnaugh de las distintas funciones, así como
la ecuación que relaciona el próximo estado Qt+1 con las entradas R-S y el estado actual
Qt almacenado se puede expresar como se muestra en la Figura 4.8:
RtSt
Qt 00 01 11 10
0 0 1 X 0

1 1 1 X 0 Q t 1 S t  Rt ˜Qt

Qt+1 = Qt SET X RESET

Figura 4.8. Mapa de Karnaugh y ecuación con el funcionamiento de un biestable R-S.

4.8
Circuitos Digitales Secuenciales

En la Figura 4.9 se muestra el cronograma (diagrama temporal con la evolución de las


distintas señales involucradas) con un ejemplo de funcionamiento de un biestable R-S.

Figura 4.9. Cronograma de ejemplo de funcionamiento de un biestable R-S.

Hay que hacer especial hincapié en que esta báscula es asíncrona, por tanto, cualquier
pulso espúreo, como podría ser el primer pulso de la señal S en el anterior cronograma,
hace conmutar de manera indeseable la salida del biestable.

Si se realiza una báscula R-S mediante puertas NAND, lo único que cambia es que sus
entradas R y S son activas a nivel bajo, es decir, el reset de la salida se produce activando
R. Pero ahora activar R significa poner R=0, mientras se deja inactiva S (S=1). El set se
produce activando S y dejando inactiva R (RS=10). Así, se ve que su funcionamiento es
similar al explicado anteriormente para la báscula R-S NOR, simplemente cambiando los
niveles considerados como activos.

4.2.2 BIESTABLE R-S SÍNCRONO ACTIVO POR NIVEL

Como se ha visto en el apartado anterior, los biestables asíncronos tienen problemas con
los pulsos espúreos que se producen en las entradas de control. Para solventar este
problema los biestables síncronos sólo hacen caso a las señales de control cuando lo
permite una nueva señal de entrada, denominada señal de reloj. En los biestables
síncronos activos por nivel, las señales RS sólo son tenidas en cuenta en el nivel activo
de la señal de reloj, de manera que sólo cuando está activo el reloj se puede cambiar el
valor almacenado en el biestable.

Los cambios a realizar en la estructura anteriormente vista para una báscula R-S, son
mínimos. Atendiendo a la definición hecha en el párrafo anterior, la nueva ecuación de
funcionamiento del biestable síncrono basado en puertas NOR es:

Q t 1 Clk ˜ S t  Clk ˜ R t ˜ Q t

de forma que la señal de reloj Clk habilita o no a las entradas R y S de la báscula.

4.9
FUNDAMENTOS DE ELECTRÓNICA

El circuito puede ponerse de dos maneras, como se muestra en la Figura 4.10:

S Sasinc S
Q Sasinc Q

Clk Clk

Rasinc Q Rasinc Q
R R

Figura 4.10. Biestable R-S síncrono a partir de uno asíncrono.

En la Figura 4.11 se muestra el símbolo de un biestable R-S síncrono junto a un posible


cronograma de su funcionamiento:

S Q
R
Clk
Clk
R Q

Figura 4.11. Símbolo de un biestable R-S síncrono y ejemplo de funcionamiento.

En general, la señal de reloj es periódica o responde a un evento externo, lo que restringe


el momento de captura de las señales de control R-S al tiempo que la señal Clk
permanece a nivel alto.

Por lo tanto, el funcionamiento de un biestable R-S síncrono queda como se muestra:

R S Clk Qt+1 Función


t
0 0 activo Q Hold
0 1 activo 1 Set
1 0 activo 0 Reset
1 1 activo X Prohibida

4.10
Circuitos Digitales Secuenciales

4.2.3 BIESTABLE R-S SÍNCRONO ACTIVO POR FLANCO

Si se desea restringir aún más el momento de captura de las señales de control R-S, se
debe hacer uso de biestables síncronos activos por flanco. En estos, la captura de R-S se
produce justo en el momento de cambio de nivel, transición de la señal de reloj. A la
transición de nivel se le denomina flanco de la señal. Por lo tanto, si la señal de reloj
cambia de 0 a 1, se dice que se ha producido un flanco ascendente o positivo en dicha
señal, y si la señal conmuta de 1 a 0 se tiene un flanco descendente o negativo. La
captura de las señales de control R-S mediante flanco dura apenas unos nanosegundos
mientras que la captura mediante nivel dura todo el nivel activo de la señal de reloj, que
será siempre un tiempo mayor.

A continuación, en la Figura 4.12, se muestran los símbolos de los biestables R-S


síncronos activos por flanco, atendiendo a si la señal de reloj es activa por flanco
ascendente o descendente (se diferencia en el símbolo de la entrada Clk poniendo un
círculo en la misma).

: flanco ascendente : flanco descendente

S Q S Q

Clk1 Clk2

R Q R Q

Figura 4.12. Símbolos de un biestable R-S síncrono activo por flanco: ascendente y descendente.

El funcionamiento de un biestable R-S síncrono por nivel o por flanco es el mismo


siempre que se ponga en función de la activación de la señal de reloj, sea cual sea su
activación. Su funcionamiento se muestra en la
Figura 4.13.

R S Clk1 Clk2 Qt+1

0 0 Qt Hold
0 1 1 Set

1 0 0 Reset

1 1 X Prohibida

Figura 4.13. Funcionamiento de un biestable R-S síncrono activo por flanco.

4.11
FUNDAMENTOS DE ELECTRÓNICA

4.2.4 R-S SÍNCRONO CON ENTRADAS AUXILIARES ASÍNCRONAS

Para poder poner en cualquier momento que se desee la salida del biestable a 0 (Clear) o
a 1 (Preset), saltándose el control de la señal de reloj, los circuitos comerciales poseen
dos pines auxiliares que funcionan de forma asíncrona, independientemente del reloj:
Clear y Preset. Estas dos señales ponen de manera directa la salida Q a 0 (Clear) o a 1
(Preset).

En el circuito de la Figura 4.14 se muestra cómo las entradas de Clear y Preset modifican
directamente el valor de la salida (Clear Q y Preset Q ->Q) sin pasar por el sincronismo
del reloj Clk. En este caso Clear y Preset son activas a nivel bajo.

Clear

S Q

Clk

R Q

Preset
Figura 4.14. Biestable R-S síncrono con entradas adicionales asíncronas.

De esta forma, Clear=0 hace conmutar inmediatamente la salida a Q=0, y Preset=0 pone
Q=1 independientemente de la entrada de reloj. Con Preset=Clear=1 el biestable
funciona normalmente de forma síncrona. Debe ser evitada la situación Clear=Preset=0,
igual que sucedía con R=S=1, pues indican cosas contradictorias.

El símbolo y la tabla de transición resumida de un biestable R-S síncrono con entradas


adicionales asíncronas se muestra en la Figura 4.15:

PRE CLR S R Ck Qt+1


S CLR Q
Preset 0 1 X X X 1
Clk Clear 1 0 X X X 0

R PRE Q Normal 1 1 Funcionamiento R-S síncrono

Figura 4.15. Símbolo y funcionamiento de un biestable R-S síncrono con entradas adicionales asíncronas.

4.12
Circuitos Digitales Secuenciales

En el cronograma de la Figura 4.16 se muestra el funcionamiento global de un biestable


R-S síncrono activo por flanco negativo o descendente y con entradas auxiliares.

CLK

Pr

Clr

Q
Preset | ---- | hold | reset | set |h|clear | hold
Figura 4.16. Ejemplo de funcionamiento de un biestable R-S síncrono con entradas adicionales
asíncronas.

4.2.5 BIESTABLE J-K

El biestable R-S tiene como principal desventaja la no utilización de la combinación


RS=11. Por ello, se han diseñado estructuras algo más complejas que solucionan el
problema de la indeterminación, y que utilizan esa combinación para realizar la
conmutación de la salida (Toggle=conmutación del valor de la salida al valor negado).

En este sentido, el biestable J-K tiene la siguiente tabla de funcionamiento:

KtQt
J K Qt+1 Función Jt 00 01 11 10
t 1
0 0 Q Hold 0
0 1 3 2
1
0 1 0 Reset 1 1 1
4 5 7 6
1 0 1 Set
1 1 Toggle
Q t 1 J t ˜ Qt  Kt ˜ Qt
t
Q

Figura 4.17. Funcionamiento y mapa de Karnaugh de un biestable J-K.

En la tabla se puede ver como la entrada J se comporta como Set, y la entrada K como
Reset. Pero si se activan ambas entradas, se produce la conmutación del biestable al
estado opuesto al que tenía (“toggle”). Al igual que con los biestables R-S, existen
biestables J-K asíncronos y síncronos activos por nivel o por flanco, además de poder
incorporar entradas auxiliares de Preset y Clear.

4.13
FUNDAMENTOS DE ELECTRÓNICA

En la Figura 4.18 se muestra el circuito del biestable J-K síncrono y su símbolo.

J S
Q J Q

Clk Clk

Q K Q
K
R

Figura 4.18. Circuito interno de un biestable J-K en función de un biestable R-S NAND. Símbolo.

En los dos apartados siguientes se describen otros tipos de biestables que difieren con el
J-K en el control del dato almacenado.

4.2.6 BIESTABLE D

En este biestable se controla el valor almacenado de forma directa con la entrada D. Son
biestables síncronos, de tal forma que el valor de la entrada D se almacena en el
biestable, salida Q, en el momento en que lo indique la señal de reloj.

Dt Clk Qt+1

0 activo 0 Q t 1 Dt
1 activo 1

Figura 4.19. Funcionamiento y mapa de Karnaugh de un biestable D.

Atendiendo al tipo de sincronismo del reloj, existen dos tipos de biestables D:

a) Reloj activo por nivel. También se denomina comúnmente Latch. Durante el nivel
activo de la señal de reloj se está capturando/almacenando en la salida Q la
entrada D. En el momento en que el reloj pasa a su estado inactivo se deja de
capturar D por lo que el valor almacenado finalmente en Q es el que existe en la
transición de nivel activo a inactivo de la señal de reloj.

b) Reloj activo por flanco. El valor de Q sólo puede cambiar en el flanco activo de la
señal de reloj, instante en el que se captura el valor de la entrada D. El flanco
activo puede ser ascendente o descendente.

4.14
Circuitos Digitales Secuenciales

Existen biestables D comerciales, pero también se puede conseguir un funcionamiento


equivalente utilizando biestables de tipo R-S o J-K. En la Figura 4.20 se muestra un
biestable D sintetizado a partir de un biestable J-K:

D Q D J Q

Clk
Clk Q K Q

Figura 4.20. Símbolo de un biestable D. Realización de un biestable D mediante un biestable J-K.

En la Figura 4.21 se muestran los cronogramas de dos biestables D, uno activo por nivel
alto, también denominado Latch, y otro activo por flanco descendente.

Clk

Q (latch)
Captura D en todo el
nivel alto de Clk

Q (flanco)
Captura D en el
flanco descendente

Figura 4.21. Cronograma de funcionamiento de un biestable D activo por nivel y otro por flanco.

4.2.7 BIESTABLE T

En este tipo de biestable el control sobre el dato almacenado es conmutar (toggle, de ahí
el nombre T). Con una única señal de control, T, se indica si el dato ha de conmutar al
valor opuesto al que tiene actualmente. Este biestable siempre funciona de modo
síncrono y por flanco para asegurar una y sola una conmutación. Si la entrada T no se
activa se mantiene el mismo valor almacenado.

Visto su funcionamiento, se muestra a continuación su tabla de transición y ecuación:

4.15
FUNDAMENTOS DE ELECTRÓNICA

T Clk Qt+1 Qt
Tt 0 1
0 Qt Hold 0 0 1
1
1 Qt Toggle
1 0 Q t 1 Tt † Q t

Figura 4.22. Funcionamiento y mapa de Karnaugh de un biestable T síncrono.

Comercialmente no se dispone de un biestable tipo T, así que se recurre a un biestable JK


para realizar un funcionamiento tipo T. En la Figura 4.23 se muestra la equivalencia.

T Q T J Q
Clk Clk

Q K Q

Figura 4.23. Símbolo de un biestable T síncrono y equivalencia mediante un biestable J-K.

Un ejemplo típico de funcionamiento de un biestable tipo T es como divisor de


frecuencia. Por cada pulso de reloj, la salida almacenada en el primer biestable conmuta
de nivel una vez, es decir, un período suyo (nivel L y nivel H) necesita de dos pulsos o
ciclos de reloj. Por lo tanto, se puede concluir que la frecuencia de la señal de salida es la
mitad de la del reloj. Si ahora esa señal se introduce como reloj en un segundo biestable,
nuevamente se volverá a dividir por dos, y así sucesivamente a partir de una señal inicial
de frecuencia f se pueden lograr señales de frecuencia f/2, f/4, f/8, f/16, etc.

T=1

J Q1 “f/2” J Q2 “f/4”
B1 B2
Señal de frec. “f” Clk Clk

K Q K Q

Figura 4.24. Divisor de frecuencia mediante una cadena de biestables T.

Clk

Q1

Q2

Figura 4.25. Cronograma con el funcionamiento del divisor de frecuencia.

4.16
Circuitos Digitales Secuenciales

4.2.8 CARACTERÍSTICAS TEMPORALES DE LOS BIESTABLES

Las siguientes características temporales son aplicables para cualquier tipo de biestable
de los descritos en los apartados anteriores.

x Tiempo de retardo de propagación: es el intervalo de tiempo necesario desde que se


aplica una señal de entrada hasta que se produce la conmutación de la salida.

x Tiempo de setup: es el mínimo intervalo que se necesita en los niveles de las señales
de entrada para que sean estables en el instante de la conmutación, y así se efectúe la
conmutación de forma fiable en el biestable.

x Tiempo de hold: es el mínimo intervalo que se necesita mantener los niveles lógicos
de las entradas después de efectuar el instante de conmutación en la señal de reloj, y
así se realice la conmutación de forma correcta en el biestable.

x Frecuencia máxima de reloj: es la frecuencia mayor que se puede aplicar al biestable


para que conmute siempre de una forma fiable.

x Disipación de potencia: es la potencia total consumida por el dispositivo.

x Anchura mínima de los pulsos: anchura mínima que han de tener los pulsos de las
señales de entrada siguientes: CLK, PRESET y CLEAR.

El valor concreto de cada parámetro de los descritos se encuentra en las hojas que
proporciona el fabricante para cada circuito integrado. En ellas también se especifica el
funcionamiento, requisitos y límites a tener en cuenta para una correcta conexión y
operación de dichos circuitos integrados.

4.2.9 APLICACIONES DE LOS BIESTABLES

Existen numerosas aplicaciones prácticas de los biestables, si bien hay ciertas


configuraciones que por su profusión en circuitos digitales de mayores proporciones bien
merecen ser expuestas de una forma breve.

x Circuitos contadores

Una aplicación muy importante de los biestables son los contadores digitales, los cuales
se cubren en detalle en el siguiente apartado. Con estos circuitos se tiene la posibilidad

4.17
FUNDAMENTOS DE ELECTRÓNICA

de incorporar al circuito secuencial información del número de veces que ha ocurrido


cierto evento, de realizar una secuencia prefijada, etc.

x Almacenamiento y desplazamiento de datos

En los sistemas digitales, los datos son almacenados comúnmente en grupos de bits que
representan números, códigos, o cualquier otra información. Así, es normal encontrarse
con varios bits agrupados en líneas en paralelo de forma que se puedan almacenar
simultáneamente en un grupo de biestables. Cada una de las líneas de datos se conecta a
la entrada D de un biestable. Puesto que todas las entradas de reloj se conectan al mismo
reloj, los datos de las entradas D se almacenan simultáneamente en los biestables cuando
llega el flanco activo de la señal de reloj. Éste, y otros tipos de registros, basados en
biestables, serán descritos al final del capítulo.

4.3 CONTADORES

Los biestables son útiles a la hora de realizar circuitos secuenciales. Dentro de éstos, los
circuitos contadores, dada su gran difusión y variedad de aplicaciones, se han
comercializado como circuitos integrados.

Los circuitos contadores son dispositivos electrónicos capaces de ir contando los


impulsos (número de flancos, bien ascendentes o descendentes, según sea el tipo de
contador), procedentes de una señal (reloj) que puede contener información, por ejemplo
del paso de vehículos, por lo que el contador almacena el número de coches que han
pasado.

Se va a suponer un contador situado en un circuito digital. Dicho contador puede requerir


las siguientes premisas:

x Posibilidad de realizar una cuenta ascendente o descendente, si se necesita.

x Tener un valor máximo de cuenta adecuado para la función que se haya de realizar,
en relación directa con su número de bits. Por ello, en los circuitos comerciales se da
la posibilidad de ampliar la capacidad del número de cuenta mediante la adición de
nuevos circuitos contadores, si fuera necesario un mayor número de bits.

x Que se pueda resetear en cualquier momento (por ejemplo: iniciar de nuevo toda la
cuenta).

4.18
Circuitos Digitales Secuenciales

x Puede ser necesario cargar un valor de cuenta inicial desde el cual comenzar la
cuenta (por ejemplo: iniciar la cuenta en 5).

Como se ha comentado antes, el valor máximo de cuenta, está muy relacionado con el
número de bits de los que consta un contador. Cada bit, a saber, viene dado por la salida
de un biestable, por tanto hablar de número de pines de salida de un circuito contador, es
hablar del número de biestables de los que consta internamente dicho contador. Por
ejemplo, si consta de 4 biestables, entonces tiene 4 pines de salida, 4 bits, pudiendo
contar de forma binaria de 0 a F, o de 0 a 15 en decimal. El módulo de cuenta de dicho
contador es 16 (números diferentes que puede tener el contador en su salida).

Ahora bien, en ocasiones es deseable contar solamente de 0 a 9 para tener un contador


BCD (Binario Codificando Decimal), de tal forma que no se utilicen todas las
posibilidades que dan 4 bits, y se restrinja a un módulo de cuenta 10.

En general, se puede decir que si se desea tener un módulo de cuenta “m”, es necesario
tener un contador de “n” bits, de forma que se tenga 2n-1  m  2n. Por ejemplo, si se
desea contar de 0 a 100, entonces se necesitan 7 bits. Con 6 bits se llega solo a 63, y ya
con 7 bits se llega a 127, por lo tanto será óptimo utilizar un contador de 7 bits siempre
que se tenga que contar desde 64 como mínimo y hasta 127 como máximo.

Existen dos tipos de contadores, atendiendo a la forma de conmutar los biestables que
conforman el mismo:

1) Contadores asíncronos son aquéllos que cuentan los flancos de la señal de reloj
variando bit a bit el número de cuenta, desde el bit de menor peso hasta llegar al bit
de mayor peso.

Supongamos que se tiene un contador asíncrono binario (0-F) de 4 bits y cuenta


ascendente. Cada bit del contador consta de un biestable. La señal de reloj se aplica al
bit de menor peso, haciendo que conmute cada vez que esta señal de reloj del
contador contenga un flanco ascendente (podría haber sido activo por flanco
descendente). El siguiente bit atiende al anterior para saber si debe conmutar él
también, y así sucesivamente. Como el bit de mayor peso ha de esperar la reacción de
todos los biestables anteriores hasta que se consigue la transición efectiva de un
número de cuenta al siguiente, se producen dos efectos indeseados a todas luces
(aunque a veces tolerados):

x Aparición de números no deseados durante breves instantes, transitorios, hasta


que se propaga por todos los biestables la orden de conmutar. Por ejemplo, el
caso peor es que el contador tenga un estado de cuenta 1111 y se le aplique un

4.19
FUNDAMENTOS DE ELECTRÓNICA

pulso de reloj. Después de un tiempo igual al tiempo de propagación de un


biestable, la salida del primer biestable cambia, 1110; en ese momento es cuando
se está ordenando al segundo biestable que cambie, por lo que lo hace en un
tiempo igual al de propagación, 1100, más tarde 1000 y por fin en último lugar se
tiene el valor deseado 0000.

x Tiempo de estabilización del número de cuenta dependiente del número de bits de


los que conste el contador. A mayor número de bits, mayor tiempo de respuesta
del contador. Esto tiene como consecuencia el que la frecuencia de
funcionamiento de los dispositivos contadores asíncronos sea menor que la de los
contadores síncronos.

2) Contadores síncronos, en los que el número siguiente se obtiene a la vez en todos los
bits. El número de cuenta no tiene valores inválidos durante un tiempo apreciable,
solo el tiempo de propagación, y la frecuencia de trabajo de estos contadores es
mayor que la de los asíncronos. Pero la ganancia en velocidad y la sincronía de todos
los bits a la hora de su conmutación hace necesaria una complicación en el diseño del
circuito electrónico. La lógica contempla todos los bits del número para conseguir
obtener la salida de cada biestable adecuada para que todos los bits juntos, conformen
el número de cuenta siguiente.

4.3.1 CONTADORES ASÍNCRONOS

Aunque ya se han descrito sus desventajas de funcionamiento frente a los síncronos, son
contadores que tienen una estructura sencilla y por tanto más baratos, siendo utilizados
en los contadores de gran número de bits. La ampliación de contadores síncronos puede
ser asíncrona con lo que está justificado su estudio.

Los contadores constan de tantos biestables como bits tenga el circuito. El


funcionamiento de un circuito contador asíncrono es sencillo. Se va a ilustrar el
funcionamiento suponiendo que se tiene un contador de 2 bits y se desea ampliar a 3 bits
el contador. Lo único que hay que hacer es ver cuándo conmuta el bit inmediatamente
anterior. Si se está realizando un contador ascendente el bit 3 ha de conmutar en el
momento en el que el bit 2 pase de 1 a 0. Por lo tanto, si se dispone de biestables activos
por flanco descendente, basta con conectar la salida del biestable 2 al nuevo biestable 3
para obtener una cuenta ascendente de 3 bits. Si se desea tener un cuarto bit habría que
repetir la operación de forma análoga: tomar la salida del biestable 3 y llevarla a la
entrada de reloj del nuevo biestable 4, lo que hará que conmute su salida en el momento
adecuado.

4.20
Circuitos Digitales Secuenciales

A menudo es común realizar los contadores con circuitos biestables funcionando en


modo T. Si no se dispone de un biestable de dichas características sino de otro
funcionamiento se puede hacer equivaler a un tipo T siguiendo los esquemas que se
muestran en la Figura 4.26:

Con un tipo T Con un tipo J-K Con un tipo D Con un tipo R-S
“1”

T Q “1” J Q D Q S Q

Clk Clk Clk Clk


Clk

Q K Q Q R Q

Figura 4.26. Funcionamiento modo “toggle” en los distintos biestables estudiados.

Como ejemplo de diseño se va a realizar un contador asíncrono ascendente de 3 bits,


haciendo uso de biestables activos por flanco de bajada funcionando como biestables T.
En el funcionamiento asíncrono la salida de un biestable ataca al reloj del siguiente
indicándole el momento en el que debe conmutar. Así, se muestran a continuación dos
tablas de cuenta ascendente donde se relacionan dos a dos los bits consecutivos 1-2 , y
los bits 2 y 3.

Secuencia del Conmutaciones de Qb Secuencia del


contador (siempre con Qa 1o0) contador
( Qc Qb Qa)t ( Qc Qb Qa)t
Conmutaciones de Qc
0 0 0 0 0 0
(siempre con Qb 1o0)
0 0 1 0 0 1
0 1 0 0 1 0
0 1 1 0 1 1
1 0 0 1 0 0
1 0 1 1 0 1
1 1 0 1 1 0
1 1 1 1 1 1
0 0 0 0 0 0

Figura 4.27. Secuencia de cuenta y relación de la conmutación entre bits consecutivos.

El funcionamiento del contador es asíncrono, por tanto la salida de cada biestable es la


que ha de indicar el momento de conmutación del siguiente. De las tablas se observa que
en el momento de conmutación de Qb, (0o1, 1o0) coincide siempre que su bit anterior
Qa cambia de 1o0. Por lo tanto, si la entrada de reloj del biestable Qb es activa por
flanco de bajada, simplemente hay que conectar la salida de Qa. Para el caso de Qc, se
observa de forma análoga que Qc debe conmutar cuando en Qb se tenga una transición
1o0. De esta manera el circuito contador asíncrono ascendente de 3 bits es el que se
muestra en la Figura 4.28:

4.21
FUNDAMENTOS DE ELECTRÓNICA

Qa Qb Qc

“1” “1” “1”

J Q J Q J Q

Reloj Clk Clk Clk

K Clr Q K Clr Q K Clr Q

Reset

Figura 4.28. Circuito contador ascendente asíncrono de 3 bits.

En la Figura 4.29 se muestra el cronograma de cuenta del circuito, partiendo de un valor


de cuenta 0:

Reloj ···

Qa ···

Qb ···

Qc ···
0 1 2 3 4 5 6 7 0 1 2 ···

Figura 4.29. Cronograma modo conmutación (toggle) para los distintos biestables estudiados.

Los biestables no tienen que ser necesariamente activos en flanco de bajada, ni debe ser
obligatoriamente la salida Q la que ataque al reloj del siguiente biestable, ni las salidas
del contador deben tomarse siempre de las salidas Q de los biestables.

Existen diferentes combinaciones de estos factores que siguen dando lugar a contadores
asíncronos ascendentes. Se deja como ejercicio ver que para realizar el ejemplo anterior
con biestables J-K activos por flanco ascendente , basta conectar al reloj de un
biestable la salida Q del biestable anterior. Si por contra, partiendo desde el primer

ejemplo, se conecta en lugar de Q, la salida Q , la secuencia de cuenta obtenida es


descendente.

4.22
Circuitos Digitales Secuenciales

4.3.2 CONTADORES SÍNCRONOS

4.3.2.1 Máquina secuencial


Para realizar los circuitos contadores síncronos se va a utilizar la síntesis general de
circuitos secuenciales, la cual puede ser aplicada a cualquier otro diseño secuencial que
se desee realizar. Básicamente, existen dos tipos de circuitos secuenciales síncronos a
saber:

1. La máquina de Mealy o Huffman


2. La máquina de Moore.

En los circuitos secuenciales tipo Mealy las salidas (y(t)) además de depender del estado
Q(t), se actualizan automáticamente al cambiar las entradas x(t). La señal de reloj en todo
circuito secuencial establece los cambios de estado (Q(t)->Q(t+1)). Por ello esta
estructura no es aplicable a los circuitos contadores, en los cuales sus salidas se
actualizan con los flancos de la señal de reloj CLK. La estructura de una máquina
secuencial de tipo Mealy se muestra en la Figura 4.30.

C2
Salidas Y(t)
Entradas X(t) Q(t+1) Q(t)
C1 Memoria

CLK

Figura 4.30. Máquina secuencial de tipo Mealy.

Como comparación se muestra la estructura de una máquina de Moore en la Figura 4.31:

Entradas X(t) Q(t+1) Q(t) Salidas Y(t)


C1 Memoria C2

CLK

Figura 4.31. Máquina secuencial de tipo Moore.

4.23
FUNDAMENTOS DE ELECTRÓNICA

En cada pulso de la señal CLK se realiza la transición de estado (Q(t)->Q(t+1)). Como


las salidas son función del estado actual, en la maquina de Moore las salidas del sistema
secuencial se actualizan con los flancos del reloj independientemente de si cambian o no
las entradas.

x Metodología de diseño
Como todos los problemas de síntesis, el diseño de un circuito contador (circuito
secuencial Moore) síncrono, incluye deducir una estructura que tenga un comportamiento
específico, es decir, el objetivo primero es conseguir del circuito digital un
funcionamiento que se atenga a las especificaciones dadas. Pero, como sucede en los
diseños combinacionales, también se persiguen otros objetivos importantes, como son la
minimización del coste total de los componentes, maximización de la velocidad de
operación, fácil verificación y dotarle de cierta capacidad de expansión.

La complejidad del diseño hace que los procesos utilizados no sean óptimos al 100%. Es
preferible reducir dicha complejidad a expensas de utilizar componentes adicionales.

A continuación se muestra un método general, el método clásico, ampliamente utilizado.


Se irán explicando sus diferentes conceptos mediante la realización de los circuitos
contadores. Los pasos a seguir son los siguientes:

1. Descripción del funcionamiento: identificación de estados y transiciones entre éstos.


Se trata de una descripción verbal informal de la función del sistema y del resultado que
debe conseguir. Lo normal es que a partir de esta primera descripción, el diseñador
realice ciertas suposiciones para que las especificaciones sean más precisas y completas.

En principio se va a realizar un contador de 2 bits ascendente. Más adelante se verá que


esta especificación puede ser modificada complicando el circuito a diseñar, para que
cuente una secuencia determinada solamente, cuenta descendente, etc.

2. Construcción del grafo y tabla de estados. Es ésta la parte más difícil del diseño,
pues el diseñador debe identificar los estados del sistema (información a recordar) así
como las transiciones entre los estados. La experiencia del diseñador es fundamental a la
hora de abordar esta tarea.

Esta dificultad hace recomendable que una vez diseñado el sistema, éste sea probado
aplicándole ciertos vectores de entrada y comprobando las salidas obtenidas (simulación
del circuito) para así determinar si el circuito funciona correctamente. En caso negativo,
será necesario volver a este paso y modificar el grafo y la tabla de estados.

4.24
Circuitos Digitales Secuenciales

El grafo y la tabla representan la misma información y son equivalentes entre sí: la


información que representan es el comportamiento del sistema. El primero de una forma
gráfica, y la segunda mediante una tabla. Si el sistema es simple normalmente se realiza
sólo la tabla de estados aunque lo normal es realizar primero el grafo, pues al ser gráfico
es más intuitivo de visualizar, más sencillo de entender, para posteriormente, a partir de
él, construir la tabla de estados.

El grafo es una representación gráfica donde los estados se representan por círculos
nombrados por qi (estado i) y unidos entre sí por flechas (que indican el paso/transición
de un estado a otro al aplicar al sistema el flanco activo del reloj) etiquetadas por las
combinaciones binarias de entrada que producen dicha transición y el valor de salida
actual (la que corresponde al estado del que parte la flecha).

Parten de un estado inicial (q0) y llegan a un estado final (qf), en el cual pueden quedar
indefinidamente (sólo cambian mediante la activación de una entrada de inicio asíncrona,
que ya se comentará más tarde la forma en la que se representa), o bien vuelve de forma
automática a q0, repitiéndose el proceso nuevamente. Esto depende de las
especificaciones.

Ejemplo: Grafo de un contador ascendente síncrono de 2 bits.

estado/salidas

Q0/00 Q1/01 Q2/10 Q3/11

Reset

Figura 4.32. Grafo de estados de un contador ascendente de 2 bits.

A continuación se ha de realizar la tabla de estados, la cual se obtiene de forma inmediata


a partir del grafo. Esta tabla puede tener la siguiente estructura:

Próximo estado/Salida actual


Estado actual
Entrada 1 ... Entrada n
Q0 Qx/Sh Qy/Sg
Q1 ... ... ...
... ... ... ...
Qn Qv/Sf Qv/Sj

4.25
FUNDAMENTOS DE ELECTRÓNICA

Si se tiene que cada estado actual tiene idéntica salida actual ante cualquier próximo
estado (Sh=···=Sg y Sf=···=Sj), se puede poner la tabla como sigue (es más simple y
responde a un sistema tipo Moore).

Próximo estado
Estado actual
X=0 X=1 Z1Z2 actual
Q0 Q1 Q2 01
Q1 Q0 Q3 10
Q2 Q1 Q1 00
Q3 Q0 Q0 00

Para el contador ascendente de 2 bits cíclico, la tabla de estados queda:

Estado actual Próximo estado Z1Z2 actual


Q0 Q1 00
Q1 Q2 01
Q2 Q3 10
Q3 Q0 11

3. Asignación de estados. Tabla de transición. En este paso se asigna un código binario


a cada estado para, posteriormente, implementar el circuito. Atendiendo a esto se
necesitan r bits (variables de estado), cada bit corresponde a un biestable, para poder
definir m estados.

La asignación de un código a cada estado es complicada, pues hay muchas posibles


asignaciones entre estados y combinaciones binarias. Por otra parte, no existe ninguna
forma práctica que determine las asignaciones de estados que producen
implementaciones de un menor costo, aunque hay algunos trabajos teóricos interesantes
sobre el tema. Se conocen, eso sí, algunas reglas empíricas para efectuar estas
asignaciones. Actualmente existen programas de CAD que calculan asignaciones
eficientes.

En concreto, en este libro se ha escogido una asignación binaria de los estados por lo que
basta poner a cada estado su número binario correspondiente (Q0=>00, Q1=>01, etc.),.

Con una asignación realizada a los estados del sistema, se debe obtener la tabla de
transición propiamente dicha, sin más que sustituir los estados Qi por su código binario
correspondiente. A continuación se muestra el paso de la tabla de estados a la tabla de
transición, sin más que cambiar cada estado por el valor asignado.

4.26
Circuitos Digitales Secuenciales

Tabla de estados:

Z1Z2
Estado actual Próximo estado
actual
RESET =>Q0 Q1 00
Q1 Q2 01
Q2 Q3 10
Q3 Q0 11

Tabla de transición:

Z1Z2
Estado actual y1y0 actual y1y0 próximo Próximo estado
actual
RESET =>Q0 00 01 Q1 00
Q1 01 10 Q2 01
Q2 10 11 Q3 10
Q3 11 00 Q0 11

Conviene hacer notar que a la hora de inicializar el estado del sistema en un estado
conocido, a dicho estado inicial se le suele etiquetar con el número 0 y así de esta forma
un simple reset de todos los biestables que conforman el sistema secuencial pone al
circuito en ese primer estado. Además, se puede realizar en cualquier momento una carga
directa de los biestables para poner un estado dado. De esta forma, se pueden lograr
diferentes inicios del sistema secuencial. Esto se logra sin más que activar las señales de
Preset y Clear de los biestables, de tal forma que se logre el código del estado asignado a
ese estado inicial Qi.

4. Especificación de la tabla de excitación. Como ya se ha comentado, los códigos de


los m estados (00, 01, 10, 11 => m=4 estados) van a ser generados por r biestables (r=2),
los cuales guardarán el estado actual y harán que se pase al siguiente estado al recibirse
el siguiente flanco activo del reloj. Tampoco existe en este caso un criterio claro para
elegir el tipo de biestable que proporcione la realización del circuito más simplificada.
Normalmente se toman de tipo D, por su facilidad de implementación.

La tabla de excitación especifica las señales que deben aplicarse a los biestables para
producir los estados siguientes que se desean. Esta tabla de excitación se obtiene sobre la
base de observar la tabla de transición de un estado al siguiente.

4.27
FUNDAMENTOS DE ELECTRÓNICA

La tabla de excitación de los biestables básicos es:


Qt => Q(t+1) D J K S R T
0 => 0 0 0 X 0 X 0
0 => 1 1 1 X 1 0 1
1 => 0 0 X 1 0 1 1
1 => 1 1 X 0 X 0 0

Es decir, si en un biestable se tiene un 0 y se ha de conmutar a 1 para cierto estado actual,


se debe poner un 1 en la entrada D, o JK=1X, T=1, RS=01, dependiendo del tipo de
biestable que se tenga. Por tanto, la tabla de excitación consiste en ver la conmutación
q(t)=>q(t+1) en un biestable dado para pasar de un cierto estado Qi a un estado Qj. En
nuestros ejemplos de realización de circuitos contadores síncronos se van a utilizar
biestables D, por lo que basta poner en la entrada D lo que se desea tener en el estado
siguiente q(t+1), todo ello en función del estado en el que se encuentre el sistema.
Para el caso de un contador síncrono:
y1y0 actual y1 próximo = D1 y0 próximo = D0
00 0 1
01 1 0
10 1 1
11 0 0

5. Especificación de las funciones combinacionales. Se simplifican por Karnaugh las


funciones Di, JiKi, y Zt, tomando como variables de entrada qt(yi(t)). Las funciones
resultantes se implementan con puertas y con los biestables elegidos, todos ellos con la
misma señal de reloj, característica fundamental en todo circuito secuencial síncrono.
D0=NOT(y0) D1=XOR(y1,y0)

6. Realización del circuito. Por último, resta la implementación real del circuito o
sistema digital diseñado con todo lo anterior: 2 biestables y los circuitos combinacionales
que hacen las transiciones necesarias de un estado a otro.

En lo que sigue se van a realizar una serie de ejemplos que haciendo uso de la
metodología realizan contadores digitales con distintas funcionalidades.

Ejemplo 4.2: Contador de 3 bits ascendente/descendente.

En este circuito se desea que mediante una señal de control denominada


UP/DOWN se especifique la dirección de cuenta. Ascendente con la señal de
control a cero y descendente con la señal a uno. Teniendo en cuenta lo
anterior, el grafo de estados se muestra en la Figura 4.33(por sencillez, se

4.28
Circuitos Digitales Secuenciales

ha representado un grafo para un contador ascendente/descendente de sólo


2 bits).
estado/salidas

cuenta ascendente UP/DOWN=0


Reset
Q0/00 Q1/01 Q2/10 Q3/11
UP/DOWN=1
cuenta descendente

Figura 4.33. Grafo de un contador bidireccional.

Por tanto, la tabla de estados que refleja la información necesaria para el


correcto funcionamiento del contador bidireccional es:

Próximo estado Salida actual


Estado actual
UP/DOWN=0 UP/DOWN=1 Z2 Z1 Z0
RESET => Q0 Q1 Q7 000
Q1 Q2 Q0 001
Q2 Q3 Q1 010
Q3 Q4 Q2 011
Q4 Q5 Q3 100
Q5 Q6 Q4 101
Q6 Q7 Q5 110
Q7 Q0 Q6 111

En la asignación binaria de los estados, como existen 8 estados se necesitan


3 bits para codificarlos, quedando por tanto la siguiente tabla de transición:

y2y1y0(t+1) Salida actual


Estado actual
y2y1y0(t) UP/DN=0 UP/DN=1 Z2 Z1 Z0
RESET =>Q0 000 001 111 000
Q1 001 010 000 001
Q2 010 011 001 010
Q3 011 100 010 011
Q4 100 101 011 100
Q5 101 110 100 101
Q6 110 111 101 110
Q7 111 000 110 111

4.29
FUNDAMENTOS DE ELECTRÓNICA

Se comprueba fácilmente que la codificación del estado es idéntica a la


salida por lo que basta conectar las líneas que codifican el estado y2y1y0 a
las salidas Z2 Z1 Z0. Por otra parte, y a modo de ejemplo orientativo,
podemos representar la transición en los niveles lógicos de la salida y2 entre
el estado actual y el próximo según la siguiente tabla:

Estado y2(t) => y2(t+1)


y2y1y0(t) UP/DN=0 UP/DN=1
000 0 => 0 0 => 1
001 0 => 0 0 => 0
010 0 => 0 0 => 0
011 0 => 1 0 => 0
100 1 => 1 1 => 0
101 1 => 1 1 => 1
110 1 => 1 1 => 1
111 1 => 0 1 => 1

Entonces, si se tiene un biestable D, para realizar las transiciones adecuadas


hay que tener en la entrada D2 los bits de la tabla siguiente:

Estado D2
y2y1y0(t) UP/DN=0 UP/DN=1
000 0 1
001 0 0
010 0 0
011 1 0
100 1 0
101 1 1
110 1 1
111 0 1

Ejemplo 4.3: Contador de décadas ascendente no cíclico.

En este ejemplo, el grafo de estados es el que se muestra en la Figura 4.34:

4.30
Circuitos Digitales Secuenciales

estado/salidas

Q0/0000 Q1/0001 Q2/0010 Q9/1001

Reset

Figura 4.34. Grafo de estados de un contador de décadas ascendente saturado.

La tabla de estados siguiente refleja la información necesaria en cuanto al


funcionamiento del contador de décadas.

Estado actual Próximo estado Salida actual


Qi(t) Qi(t+1) Z3Z2Z1Z0
Q0 Q1 0000
Q1 Q2 0001
Q2 Q3 0010
Q3 Q4 0011
Q4 Q5 0100
Q5 Q6 0101
Q6 Q7 0110
Q7 Q8 0111
Q8 Q9 1000
Q9 Q9 (saturado) 1001

En la asignación binaria a cada estado se le debe etiquetar con 4 bits,


aunque no se utilizan las combinaciones de 10 a 15.

Estado actual Proximo estado Salida actual


y3y2y1y0 (t) y3y2y1y0 (t+1) Z3Z2Z1Z0
0000 0001 0000
0001 0010 0001
0010 0011 0010
0011 0100 0011
0100 0101 0100
0101 0110 0101
0110 0111 0110
0111 1000 0111
1000 1001 1000
1001 1001 1001

4.31
FUNDAMENTOS DE ELECTRÓNICA

A continuación, para cada bit hay que realizar su tabla de excitación, que
con biestables D queda Di=yi(t+1).

Estado actual Salida actual


D3 D2 D1 D0
y3y2y1y0 (t) Z3Z2Z1Z0
0000 0 0 0 1 0000
0001 0 0 1 0 0001
0010 0 0 1 1 0010
0011 0 1 0 0 0011
0100 0 1 0 1 0100
0101 0 1 1 0 0101
0110 0 1 1 1 0110
0111 1 0 0 0 0111
1000 1 0 0 1 1000
1001 1 0 0 1 1001

¿Qué ocurre con los estados no codificados? Para ellos, existen dos
posibilidades. Si nunca se van a dar, entonces los valores en la minimización
por Karnaugh son indiferentes, por lo que se pueden etiquetar con X y ser
utilizados en la minimización según convenga.

Si por contra se desea tener controlado el sistema secuencial deseando que


de un estado no deseado se pase en el siguiente pulso de reloj a cierto estado
conocido, hay que poner dichos estados para ser utilizados en la
minimización. Esto último supondría ampliar la especificación y decir que,
por ejemplo, para los estados no codificados, números de cuenta de 10 a 15
se pasa al estado 0 y toda esa información se ha de introducir en la tabla
anterior como excitación a realizar de forma que el sistema secuencial
diseñado tenga coherencia en todo momento.

Ejemplo 4.4: Secuencia de cuenta 3-5-1-2.

En este ejemplo, además de tener una secuencia de cuenta cíclica 3-5-1-2, 3-


5-1-2, ... también debe existir una línea que reinicie en cualquier momento la
cuenta, ponga el dato 3 y comience la secuencia.

El grafo que refleja el funcionamiento de dicha máquina secuencial se


muestra a continuación.

4.32
Circuitos Digitales Secuenciales

estado/salidas

Q0/011 Q1/101 Q2/001 Q3/010

Reset

Figura 4.35. Grafo de estados de la secuencia 3,5,1,2.

En la resolución de este diseño se debe realizar un contador de módulo 4,


con lo que se tiene una secuencia de estados Q0, Q1, Q2 y Q3 (para lo que
basta con 2 bits, es decir 2 biestables) y asignar a cada estado la salida
correspondiente 3, 5, 1, 2 (para lo que se necesitan 3 líneas de salida, en
función del valor de estado). Por tanto, realizar secuencias es simplemente
ver el número de estados que tiene dicha secuencia, introducir el diseño de
un contador que alcance dicho módulo y después asignar cada valor de
cuenta (estado alcanzado) con el valor de salida deseado. La resolución
completa se deja como ejercicio.

4.3.3 LÍNEAS ADICIONALES DE LOS CIRCUITOS CONTADORES


SÍNCRONOS COMERCIALES

Los circuitos contadores comerciales disponen de otras entradas adicionales que


posibilitan una cómoda realización de muchos circuitos sin necesidad de incorporar
circuitería externa.
x Señal de selección de sentido de cuenta: Up/Down. Selecciona el sentido de
cuenta: bien ascendente (Up) o bien descendente (Down). En general se tiene una
línea para la selección del sentido de cuenta y por otra línea se introducen los
pulsos de reloj que se irán contando. En otras ocasiones se tienen dos líneas
diferentes para así simplificar la circuitería, de tal manera que los pulsos de reloj
se introducen bien por Up o bien por Down, siempre teniendo en cuenta que no se
deben introducir a la vez pulsos en las dos señales de cuenta.

Up/Down + CLK Up - Down

UP/DN UP A
A
B B
CLK C DN C
D D

Figura 4.36. Tipos de indicación de la cuenta: selección y reloj, o bien dos relojes incompatibles entre sí.

4.33
FUNDAMENTOS DE ELECTRÓNICA

x Puesta a cero - Clear (Clr): mediante la activación de esta entrada se realiza una
puesta a cero del contador, sirve para iniciar rápidamente la cuenta a cero, de
forma simple y sin necesidad de añadir nuevos elementos al diseño.

x Carga de un dato inicial - Load (Load): con esta línea el contador es capaz de
ponerse en un valor de cuenta determinado. Para ello, además de la línea Load, se
tienen otras tantas líneas de entrada para dicho dato que se carga en el contador.
Por ejemplo, si el contador es de 4 bits y consta de la posibilidad de cargar
directamente un dato, además de la línea Load existen otras 4 líneas de las que se
toma el nuevo dato de cuenta a poner como nuevo valor de cuenta.

Ahora bien, el funcionamiento de estas dos últimas líneas puede ser síncrono, si es que se
realiza en el estado activo de la señal de reloj (nivel o flanco) o asíncrono si por el
contrario se realiza sin tener en cuenta a la señal de reloj, de forma que se realiza la
puesta a cero (Clr) o carga de un dato (Load) en el momento en que se active alguna de
estas líneas.

Por otro lado, para posibilitar otras realizaciones o ampliaciones de los circuitos
contadores a un número mayor de bits se dispone de otras líneas como:

x Carry: se activa en el momento en que se llega al valor final de cuenta. Se puede


conectar al siguiente contador que contará una unidad más en el flanco
descendente de esta señal.

x Borrow: en contadores descendentes es útil una señal que indique que se ha


llegado al último número descendiendo, el cero.

En general, estas dos señales van en líneas diferentes pero también se pueden encontrar
en una misma línea denominada Min/Max la cual se activa cuando se alcanza 2n-1 en
modo ascendente o cuando se llega a cero estando en modo descendente.

En el cronograma de la Figura 4.37 se ve un ejemplo de lo anteriormente expuesto.

4.34
Circuitos Digitales Secuenciales

Reloj

CLEAR

LOAD

Datos Datos: DCBA


12
Cuenta
4 5 0 12 13 14 15 0 1 2
Carry

Figura 4.37. Cronograma de ejemplo de un contador ascendente con clear asíncrono y load síncrono.

4.4 REGISTROS

Un registro es un circuito digital con dos funciones básicas: el almacenamiento y el


movimiento de datos. Cada etapa/elemento de un registro es un biestable (flip-flop) y
representa un bit del total de su capacidad de almacenamiento. La capacidad de
desplazamiento de un registro permite el movimiento de los datos de un biestable a otro,
al estar constituidos por una cadena de biestables uno a continuación de otro. Se pueden
distinguir diferentes tipos atendiendo a varias características.

Según la diferente funcionalidad se tienen registros que sólo permiten el almacenamiento


paralelo de información. A este tipo de registros se les denomina LATCH. Si además se
le dota al registro de capacidad de desplazar internamente los bits de un biestable a otro,
entonces los registros se denominan registros de desplazamiento y claramente constan de
una lógica adicional que un simple LATCH no tiene por qué tener.

La Figura 4.38 muestra un ejemplo de registro de almacenamiento de cuatro bits. Se


observa como un registro de almacenamiento es en definitiva un conjunto de biestables
sincronizados por una misma señal de reloj, la cual indica el momento en el que se coge
el dato y queda almacenada la información (n bits en paralelo). Su aplicación es clara en
sistemas de adquisición de datos: por ejemplo, la captura de una temperatura dada por un
dato de 8 bits a cada segundo.
D1 D2 D3 D4

D Q D Q D Q D Q

Clk Q Clk Q Clk Q Clk Q

Reloj

Q1 Q2 Q3 Q4

Figura 4.38. Registro de almacenamiento (Latch) de 4 bits.

4.35
FUNDAMENTOS DE ELECTRÓNICA

Asimismo, los registros de desplazamiento tienen diferentes formas de funcionamiento:


entrada y salida de los datos de forma serie o paralelo.

En la Figura 4. 39 se muestran los distintos tipos de movimiento y entrada/salida de los


registros de desplazamiento.

entrada de salida de salida de entrada de


datos datos datos datos
desplazamiento a desplazamiento a
la derecha la izquierda

entrada de datos

salida de entrada de
datos datos

entrada de datos salida de datos

salida de datos

rotación a la dcha. rotación a la izda.

Figura 4. 39 Diferentes tipos de funcionamiento en los registros de desplazamiento.


Se van a ir estudiando los diferentes tipos y estructuras de registros de desplazamiento.

4.4.1 REGISTROS DE DESPLAZAMIENTO SERIE-SERIE

Son registros con entrada en serie y salida en serie. En la figura siguiente se representa
claramente cuál es el sentido o flujo de los datos dentro del registro:

4.36
Circuitos Digitales Secuenciales

entrada salida
serie serie

La Figura 4.40 muestra un registro de desplazamiento serie-serie de cuatro bits.

Entrada serie D Q D Q D Q D Q Salida serie


de datos de datos
Clk Q0 Clk Q1 Clk Q2 Clk Q3
Reloj

Figura 4.40. Esquema de un registro de desplazamiento serie-serie de 4 bits.

En este circuito la información serie introducida por la entrada se desplaza una posición a
la derecha con cada pulso de reloj, apareciendo en serie por la salida final al cabo de 4
pulsos de reloj. Su aplicación directa es introducir retardos calculados en la transmisión
(retardo=nT, siendo n el número de biestables y T el período de la señal de reloj).

4.4.2 REGISTROS DE DESPLAZAMIENTO SERIE-PARALELO

Son registros con entrada serie y salida paralelo. En la figura siguiente se representa
claramente cuál es el sentido o flujo de los datos dentro del registro:

Entrada serie
de datos

Salida de datos en
paralelo

Para su realización basta con tomar las salidas de cada uno de los biestables que forman
la cadena. Al igual que con la salida del último biestable, se puede tomar cualquiera de
estas salidas como salida serie y tener retardos variables desde 1T a nT. La aplicación
principal de este tipo de circuitos es la conversión de bits serie en información paralela
(nibble (4 bits en paralelo), byte (8 bits en paralelo), etc.).

Entrada serie D Q D Q D Q D Q
de datos
Clk Clk Clk Clk
Reloj

Q0 Q1 Q2 Q3
Salida de datos
en paralelo

Figura 4.41. Esquema de un registro de desplazamiento serie-paralelo de 4 bits.

4.37
FUNDAMENTOS DE ELECTRÓNICA

4.4.3 REGISTROS DE DESPLAZAMIENTO PARALELO-SERIE

Su esquema de funcionamiento puede ser el mostrado en la figura:

entrada de datos

salida de
datos

Como se observa claramente del esquema, son registros con entrada en paralelo y salida
en serie. Partiendo de una cadena de biestables conectados uno a continuación de otro,
basta tener acceso de forma paralelo a las entrada de cada uno de los biestables para que
en el siguiente pulso de reloj se almacene en el registro el dato de entrada paralelo.

Después de este momento de carga del dato paralelo, es necesario indicar que se desea
realizar el desplazamiento del dato almacenado. Para ello, un registro de estas
características consta de una línea denominada SHIFT/ LOAD , la cual posibilita la
selección de la carga del dato paralelo o bien su desplazamiento interno. La secuencia a
seguir para cargar un dato paralelo y desplazarlo, obteniendo una salida de bits serie, es
la siguiente:

¾ Primero se activa la función LOAD , poniendo la línea de control a cero. Con esto se
logra cargar los valores iniciales de todos los bits en PARALELO. La carga es
síncrona, es decir, se produce cuando llegue el primer flanco activo de la señal de
reloj estando SHIFT/ LOAD =0, por lo que ya con el primer pulso de reloj se tendrá
el bit más de la derecha cargado en el biestable de salida, es el primer bit de salida
serie.

¾ Posteriormente se debe activar la función de desplazamiento (SHIFT/ LOAD =1)


para que a cada pulso de reloj vayan apareciendo en la salida, uno a uno los bits
desplazados del dato paralelo cargado. En un número de pulsos igual al número de
bits del dato cargado se habrán sacado por el último biestable todos los bits del dato
paralelo.

La Figura 4.42 muestra un registro de desplazamiento de entrada paralelo – salida serie.

4.38
Circuitos Digitales Secuenciales

entrada de datos
In0 In1 In2 In3

SHIFT/LOAD
S S S
0 0 0
D MUX D MUX D MUX D
Q 1 Q 1 Q 1 Q
Clk Clk Clk Clk
Reloj
salida
de datos

Figura 4.42. Esquema lógico de un registro de desplazamiento con entrada paralelo y salida serie.

Si se mantiene siempre la situación SHIFT/ LOAD =1 se tiene que In0 es la entrada


serie del circuito, sin que se cargue ningún dato paralelo, por lo que se tiene un
funcionamiento serie-serie.

Una aplicación típica de este tipo de funcionamiento es la conversión de información


binaria recibida en paralelo a información serie, como puede ser la conexión de un
ordenador a una línea telefónica. El dato del ordenador está en bytes, grupos de 8 bits, y
se pasa al modem una información serie bit a bit. Así, cuando se han dado 8 pulsos de
reloj se ha de volver a cargar un nuevo byte para enviarlo al modem.

4.4.4 REGISTROS DE DESPLAZAMIENTO PARALELO-PARALELO

Son registros con entrada paralelo (de carga asíncrona o síncrona) y salida paralelo,
tomada de cada uno de los biestables. Su esquema de funcionamiento puede ser el
mostrado en el siguiente diagrama:

entrada de datos

salida de datos

Como ejemplo, se muestra en la Figura 4.43 el circuito interno de un registro de


desplazamiento paralelo de 4 bits activo por flanco de subida de la señal de reloj.

4.39
FUNDAMENTOS DE ELECTRÓNICA

entrada de datos
In0 In1 In2 In3

D Q D Q D Q D Q

Clk Clk Clk Clk


Reloj

Q0 Q1 Q2 Q3
salida de datos

Figura 4.43. Esquema lógico de un registro de desplazamiento con entrada y salida paralelas.

4.4.5 REGISTROS DE DESPLAZAMIENTO BIDIRECCIONAL

Sus dos esquemas de funcionamiento se muestran en la Figura 4. 44:

rotación a la dcha. rotación a la izda.

Figura 4. 44. Tipos de desplazamiento.

En este tipo de registro de desplazamiento los datos pueden desplazarse hacia la


izquierda o hacia la derecha, mediante una señal de control denominada R /L.
entrada de datos
desplaz. dcha. InL
InR
/L
RR/L entrada de datos
desplaz. izda.
S S S
Q1 1 Q2 1 1
MUX MUX MUX
InR
InL 0 D Q0 0 D 0 D
Q2 Q3
entradade
entrada de datos
datos Clk Clk Clk
desplaz. izda. Reloj
desplaz. dcha. salida de datos
salida de datos
desplaz.
desplaz. dcha.izda.

salida de
salida de datos
datos
desplaz. izda.
desplaz. dcha.

Figura 4.45. Esquema de un registro de desplazamiento bidireccional.

4.40
Circuitos Digitales Secuenciales

4.4.6 REGISTROS DE DESPLAZAMIENTO UNIVERSALES

Existen circuitos que integran todas las funcionalidades descritas anteriormente; son por
tanto más flexibles pero a la par más caros, no sólo atendiendo al precio del circuito
integrado, sino porque el tamaño en placa es mayor.

Un circuito integrado comercial que realiza la función de registro universal es el circuito


integrado 74LS194. A continuación se describe primero la nomenclatura de sus
diferentes pines y, posteriormente, su tabla de funcionamiento.

Terminales del circuito integrado 74LS194:

Sx Entradas de control del modo de funcionamiento del registro de desplazamiento.


Px Entradas paralelo de datos.
DSR Entrada de datos serie para desplazamiento a derecha.
DSL Entrada de datos serie para desplazamiento a izquierda.
MR Señal de reset asíncrona común a todos los biestables, activa a nivel bajo.
Qx Salidas de los biestables.

Entradas Salidas
Modo de funcionamiento
MR S1 S0 DSR DSL Pn Q0 Q1 Q2 Q3
Reset L X X X X X L L L L
Hold H L L X X X Q0 Q1 Q2 Q3
Desplazamiento Izquierda H H L X DSL X Q1 Q2 Q3 DSL
Desplazamiento Derecha H L H DSR X X DSR Q0 Q1 Q2
Carga Paralela H H H X X Pn P0 P1 P2 P3

4.4.7 APLICACIONES DE LOS REGISTROS

x Retardo de tiempo

Para ilustrarlo se va a mostrar un ejemplo concreto donde se utiliza un registro de


desplazamiento para este cometido.

Ejemplo: En una cadena de fabricación de cierto producto industrial se tiene


una señal de reloj que marca cada paso de la cadena y sirve de sincronismo

4.41
FUNDAMENTOS DE ELECTRÓNICA

para todos los dispositivos de la cadena. A cada flanco de reloj la cadena


avanza un paso. En el punto N de la cadena se detecta una pieza con
defectos, debiendo ser expulsada en el punto de la cadena N+n. Por lo tanto
hay que retardar la expulsión n pulsos para que se efectúe n pulsos más
tarde.

Esto se puede realizar con la inclusión de un registro de desplazamiento de n


posiciones, teniendo como entrada la señal de pieza defectuosa y como
salida la posición n del registro de desplazamiento que atacará al
mecanismo de expulsión, activándolo en el momento adecuado.

x Contador de anillo

Significa repetir una misma secuencia una y otra vez. Por ejemplo la activación
secuencial de ciertos elementos de un circuito.

1000
0100
0010
0001

x Conversor de datos serie, 8 bits, en un byte

En la Figura 4.46 se muestra el diagrama de bloques de un conversor serie-paralelo que


hace uso de un registro de desplazamiento, junto con un circuito contador que va
contando el número de bits que llegan al conversor. En el momento en que se cuentan 8
bits se activa la captura del dato paralelo en el Latch, de forma que sucesivos bits que
lleguen al registro de desplazamiento no puedan corromper la información anterior.
Datos serie
Registro de
Reloj serie desplazamiento

Cont Registro de
8 almacenamiento

Figura 4.46. Diagrama de bloques de un conversor paralelo de datos serie.

4.42
Circuitos Digitales Secuenciales

4.5 PROBLEMAS

4.1 Obténganse las tablas de verdad de los siguientes circuitos e indíquese su función
(equivalencia con otro tipo de biestable):

a)
a S Q Clk a Qt+1

Clk

R Q

b)

a J Cl Q Cl Pr Clk a Qt+1

Clk

K Pr Q

c)

a J Cl Q Cl Pr Clk a Qt+1

Clk

K Pr Q

d)

Cl Pr Clk Qt+1
D Cl Q

Clk

Pr Q

4.43
FUNDAMENTOS DE ELECTRÓNICA

Solución:

a)
Clk a Qt+1
0 0
1 1
b)
Cl Pr Clk a Qt+1
0 0 X X 0
1 1 X X 1
0 1 X X X
1 0 0 0
1 0 1 1

c)
Cl Pr Clk a Qt+1
1 0 X X 0
0 1 X X 1
1 1 X X X
0 0 1 Qt
0 0 0 Qt

d)
Cl Pr Clk Qt+1
0 1 X 0
1 0 X 1
0 0 X X
1 1 Qt

4.2 Dados los biestables de las figuras, completar los cronogramas correspondientes:

a)
CLK
J Cl Q
Pr
Ck
Clr
K Pr Q
J

4.44
Circuitos Digitales Secuenciales

b)
CLK
D Cl Q
Pr
Clk
Clr
Pr Q
D

Q
c)

“1” J Cl Q CLK

Clk Pr

Clr
K Pr Q
Q

d)
CLK

J Cl Q Pr

Clk Clr

J
K Pr Q
K

e)
CLK
S Q
R
Clk S

Q
R Q

4.45
FUNDAMENTOS DE ELECTRÓNICA

f)
CLK
J Cl Q
Pr
Clk
Clr
K Pr Q
J

Solución:

a) b)

CLK CLK

Pr Pr

Clr Clr

J D

K Q

c) d)

CLK CLK

Pr Pr

Clr Clr

Q J

e) f)

CLK CLK

R Pr

S Clr

Q J

4.46
Circuitos Digitales Secuenciales

4.3 Determine la evolución de las salidas Q1 y Q2 para los siguientes circuitos.


Inicialmente se supondrá que se parte de la situación Q1=Q2=0. Calcule, además, la
frecuencia de Q1 y Q2 en función de la frecuencia de reloj en ambos circuitos.

a)

b)

4.47
FUNDAMENTOS DE ELECTRÓNICA

Solución:
a) fQ1=fclk/2 y fQ2=fclk/4 b) fQ1=fQ2=fclk/2

4.4 Complete el cronograma correspondiente al siguiente circuito secuencial:

VCC

B D
C
D P Q J P Q D P Q
CLK
R
A R R
CLK CLK CLK
C C C
L Q K L Q L Q

CLR

CLK

CLR

4.48
Circuitos Digitales Secuenciales

Solución:

CLK

CLR

Para la resolución del ejercicio se han seguido los siguientes pasos:

x La línea A tiene la siguiente ecuación: A=S cuando en CLK hay un .


Como no depende de otras líneas dentro del circuito se puede dibujar su
cronograma.

x La línea B= A·S , función combinacional de dos señales que ya se tienen


dibujadas.

x La línea C es la salida de un biestable JK, controlado por la señal B:


si B=0 modo hold
si B=1 modo toggle
siendo la señal de reloj del biestable la salida negada del biestable a su
izquierda. Como se dispara con el flanco descendente de la señal negada
de A, se puede decir igualmente que se dispara para el flanco ascendente
de A. Por lo tanto para ver el funcionamiento del biestable de la señal C,
basta mirar en los flancos de la señal A qué valor tiene la señal B.
Siempre teniendo en cuenta que hay que tomar el valor de B en ese
instante, pues B puede cambiar una vez que lo hace A, pero no afecta al
biestable JK debido al tiempo de propagación por la puerta NAND, lo
que retrasa su aparición en el biestable JK, habiendo éste conmutado ya.

4.49
FUNDAMENTOS DE ELECTRÓNICA

x Para la señal D hay que tener en cuenta la señal C dibujada en el


apartado anterior. Se toman los instantes del cronograma en los que se
tiene un en la señal C y para esos instantes en D se produce una
conmutación (modo toggle).

4.5 Complete el cronograma correspondiente al siguiente circuito secuencial:

VCC

J P Q
D P Q R
R CLK
CLK CLK C
C K L Q
L Q

CLR

Q0 Q1

CLK

CLR

Q0

Q1

Solución:

Q0 es la salida del biestable D que conmuta con los flancos de CLK.

Q1 es la salida del biestable JK que conmuta con los flancos de CLK.

La entrada del biestable D es Q1 y J=K=Q0+E, luego el cronograma queda:

4.50
Circuitos Digitales Secuenciales

CLK

CLR

Q0

Q1

4.6 Complete el cronograma correspondiente al siguiente circuito. Nota: suponer que el


tiempo de respuesta de la puerta AND es menor que el de la puerta XOR.

VCC

I1

A B D
D P Q
J Q R
CLK
I2 CLK C
L Q
K Q
C

I3

CLR

CLR

I1

I2

I3

4.51
FUNDAMENTOS DE ELECTRÓNICA

Solución:

En la resolución del ejercicio se han aplicado a las señales las siguientes


ecuaciones:
A es la salida del biestable JK activado con el flanco descendente de I2
B=I1†A como función combinacional
C=A·I3 también una función combinacional
D=B en los flancos ascendentes de la señal C, por lo que previamente han
de calcularse los valores que va tomando esta señal.
E=D+ A

Tener en cuenta la señal Clear a la hora de resetear ambos biestables,


señales A y D.

El cronograma resuelto se muestra en la siguiente figura:

CLR

I1

I2

I3

4.7 El montaje de la figura permite obtener a su salida una señal cuya frecuencia
depende de las señales de control I0, I1, I2, I3 e I4. La señal llamada Patrón es de 10
KHz de frecuencia. Véase en el Anexo el cronograma de funcionamiento del circuito
integrado 74LS193. NOTA: la entrada de selección de menor peso del multiplexor es la
A.

4.52
Circuitos Digitales Secuenciales

D0
A QA D1
B QB D2 Y Salida
C QC D3
D QD D4
Patron D5
UP CO D6
VCC DN BO D7
LOAD
CLR A
B
74LS193 C
G
Multiplexor
I4
I0 I1 I2 I3

A partir del análisis del circuito, complete razonadamente la siguiente tabla:

I4 I3 I2 I1 I0 Frecuencia de la señal de salida


1 1 0 0 0
0 1 0 0 0
1 0 0 0 1
0 0 0 0 0
0 0 0 0 1
0 0 0 1 0
0 0 0 1 1
0 0 1 0 0
0 0 1 0 1
0 0 1 1 0
0 0 1 1 1

Solución:
En la siguiente tabla se explica y detalla la frecuencia de la señal de salida
para cada uno de los códigos de entrada.

I4 I3 I2 I1 I0 Explicación Frecuencia
1 1 0 0 0 Clear y no habilitación del MPX. f=0 KHz
0 1 0 0 0 No habilitación del MPX. f=0 KHz
1 0 0 0 1 Salida= Patron f=10 KHz
0 0 0 0 0 Salida= QB·QA , secuencia 1-0-0-0 f=10/4 KHz

4.53
FUNDAMENTOS DE ELECTRÓNICA

I4 I3 I2 I1 I0 Explicación Frecuencia
0 0 0 0 1 Salida= Patron f=10 KHz
0 0 0 1 0 Salida= QA f=10/2 KHz
0 0 0 1 1 Salida= QB f=10/4 KHz
0 0 1 0 0 Salida= QC f=10/8 KHz
0 0 1 0 1 Salida= QD, ciclo de trabajo 50 % f=10/16 KHz
0 0 1 1 0 Salida= CO , ciclo de trabajo 0.5/16 f=10/16 KHz

Salida= BO , siempre a 1 pues la


0 0 1 1 1 f=0 KHz
cuenta es ascendente siempre.

4.54
Circuitos Digitales Secuenciales

4.6 ANEXO: HOJAS CARACTERÍSTICAS

74LS75: Cuádruple Biestable Latch tipo D ........................................................ 4.56

74LS279: Cuádruple Biestable Latch tipo RS ................................................... 4.59

74LS74: Doble Biestable Flip-Flop tipo D con PRESET y CLEAR ............... 4.61

74LS109: Doble Biestable Flip-Flop tipo JK con PRESET y CLEAR........... 4.64

74LS193: Contador Síncrono de cuatro bits con CLK UP y DOWN ............ 4.67

74LS194: Registro de Desplazamiento Universal ............................................ 4.72

4.55
FUNDAMENTOS DE ELECTRÓNICA

74LS75: Cuádruple Biestable Latch tipo D

4.56
Circuitos Digitales Secuenciales

4.57
FUNDAMENTOS DE ELECTRÓNICA

4.58
Circuitos Digitales Secuenciales

74LS279: Cuádruple Biestable Latch tipo RS

4.59
FUNDAMENTOS DE ELECTRÓNICA

4.60
Circuitos Digitales Secuenciales

74LS74: Doble Biestable Flip-Flop tipo D con PRESET y CLEAR

4.61
FUNDAMENTOS DE ELECTRÓNICA

4.62
Circuitos Digitales Secuenciales

4.63
FUNDAMENTOS DE ELECTRÓNICA

74LS109: Doble Biestable Flip-Flop tipo JK con PRESET y CLEAR

4.64
Circuitos Digitales Secuenciales

4.65
FUNDAMENTOS DE ELECTRÓNICA

4.66
Circuitos Digitales Secuenciales

74LS193: Contador Síncrono de cuatro bits con CLK UP y DOWN

4.67
FUNDAMENTOS DE ELECTRÓNICA

4.68
Circuitos Digitales Secuenciales

4.69
FUNDAMENTOS DE ELECTRÓNICA

4.70
Circuitos Digitales Secuenciales

4.71
FUNDAMENTOS DE ELECTRÓNICA

74LS194: Registro de Desplazamiento Universal

4.72
Circuitos Digitales Secuenciales

4.73
FUNDAMENTOS DE ELECTRÓNICA

4.74
Circuitos Digitales Secuenciales

4.75
Memorias

TEMA 5

Memorias

Índice de Contenidos

5.1 INTRODUCCIÓN ................................................................................................. 5.2

5.2 ESTRUCTURA INTERNA................................................................................... 5.2

5.3 CARACTERÍSTICAS GENERALES................................................................... 5.3

5.4 CLASIFICACIÓN ................................................................................................. 5.4

5.5 MEMORIAS RAM................................................................................................ 5.5

5.6 MEMORIAS ROM.............................................................................................. 5.16

5.7 MEMORIAS ESPECIALES................................................................................ 5.23

5.8 EXPANSIÓN DE MEMORIAS .......................................................................... 5.26

5.9 USO DE MEMORIAS PARA LA IMPLEMENTACIÓN DE FUNCIONES


LÓGICAS ...................................................................................................................... 5.29

5.10 PROBLEMAS PROPUESTOS ........................................................................ 5.33


FUNDAMENTOS DE ELECTRÓNICA

5.1 INTRODUCCIÓN

En cualquier sistema digital basado en un procesador la memoria resulta ser un bloque


imprescindible para el correcto funcionamiento del mismo. Debido a la labor que realizan
en el conjunto del sistema, como dispositivos de almacenamiento masivo de información,
el proceso de estudio, dimensionar, diseñar y posterior implementación se convierte en
un punto crucial en el desarrollo de cualquier sistema digital.

Aunque en un sistema digital van a aparecer diversos elementos de archivo de


información, según la naturaleza de su principio tecnológico de fabricación y su posterior
utilización, el presente capítulo se va a centrar en las memorias semiconductoras, es
decir, en aquéllas que normalmente se diseñan para trabajar de una forma más directa con
el procesador, guardando los datos necesarios, típicamente en formato binario. En este
sentido, las memorias semiconductoras se han asociado tradicionalmente a sistemas
digitales basados en procesadores, los cuales se servían de las primeras para almacenar
datos temporales o simplemente el programa a ejecutar.

Con el transcurso del tiempo, el campo de aplicación de las memorias se ha visto


ampliado, siendo práctica habitual en la actualidad su uso para la implementación de
circuitos digitales, integrando en un menor espacio gran parte de la lógica discreta de un
sistema. De la misma forma, con la aparición de nuevos tipos de arquitecturas, muchas de
ellas basadas en dispositivos específicos ASIC o en arrays de puertas lógicas
programables FPGA, las memorias suelen aparecer en sistemas en los que no tiene por
qué haber necesariamente un procesador de propósito general cerca.

Los siguientes apartados pretenden dar al lector una visión general de los tipos más
significativos de memorias existentes en el mercado, sus aplicaciones habituales, así
como sus principios de funcionamiento y las características particulares que aquéllos les
confieren. Por último, se detallará el diseño de sistemas de ampliación de memoria.

5.2 ESTRUCTURA INTERNA

Las memorias pueden considerarse como un conjunto de elementos básicos de


almacenamiento, cada uno con una estructura distinta según la tecnología, organizados en
forma de matrices. A estos elementos básicos se les denomina también celdas, siendo
capaces de almacenar un 1 o un 0 lógico, valores correspondientes a la información
binaria.

5.2
Memorias

La situación de cualquier celda dentro de la matriz que constituye una memoria queda
perfectamente definida por una fila y una columna. Posteriormente, estas celdas suelen
agruparse para formar unidades de datos o palabras. Típicamente, las memorias
semiconductoras trabajan con unidades de datos de 8 bits, un byte, o lo que es lo mismo 8
celdas, aunque existen otras arquitecturas que realizan grupos de celdas en otras
potencias de 2, como pueden ser 4, 16,...

Una palabra, formada por celdas básicas, queda perfectamente identificada mediante una
dirección, que especifica su ubicación dentro de la estructura interna de la matriz. Puesto
que en una memoria no puede existir ambigüedad en la identificación de la información
allí almacenada, cada palabra debe tener una dirección unívoca asociada.

Una vez diseñado el habitáculo para albergar la información, el siguiente paso es


determinar los medios de acceso a la misma. Como se ha comentado, la información en
una memoria viene especificada por una dirección concreta, así que el primer recurso en
aparecer es un bus de direcciones que permita indicarle a la memoria la posición de la
misma sobre la cual se desea realizar una operación, ya sea ésta de lectura o de escritura.
Una vez fijada la dirección, la información, el dato, es recogido (lectura) o insertado
(escritura) en la memoria a través de otro conjunto de líneas que reciben el nombre de
bus de datos. Finalmente, en todo dispositivo de memoria existen una serie de señales,
llamadas de control, que llevan a cabo la activación del dispositivo, la indicación del tipo
de operación, y la secuencia de la misma. Igualmente, y ya dependiendo del uso
particular de cada memoria, pueden aparecer más terminales, o desaparecer alguno de los
comentados, a fin de alcanzar un funcionamiento determinado de manera óptima.

5.3 CARACTERÍSTICAS GENERALES

A continuación se enumeran las principales características de cualquier memoria, que


van a permitir su posterior clasificación:

x Capacidad. La capacidad de una memoria es la cantidad de información,


expresada en número de bits, que puede almacenar. Por ejemplo, si se dispone de
una matriz con 512 celdas, la capacidad de dicha memoria será de 512 bits. Otra
forma de expresar la capacidad, permitiendo identificar simultáneamente el
número de bits que forma cada palabra y el número de palabras existentes, es del
siguiente modo: disponiendo de la memoria de 512 bits anterior, si ésta tiene un
ancho de palabra de 8 bits, existen 64 palabras o posiciones de memoria distintas,
con sus correspondientes direcciones, y su capacidad se puede expresar como
64x8 o 64 bytes.

5.3 1.
FUNDAMENTOS DE ELECTRÓNICA

x Volatilidad. Se trata de la habilidad que posee una memoria semiconductora para


conservar la información en ella almacenada incluso en ausencia de alimentación.
Dependiendo del uso final que se desea dar a una memoria, se han desarrollado
dos grandes grupos de dispositivos de almacenamiento, los volátiles y los no
volátiles. Mientras los primeros pierden el contenido de sus celdas en ausencia de
alimentación, lo que les lleva a utilizarse para datos parciales o temporales, las
memorias no volátiles no pierden jamás su contenido, al menos teóricamente, por
lo que se usan para guardar los programas a ejecutar y aquellos datos
imprescindibles para el sistema.

x Cronogramas. Se trata de diagramas temporales donde quedan reflejados los


tiempos más característicos de una operación con la memoria. Esta información
resulta de una importancia vital cuando se desee diseñar un sistema de memorias,
pues los diversos dispositivos externos que se vayan a conectar al mismo,
típicamente procesadores, deberán tener una concordancia en la secuencia de
activación y desactivación de las diversas señales que participen en el proceso.
Este punto será ampliamente desarrollado en apartados posteriores.

5.4 CLASIFICACIÓN

En función de diversos parámetros y características, las memorias semiconductoras


pueden clasificarse del siguiente modo:

x Memorias de acceso aleatorio.


o Volátiles (RAM).
 Estáticas.
 Dinámicas.
o Contenidos permanentes.
 ROM.
 PROM.
 EPROM.
 EEPROM.
 FLASH.
 EAROM.
 NVRAM.
 Matrices lógicas programables.

5.4
Memorias

x Desplazamiento.
o Registros de desplazamiento.
 Estáticos.
 Dinámicos.
o Dispositivo de acoplo de carga (CCD).

En los próximos apartados se van a tratar en detalle, por ser las más extendidas, las
memorias de acceso aleatorio o direccionables, es decir, aquéllas que permiten acceder a
cualquier dato de memoria en cualquier momento sin mantener un orden preestablecido.

5.5 MEMORIAS RAM

La memoria RAM es un dispositivo de almacenamiento de información, sobre la cual se


puede realizar operaciones tanto de escritura como de lectura. Además, como ya se ha
comentado anteriormente, se trata de memorias de acceso aleatorio, ya que se puede
acceder en cualquier momento y a cualquier dirección de memoria para realizar una
operación, escritura o lectura, sin necesidad de haber accedido antes a las direcciones
precedentes. Pero su característica más importante y peculiar es su volatilidad; son
incapaces de mantener la información en ausencia de alimentación, por lo que su uso
queda limitado a sistemas donde aquélla no se interrumpa, o al almacenamiento de datos
temporales cuya pérdida no sea transcendente entre los sucesivos usos.

Las memorias RAM presentan dos grandes grupos diferenciados por la tecnología de
fabricación, las memorias estáticas y las dinámicas. Las memorias RAM estáticas se
caracterizan porque, mientras permanece estable las alimentación, la información no se
modifica, salvo que se realice una operación de escritura. Están basadas en matrices de
biestables, siendo éstos las celdas elementales de almacenamiento.

Por otro lado, las memorias RAM dinámicas están constituidas por condensadores
(elemento básico de almacenamiento), los cuales van a precisar de un proceso de refresco
de la información característico de este tipo de dispositivos. Al igual que las estáticas,
también pierden el contenido en ausencia de alimentación, entrando dentro del grupo de
las memorias volátiles.

5.5 1.
FUNDAMENTOS DE ELECTRÓNICA

5.5.1 MEMORIAS RAM ESTÁTICAS (SRAM)

Como ya se comentó anteriormente, estas memorias tienen una celda de almacenamiento


básica constituida por un biestable. Se fabrican empleando tanto tecnología bipolar (BJT)
como unipolar (MOS). Su principal característica son sus pequeños tiempos de acceso,
siendo muy utilizadas en aquellas aplicaciones que precisen de esta peculiaridad; sin
embargo, no suelen ofrecer grandes capacidades, debido a su limitada capacidad de
integración y al elevado precio que suelen presentar.

Desde el punto de vista externo, el circuito integrado presenta una serie de terminales que
resultan ser comunes en la mayoría de los casos. En la figura 5.1 se muestran los
terminales más destacables.

Bus de Bus de
direcciones datos
Lectura/ SRAM
Escritura OE
CS

Figura 5.1. Terminales genéricos de una memoria RAM estática (SRAM).

La función de estos terminales se describe a continuación de forma breve:

x Bus de direcciones. Este grupo de terminales permiten indicar la posición de la


palabra de memoria a la que se desea acceder para realizar la operación de lectura
o escritura, como ya se comentó. El número de terminales de dirección está en
relación directa con la capacidad de la memoria, de tal forma que:

m = 2n <5.1>

Donde m es el número de posiciones de una memoria y n es el número de líneas del bus


de direcciones.

x Bus de datos. Se trata de terminales, bidireccionales, que se comportan como


salidas en las operaciones de lectura y como entrada en los accesos de escritura. El
número de terminales coincide con el número de bits que forman la palabra o
unidad de datos de la memoria.

x Terminal de Lectura/Escritura (R/W). Permite seleccionar el tipo de operación o


acceso que se desea realizar sobre la memoria. En algunos casos, este terminal
puede desglosarse en dos, uno correspondiente a cada tipo de operación: lectura o
escritura.

5.6
Memorias

x Selección de chip (CS). La activación de este terminal habilita la memoria,


pudiendo acceder a ella en cualquier momento.

x Habilitación de la salida (OE). Sólo está presente en algunos tipos de memoria,


teniendo como función disponer el bus de datos en estado de alta impedancia o su
activación en los procesos de lectura.

Las memorias estáticas SRAM se organizan en filas y columnas, insertándose en ellas las
celdas de almacenamiento, biestables en este caso. En estas memorias, las celdas que
forman una misma palabra se encuentran unidas al mismo terminal de selección de fila,
de tal forma que existen líneas de conexión que unen las celdas que constituyen una
misma columna. Por estas líneas circulan los datos de entrada y salida a través de los
correspondientes buffers. En este sentido, en la figura 5.2 se muestra el diagrama de
bloques interno de una memoria RAM estática genérica.

Selección Dec. Matriz de


fila fila celdas

Buffers de
entrada
E/S 0 Columna E/S Salida de
E/S n Decodificador Col. datos

Selección columna
CS Lógica de
R/W control
OE

Buffers de
salida

Figura 5.2. Diagrama de bloques interno de una memoria SRAM.

El bus de datos de una memoria, constituido por E/S0 - E/Sn, puede funcionar como
entrada o como salida, según el nivel de las señales de control de la memoria, gracias a la
existencia de buffers tri-estado de entrada y de salida, los cuales permiten aislar ambas
operaciones. En cuanto a las líneas de direcciones, y puesto que su número suele ser
elevado en las memorias comerciales, aquéllas normalmente se dividen en dos
decodificadores, el de filas y el de columnas, ya que estos dispositivos combinacionales
suelen presentar grandes retardos cuando el número de entradas es grande. De este modo
se consigue reducir el tiempo de acceso a la memoria, utilizando una disposición física en
dos dimensiones.

5.7 1.
FUNDAMENTOS DE ELECTRÓNICA

La matriz de celdas, que se mostraba en la figura anterior, está compuesta por un


conjunto de celdas base que constituyen los elementos de almacenamiento donde
albergar la información. Estas celdas básicas van a tener diversos esquemas y distintas
tecnologías de funcionamiento, pero todas ellas se van a caracterizar por la definitiva
implementación de un biestable. En la figura 5.3 se muestra un ejemplo de circuito
eléctrico típico basado en transistores MOS de una celda básica.

Vcc

Q Q

Figura 5.3. Celda básica de una memoria SRAM.

5.5.1.1 Diagramas temporales

En las memorias estáticas aparecen dos tipos de operación o modo de funcionamiento, el


ciclo de lectura y el ciclo de escritura. Éstos se definen normalmente mediante lo que se
conoce como cronograma. Se trata éste de un diagrama temporal en el cual se detallan en
mayor o menor grado las secuencias que deben aplicarse a las distintas señales control,
direcciones y datos, así como las relaciones temporales que deben existir entre todas
ellas.

En un ciclo de lectura, el parámetro más característico de una memoria lo constituye el


tiempo de acceso. Se define éste como el tiempo que transcurre desde que la memoria
interpreta la orden de lectura de una determinada posición, hasta que el dato realmente
aparece en el bus de datos. Esta operación, y por lo tanto el tiempo de acceso, puede estar
controlada, o bien por el bus de direcciones tAD, o bien por la línea de selección del chip
tAC, o bien por la habilitación de los terminales de salida tAO, dependiendo cuál sea la
última en adquirir un valor válido (véase figura 5.4). Otro tiempo destacable es el tiempo
de duración del ciclo de lectura tCL, que determina la duración de una operación de
lectura, desde el comienzo con la determinación de la dirección de acceso, hasta el fin
con la lectura del dato y la puesta en alta impedancia de los buses (recuérdese que el bus
de datos está constituido por buffers de entrada-salida tri-estado).

5.8
Memorias

En cuanto al ciclo de escritura, proceso mediante el cual se captura el dato existente en el


bus de datos y se almacena en la memoria, aquél puede estar controlado, o bien por la
señal Lectura/Escritura (R/W), o bien por la selección del chip (CS), dependiendo de cuál
sea la señal que permanezca activa durante un intervalo de tiempo más corto. En la figura
5.5 se muestra un ciclo de escritura controlado por la señal (R/W). Aquí el tiempo más
destacable lo constituye la duración tWE del pulso activo a nivel bajo de la señal de
control, R/W en este caso, el tiempo de establecimiento del dato en el bus tED, y el
mantenimiento del dato en el bus tMD. Estos últimos indican el tiempo antes y el tiempo
después que debe permanecer el dato a escribir en el bus con respecto a la operación de
escritura propiamente dicha, la cual se produce en el flanco ascendente de la señal de
control R/W. Nuevamente, tiene una importancia relativa la duración del ciclo de
escritura, reflejada mediante tCE.

t CL
Dirección Dirección válida
tAD tAC
CS
t AO
OE

Dato Válido

Figura 5.4. Cronograma de un ciclo de lectura de una memoria SRAM.

tCE
Dirección Dirección válida

CS
tWE
R/W
tEA tED tMD

Dato Válido

Figura 5.5. Cronograma de un ciclo de escritura de una memoria SRAM.

Debido a sus características de velocidad y elevado coste, las memorias RAM estáticas se
suelen emplear en bancos de memoria de pequeña capacidad, pero en los que se requiere
bajos tiempos de acceso, como por ejemplo las memorias caché.

Igualmente, basadas en SRAM, se han desarrollado numerosas variantes de memorias,


con peculiaridades muy específicas para determinadas aplicaciones como pueden ser las
memorias serie, las memorias de doble puerto, ..., las cuales serán tratadas en mayor
detalle en próximos apartados.

5.9 1.
FUNDAMENTOS DE ELECTRÓNICA

5.5.2 MEMORIAS RAM DINÁMICAS (DRAM)

Las memorias DRAM presentan una celda elemental de almacenamiento basada en un


condensador. Esta sencillez implica una gran facilidad de integración, por lo que es
posible alcanzar altos niveles de integración, con unos tiempos de acceso bastante
aceptables. El principal inconveniente de este diseño es que el condensador básico no
almacena la información indefinidamente, por lo que es necesario actualizarla o
refrescarla periódicamente. Este proceso recibe el nombre de ciclo de refresco y conlleva
dos situaciones adicionales: en primer lugar, es necesario un elemento hardware
adicional que lleve a cabo este ciclo de refresco, con el consiguiente aumento de la
complejidad del sistema; y en segundo lugar, durante el ciclo de refresco, la memoria
DRAM no se encuentra disponible, con la pérdida obvia de funcionalidad.

Ya se ha comentado que una de las más importantes características de las memorias


dinámicas, sino la principal, es la alta capacidad de integración que presentan para
superficies relativamente reducidas de material semiconductor. Obviamente, el bus de
direcciones de una memoria se encuentra estrechamente relacionado con la capacidad de
la misma; e igualmente se debe tener presente que, con el crecimiento tecnológico actual,
el número de terminales físicos externos se está convirtiendo en un factor restrictivo y
límite en el diseño de circuitos integrados. Con este planteamiento, en las memorias
dinámicas se ha realizado de manera genérica una división o multiplexación del bus de
direcciones en dos bloques de modo que sea posible el ahorro de un determinado número
de terminales externos.

Típicamente la definición de una dirección en una memoria DRAM se realiza mediante


dos operaciones: la selección de la fila y la posterior selección de la columna. Estos dos
datos, fila y columna, van a ser suministrados al dispositivo de memoria por los mismos
terminales físicos, por lo que se hace imprescindible la existencia de señales de control.
Así, las memorias dinámicas DRAM suelen poseer las siguientes señales:

x RAS (“Row Address Strobe”). Se activa para indicar que la información presente
en el bus de direcciones se corresponde con la fila a la que se desea acceder.

x CAS (“Column Address Strobe”). Su activación se ala que en el bus de direcciones


se encuentra la columna a la que se va a acceder.

Normalmente, un acceso de memoria dinámica proporciona primero el número de fila y a


continuación el número de columna, como se verá más adelante en los cronogramas de
estos dispositivos.

5.10
Memorias

En cuanto al aspecto externo del circuito integrado, éste presenta una aspecto similar al
que se ha visto para las memorias estáticas SRAM, salvo, como es obvio, incluyendo los
dos terminales que sirven para multiplexar el bus de direcciones (véase figura 5.6).

Bus de Bus de
direcciones datos
DRAM
Lectura/ OE
Escritura

RAS
CAS
Figura 5.6. Aspecto externo de una memoria dinámica DRAM.

El funcionamiento de cada uno de estos terminales es totalmente análogo al desarrollado


para las memorias RAM estáticas, excepto las dos líneas nuevas cuya descripción ya fue
realizada anteriormente. Conviene, sin embargo, resaltar que la relación entre el número
de terminales del bus de direcciones y la capacidad de la memoria se refleja mediante la
siguiente expresión, teniendo en cuenta que dicho bus se encuentra multiplexado.

m = 22˜n <5.2>

Donde n es el número de bits del bus de direcciones y m es el número de posiciones de


memoria existentes en el dispositivo.

En lo referente al diagrama de bloques interno de las memorias DRAM, éste es muy


similar, al igual que antes, al de las estáticas, presentando sus mayores diferencias en las
correspondientes realizaciones de la multiplexación del bus de direcciones. Debido a
esto, antes de los correspondientes decodificadores de filas y columnas existentes en
cualquier memoria de acceso aleatorio, se han interpuesto unos registros donde se
almacene el número de fila y columna, respectivamente, controlando su carga con las
señales RAS y CAS. Este detalle se puede observar en la figura 5.7. Asimismo, por
simplicidad, en este esquema se ha evitado introducir otros bloques funcionales
relacionados con el proceso de refresco de la información, y que deberían acceder
igualmente a los decodificadores de las filas y de las columnas de la matriz de celdas
para seleccionar la celda a refrescar.

Al igual que ocurría anteriormente con las memorias RAM estáticas, en la matriz de
celdas de la figura anterior se inserta el conjunto de celdas básicas que constituyen los
elementos básicos de almacenamiento. Aunque las posibilidades vuelven a ser varias, las
celdas se desarrollan típicamente en tecnología MOS, como se observa en la figura 5.8.
En la celda básica aparecen ahora dos terminales de control; el primero de ellos es la
línea de Selección de Fila que permite activar el transistor que conecta el condensador
con el resto del sistema; y por otro lado, el terminal de Columna se utiliza para recoger el

5.11 1.
FUNDAMENTOS DE ELECTRÓNICA

bit propiamente dicho almacenado en la memoria en un proceso de lectura, o para llevar


el dato hasta el condensador en una operación escritura. Aparte, existen otras señales
relacionadas con el sistema de refresco de la información almacenada en el mencionado
condensador, aunque éstas no han sido incluidas a fin de preservar la sencillez del diseño.

Líneas de
dirección

CAS Registro Columna


Líneas de dirección
RAS Decodificador Col.

Reg. Dec. Matriz de


fila fila celdas

Buffers de
entrada
E/S0
Columna E/S
E/Sn

R/W Lógica de
OE control

Buffers de
salida

Figura 5.7. Diagrama de bloques interno de una memoria RAM dinámica.

Columna

Selección
Fila

Figura 5.8. Celda elemental de la memoria RAM dinámica.

5.12
Memorias

5.5.2.1 Diagramas temporales

Las memorias RAM dinámicas presentan los mismos tipos de ciclos de acceso, más el
ciclo añadido de refresco de la información en ellas existentes. Estos ciclos, tanto el de
lectura como el de escritura, se van a caracterizar por una mayor lentitud, comparados
con los obtenidos en memorias RAM estáticas, debido principalmente, aparte de otros
motivos tecnológicos, a la necesidad de transferir dos datos secuencialmente para
completar la dirección de la posición de memoria a la que se desea acceder. A esto, habrá
que sumar también el hecho de que, periódicamente, la memoria no se encuentre
disponible para trabajar con ella durante ciertos intervalos de tiempo por estarse
produciendo los ciclos de refresco.

En la figura 5.9 se puede observar el ciclo típico de lectura de una memoria DRAM. Se
aprecia, como diferencia más destacable, la multiplexación del bus de direcciones,
proporcionándose primero las filas y posteriormente las columnas.

tCL
Dirección Fila Columna

RAS

CAS

R/W

Dato Válido

Figura 5.9. Ciclo de lectura de una memoria DRAM.

Tratando el proceso de escritura a continuación, lo más destacable es que existen dos


posibilidades según el proceso sea controlado por la señal de selección de columna CAS
o por la desactivación de la señal R/W. Al primero se le denomina ciclo de escritura
anticipada (figura 5.10) y al segundo ciclo de escritura retardada (figura 5.11).

Como ya se ha comentado anteriormente, estos ciclos resultan ser normalmente


excesivamente lentos comparados con los obtenidos en otros dispositivos, por lo que se
han añadido tipos de ciclo más complejos donde es posible disminuir del tiempo de
acceso a la memoria. Así aparecen ciclos de lectura y escritura modificados, donde es
posible realizar una operación de lectura y posteriormente de escritura sobre una misma
posición de memoria en un mismo ciclo, con la consiguiente ganancia; o ciclos de acceso
en alta velocidad, donde normalmente se fija el valor de la fila o la columna, siendo sólo
necesario indicar el valor de diversas columnas o filas, según corresponda, para poder
acceder a distintas posiciones de memoria, siempre dentro de la misma fila o columna,
sin necesidad de multiplexar el bus de direcciones continuamente.

5.13 1.
FUNDAMENTOS DE ELECTRÓNICA

tCE
Dirección Fila Columna

RAS

CAS

R/W

Dato Válido

Figura 5.10. Ciclo de escritura anticipada de una memoria DRAM.

tCE
Dirección Fila Columna

RAS

CAS

R/W

Dato Válido

Figura 5.11. Ciclo de escritura retardada de una memoria DRAM.

Finalmente, están los llamados ciclos de refresco, por ser aquéllos que permiten
regenerar periódicamente la información contenida en la memoria DRAM. El refresco de
las celdas de memoria se puede abordar desde dos filosofías distintas. Se puede realizar
de forma continua, es decir, se refrescan todas las filas de memoria de manera
consecutiva, una detrás de otra; o bien se pueden ir refrescando paulatinamente fila a fila,
siendo posible realizar accesos normales de lectura o escritura entre cada ciclo de
refresco. En cualquier caso, van a aparecer principalmente tres tipos de ciclos. El primero
de ellos es el ciclo de refresco con sólo RAS. Consiste simplemente en la escritura sobre
la memoria de la fila que se desea refrescar, como puede observarse en la figura 5.12.

Dirección Fila
t CR
RAS

Datos

Figura 5.12. Ciclo de refresco sólo con la señal RAS.

Otra posibilidad es que la memoria disponga de un contador interno que le permita saber
en todo momento cuál es la siguiente fila que debe ser refrescada. Una vez realizado el
refresco, el contador se incrementa automáticamente para pasar a la fila contigua.

5.14
Memorias

Cuando las memorias dinámicas DRAM disponen de este recurso, aparece un nuevo
ciclo llamado de refresco con CAS antes que RAS. Se basa en la activación de la señal
CAS anteriormente que RAS, hecho que sólo ocurre en este tipo de ciclo, y que por lo
tanto permite a la memoria reconocerlo inmediatamente, pasando a actualizar la
dirección de memoria señalada por su contador interno. En la figura 5.13 se puede
observar un cronograma genérico de este tipo de ciclo de refresco.

t CR
RAS

CAS

Dato

Figura 5.13. Ciclo de refresco con CAS activada antes que RAS.

Por último, y siempre con el objetivo de disminuir los tiempos de acceso de estas
memorias existe un tipo de acceso, llamado de refresco oculto. Se basa, al igual que el
anterior, en un contador interno que se gestiona automáticamente, y se trata de solapar
ese ciclo de refresco con un acceso cualquiera a la memoria, no teniendo por qué ser a la
misma posición de memoria que se va a refrescar. De esta manera, se consigue reducir el
tiempo de ciclo de una memoria DRAM (véase figura 5.14).

Como se ha visto, las memorias DRAM, debido a sus características particulares,


presentan un grado de complejidad mayor de control que las estáticas cuando van a ser
instaladas en un sistema digital, debido por un lado a la necesidad de refresco y por otro a
la división de su bus de direcciones. Este hecho ha producido la aparición en el mercado
de dispositivos controladores de memorias RAM dinámicas, los cuales, comportándose
como interfaz de la memoria, hacen transparentes al resto del sistema los imprescindibles
ciclos de refresco así como la multiplexación del bus de direcciones, facilitándose
consecuentemente su uso.

Dirección Fila Columna

RAS

CAS

R/W

Datos Válido

Figura 5.14. Ciclo de refresco oculto de una memoria DRAM.

5.15 1.
FUNDAMENTOS DE ELECTRÓNICA

5.6 MEMORIAS ROM

Las memorias ROM (“Read Only Memory”) se caracterizan por almacenar los datos que
contienen de forma permanente o semipermanente. Por ello suelen ser utilizadas para
almacenar aquellos datos de un sistema que no se van a modificar y van a ser invariables
a lo largo del funcionamiento del mismo.

Aunque originalmente era imposible modificar el contenido de estas memorias, una vez
que éste había sido grabado en el proceso de fabricación, la evolución tecnológica ha
llevado a que este proceso de grabación de la información se pueda repetir un número
determinado de veces y sin un coste elevado. Según el fundamento físico de dicho
proceso de borrado y grabación, se determinan las características de cada memoria y se
puede llevar a cabo una clasificación de las memorias ROM.

5.6.1 MEMORIAS ROM CLÁSICAS

Normalmente llamadas simplemente memorias ROM por tratarse del primer modelo que
se desarrolló. Las memorias de este tipo sólo pueden ser programadas una vez, mediante
un proceso de coste elevado y que se debe llevar a cabo durante la fase de fabricación.

El proceso de grabación se basa en la utilización de unas máscaras que determinan el


estado de conexión del transistor que constituye la celda base de la memoria. Estas
máscaras, debido a la precisión necesaria, tienen un precio bastante elevado, por lo que la
aplicación de este tipo de memorias se reduce únicamente a aquellos ejemplares de gran
tirada, que permitan una amortización de la fuerte inversión inicial; pero nunca en fases
de desarrollo o investigación.

Se puede deducir inmediatamente el aspecto externo de un dispositivo genérico de


memoria ROM (véase figura 5.15), donde los únicos cambios destacables, en
comparación con las memorias RAM vistas hasta ahora, son la desaparición del terminal
de selección entre lectura y escritura, así como la característica unidireccional del bus de
datos, al sólo poderse realizar operaciones de escritura.

Bus de Bus de
direcciones datos
ROM
CS OE

Figura 5.15. Aspecto externo de un dispositivo de memoria ROM.

5.16
Memorias

La estructura interna de estas memorias es muy similar a la de las memorias RAM,


salvando las distancias tecnológicas lógicamente, y teniendo en cuenta que aquí
desaparece la posibilidad de realizar escritura sobre la memoria, y todos los recursos
asociados a la misma. En la figura 5.16 se muestra el diagrama de bloques interno de
estas memorias, donde se observa como las líneas de direcciones se dividen en dos
grupos, uno para la decodificación de filas y el otro para la decodificación de columnas,
mientras que los buffers de salida se encuentran controlados por la señal de habilitación
del circuito integrado.

Selección Dec. Matriz de


fila fila celdas

Decodificador Datos
Columnas

Selección columna
Sn-1

S0
Buffers de
CS
salida
OE

Figura 5.16. Diagrama de bloques interno de una memoria ROM.

La matriz de celdas vuelve a estar formada por el conjunto de elementos básicos de


almacenamiento, cuyo principio de funcionamiento cambia notablemente, comparado
con las memorias RAM. En la figura 5.17 se puede observar una celda base de una
hipotética memoria ROM basada en un transistor MOS; en ella, la conexión o la
desconexión de la puerta del mismo a la señal de la fila permite diferenciar los dos
estados lógicos. Recuérdese que la existencia o no de dicha conexión (indicada en la
figura con línea discontinua) se decide única y exclusivamente durante el proceso de
fabricación, siendo imposible cualquier posterior modificación de las conexiones de los
transistores en la matriz de celdas.

5.17 1.
FUNDAMENTOS DE ELECTRÓNICA

Fila
VDD

Columna
Figura 5.17. Celda base de una memoria ROM.

5.6.1.1 Diagramas temporales

Finalmente, en cuanto a cronogramas se refiere, el parámetro más importante de una


memoria ROM típica es el tiempo de acceso tAC de la misma, es decir, el tiempo que
transcurre desde que se establece una dirección válida en el bus de direcciones hasta que
el dato deseado aparece en su correspondiente bus. Este tiempo se puede observar en el
cronograma típico de la figura 5.18.

Dirección Válida
tAC
CS

Dato

Figura 5.18. Cronograma típico de lectura de una memoria ROM.

5.6.2 MEMORIAS PROM

Las memorias PROM o ROM programables son básicamente iguales a las memorias
ROM, pero presentan la particularidad de que la primera y única grabación posible no se
realiza durante el proceso de fabricación necesariamente, por lo que no se precisa el
desarrollo de máscaras especiales para cada contenido determinado de la memoria (como
ocurría en la memoria ROM clásica), reduciendo considerablemente los gastos del
proceso. Su aplicación se encuentra en sistemas definitivos que no vayan a producirse en
un número suficiente de unidades como para rentabilizar la fabricación de máscaras.

Su aspecto externo y su diagrama de bloques interno es similar al de las memorias ROM,


pero en el transistor de la celda base, su conexión está formada por un fusible cuya
destrucción es posible, normalmente mediante corrientes elevadas, a fin de programar los
distintos niveles lógicos que debe contener (véase figura 5.19). Estos fusibles suelen estar
formados normalmente por conexiones de metal, conexiones de silicio o uniones p-n.

5.18
Memorias

Fila

Fusible VDD

Columna

Figura 5.19. Celda básica de una memoria PROM.

5.6.3 MEMORIAS EPROM

El mayor inconveniente que presentan las memorias PROM es que una vez configuradas,
es imposible realizar cambio alguno. Esto implica que un error de programación supone
el desecho del dispositivo. Con el objetivo de solucionar este inconveniente aparecen las
memorias EPROM, donde el contenido de la memoria, una vez grabada, no es definitivo,
pudiéndose llevar a cabo un proceso de borrado.

En las memorias EPROM las elevadas corrientes de programación, que en las memorias
PROM servían para destruir fusibles, son utilizadas para introducir una carga en una
celda básica, que se ha modificado con respecto a las anteriores. Esta carga, que en
principio puede permanecer almacenada indefinidamente, puede ser igualmente
eliminada mediante diversos procesos físicos.

Con estas características, el esquema interno de una memoria EPROM será bastante
parecido al que ya hemos visto para otros tipos, pero teniendo en cuenta que van a
aparecer una serie de bloques destinados a la programación y borrado del dispositivo
(véase figura 5.20).

Como novedad aparece el terminal VPP, sobre el cual se podrán aplicar elevadas
corrientes a fin de llevar a cabo la programación del dispositivo. Los inversores
existentes en este terminal presentan un alto umbral de activación para que las tensiones
que se tengan que aplicar a la señal VPP sean elevadas y un simple ruido nunca sea
considerado como un proceso de programación.

5.19 1.
FUNDAMENTOS DE ELECTRÓNICA

Selección Dec. Matriz de


fila fila celdas

Buffers de
entrada
E/S0 Columna E/S Salida de
Decodificador Col. datos
E/Sn

VPP
Selección columna

OE
CS

Buffers de
salida

Figura 5.20. Estructura interna de una memoria EPROM genérica.

La celda elemental de una memoria EPROM genérica, basada en tecnología MOS, consta
de dos puertas, cada una de las cuales está representada por sus correspondientes
capacidades (véase figura 5.21). La primera puerta constituye el terminal de control del
transistor, mientras que la segunda puerta está totalmente aislada, pudiendo almacenar
carga o no para representar sendos niveles lógicos. Cuando se active el terminal de
control de la primera puerta, la conducción o no conducción del transistor dependerá del
valor de carga almacenado en la segunda puerta.

VDD

Puerta 1 Puerta 2

Figura 5.21. Celda elemental de las memorias EPROM.

Para la inserción de carga en la puerta flotante es necesaria la aplicación de grandes


corrientes que generen campos eléctricos lo suficientemente intensos como para que los
electrones superen la barrera aislante entre ambas puertas, almacenándose en la flotante.

En cuanto al proceso de borrado, éste se lleva a cabo mediante la exposición de la


memoria a una radiación ultravioleta UV que proporcione a los electrones almacenados
en la puerta flotante la suficiente energía como para saltar al sustrato o a la puerta de
control. Conviene especificar que la exposición a la radiación se realiza sobre toda la

5.20
Memorias

superficie de la memoria, por lo que el borrado de la misma suele ser un proceso general,
es decir, que afecta al conjunto de todas las posiciones.

5.6.3.1 Diagramas temporales

La memoria EPROM presenta dos modos de operación o tipos de acceso. En primer


lugar se encuentra el ciclo de lectura, cuyas características son muy similares a
cronogramas de este tipo ya vistos para otras memorias, y donde los tiempos más
importante son los tiempos de acceso de la memoria tAD, tAC y tAO, desde que se
estabilizan las distintas señales hasta que el dato aparece en el bus correspondiente. Este
ciclo puede observarse en la figura 5.22.

Por otro lado está el ciclo de programación de la memoria. Estos ciclos suelen tener una
duración considerable, por lo que aquí cada dispositivo puede tener su propio ciclo de
programación particular que permita disminuir el tiempo del mismo. En cualquier caso,
siempre se dispone de un ciclo estándar genérico como el existente en la figura 5.23.

tCL
Dirección Dirección válida
tAD tAC
CS
tAO
OE

Dato Válido

Figura 5.22. Ciclo de acceso en lectura de una memoria EPROM.

Programación Verificación

Dirección Dirección válida

Datos Entrada Salida

>5V

VPP

CS

Figura 5.23. Ciclo de programación estándar de una memoria EPROM.

Como se observa el proceso de grabación de una celda de memoria puede llevar incluido
también un proceso de comprobación a posteriori que permite verificar que la celda ha
sido correctamente programada. En cualquier caso, se pueden encontrar memorias en las

5.21 1.
FUNDAMENTOS DE ELECTRÓNICA

que el ciclo de programación conste únicamente del proceso de programación


propiamente dicho, prescindiendo de la fase de verificación.

5.6.4 MEMORIAS EEPROM

El principal inconveniente de las memorias EPROM, que llevó a la aparición de las


EEPROM también llamadas E2PROM, es la imposibilidad de llevar a cabo el proceso de
borrado en el mismo sistema donde normalmente funciona el dispositivo, pues es
necesario someter al mismo a una radiación ultravioleta.

Por el contrario, las memorias EEPROM permiten la grabación y borrado de la matriz


eléctricamente mediante la aplicación de tensiones sobre determinados terminales.
Además de esta ventaja, es posible también realizar el borrado y programación solamente
sobre posiciones determinadas de memoria, y no sobre todo el conjunto como ocurría en
los tipos anteriores.

La celda elemental se basa en la misma filosofía mostrada anteriormente. Existen dos


puertas en el transistor de la celda, de tal forma que una puerta constituye el elemento de
control de la celda, mientras que la otra, la puerta flotante, es el elemento de
almacenamiento de carga que define el nivel lógico guardado.

Para el resto de características de esta memoria EEPROM, el lector deberá referirse a la


información proporcionada para las memorias EPROM, por tener los mismos diagramas
de bloques internos y ciclos de acceso.

5.6.5 MEMORIA FLASH

Si se tuviese que diseñar una memoria ideal, se buscaría una memoria que tuviese una
alta capacidad de almacenamiento e integración; los datos podrían almacenarse de forma
permanente; debería ser posible realizar accesos en lectura y en escritura en un tiempo
reducido. Se puede observar que estas características son típicas de diversas tecnologías
vistas hasta el momento, pero ninguna de ellas consigue recopilar todas simultáneamente.

Las memorias FLASH tratan de reunir estas características con una celda elemental
basada en la misma estructura de transistor MOS con dos puertas, una de control y otra
flotante que almacene carga. El diseño ha sido optimizado para que el proceso de borrado
y grabación no sea tan prohibitivo como lo era en las memorias EEPROM, de tal forma
que es posible realizar operaciones de escritura sobre la memoria en el transcurso del
funcionamiento normal del sistema, en el cual se encuentra insertada.
Así, pues, las memorias FLASH suponen la primera memoria no volátil, es decir, que
mantiene los datos en ausencia de alimentación, que permite la realización de ciclos de

5.22
Memorias

acceso, tanto de lectura como de escritura. Además tienen capacidades de integración


superiores a las memorias RAM dinámicas, puesto que su celda base es más sencilla y no
precisan de un refresco periódico para conservar la información. Pero, sin embargo, los
tiempos de acceso siguen siendo ciertamente más elevados que los característicos para
memorias RAM estáticas en operaciones de lectura y escritura genéricas, por lo que no
son memorias excesivamente adecuadas para aplicaciones donde la velocidad sea un
factor crítico.

5.7 MEMORIAS ESPECIALES

En este apartado se recogen una serie de memorias que presentan un diagrama de bloques
interno modificado con respecto a las genéricas comentadas anteriormente, con el
objetivo de una funcionalidad característica muy interesante para ciertas aplicaciones.

5.7.1 MEMORIAS DE DOBLE PUERTO

Una memoria de doble puerto es una memoria RAM estática que se caracteriza por
poseer dos puertos de acceso a la misma matriz de celdas de memoria. Es decir, partiendo
de un conjunto de celdas de memoria, se desarrollan dos buses de direcciones, sendos
grupos de señales de control, dos buses de datos, ... de forma que la lectura o escritura de
una celda de memoria puede realizarse desde dos puertos distintos.

En la figura 5.24 se puede analizar el diagrama de bloques interno de una memoria de


doble puerto genérica. Se puede observar que toda la lógica de acceso se encuentra
duplicada para proporcionar los dos puertos. Cualquiera de los dos puertos puede acceder
independientemente y simultáneamente a cualquier posición de memoria; sin embargo,
un tratamiento especial deberá ser dispensado al caso en que ambos estén accediendo a la
misma posición de memoria, implementado en el bloque llamado Sistema de arbitración.

Esta arquitectura resulta muy adecuada para la comunicación de dos dispositivos a través
de una memoria común, cumpliendo el dispositivo funciones de almacenamiento y de
transmisión de información.

5.23 1.
FUNDAMENTOS DE ELECTRÓNICA

Lado izquierdo Zona común Lado derecho

Bus de Bus de
datos Buffer Buffer datos
Matriz de
E/S memoria E/S
datos común datos
Señales de Señales de
control control

Dec. Dec.
Dirección Dirección
Bus de Bus de
direcciones direcciones

Señales de Señales de
arbitración arbitración
Sistema de arbitración
Figura 5.24. Diagrama de bloques interno de una memoria de doble puerto.

En consecuencia, es fácil deducir que el aspecto externo de una memoria de doble puerto
es similar al de una RAM estática genérica, pero incluyendo aquellos terminales de
arbitración (véase figura 5.25). El terminal BUSY indica cuando un puerto está tratando
de acceder a la misma posición que el otro puerto, produciéndose un conflicto y teniendo
que esperar a que la posición quede libre. En cuanto al terminal INT, algunas memorias
de doble puerto permiten implementar comunicaciones basadas en interrupciones, de tal
forma que la escritura en una posición de un puerto implica la generación de una
interrupción en el puerto opuesto, y viceversa.

Lado izquierdo Lado derecho

Bus de Bus de
direcciones I direcciones D
R/WI R/WD

CSI RAM de CSD


doble
OEI puerto OED
Bus de Bus de
datos I datos D
BUSYI BUSYD
INTI INTD

Figura 5.25. Aspecto externo de una memoria de doble puerto.

5.24
Memorias

5.7.2 MEMORIAS FIFO

Este tipo de memoria, formada por registros de desplazamientos, posee un


funcionamiento muy característico en el cual los datos se van obteniendo
secuencialmente a la salida en el mismo orden en que fueron introducidos en el
dispositivo, es decir, no es posible realizar un acceso aleatorio.

Su estructura consta de una batería de registros en los cuales los datos se insertan por el
extremo superior y pasan a ocupar el último registro vacío. Por otro lado, en la salida, se
va extrayendo el dato almacenado en el último registro (extremo inferior) y el resto
desciende una posición. En la figura 5.26 se puede observar este diagrama para una
memoria FIFO basada únicamente en cuatro registros de desplazamiento por simplicidad.

Buffers de Registro de desplazamiento


entrada
Bus de
entrada de Registro de desplazamiento
datos
Bus de
Registro de desplazamiento salida de
datos
Buffers de
Registro de desplazamiento
salida
Entrada
preparada Salida
(IR) preparada
(OR)
Bloque y señales de control
Desplazamiento Desp. salida
entrada (SI) (SO)
Figura 5.26. Diagrama de bloques interno de una memoria FIFO.

Su aspecto externo (figura 5.27) presenta un bus de datos de entrada con dos señales de
control. La señal Entrada preparada (IR) indica cuando la memoria está disponible para
almacenar un nuevo dato; y la señal Desplazamiento entrada (SI) permite almacenar el
dato estable en el bus de datos en el primer registro vacío de la memoria FIFO. Por otro
lado, existe un bus de datos de salida controlado por la señal Salida preparada (OR), que
indica que existe un dato disponible en la memoria para ser leído; y la señal
Desplazamiento salida (SO) que sincroniza la extracción de cada nuevo dato.

5.25 1.
FUNDAMENTOS DE ELECTRÓNICA

Bus de OR
entrada de
datos SO
Memoria
FIFO
IR Bus de
SI salida de
datos
Figura 5.27. Aspecto externo de una memoria FIFO.

Al igual que pasaba con las memorias de doble puerto, las memorias FIFO están
pensadas principalmente para tareas de comunicación, principalmente en aquellas
aplicaciones en que los dispositivos a comunicar presenten velocidades muy dispares, de
forma que los datos puedan quedar registrados en una memoria FIFO.

5.8 EXPANSIÓN DE MEMORIAS

Los dispositivos de memoria se fabrican en diversos tamaños estándar, con un número de


palabras determinado y una longitud de la misma determinada. Sin embargo, los
requerimientos de un sistema pueden llevar en un momento a precisar de una memoria
con unas características de capacidad no disponibles en un único integrado. Es en este
instante cuando se hace necesario realizar una ampliación o expansión del dispositivo de
memoria para obtener las dimensiones deseadas a partir de circuitos integrados con otros
tamaños. A continuación se detallará las dos posibles expansiones en un sistema de
memoria.

5.8.1 EXPANSIÓN DE LA LONGITUD DE PALABRA

Permite esta expansión obtener un número mayor de bits para cada posición de memoria.
Como se puede observar en la figura 5.28, los dispositivos de memoria van a compartir el
mismo bus de direcciones, mientras que el bus de datos va a estar conformado por las
diversas líneas de datos que proporcionen los circuitos integrados que forman la
ampliación. Las señales de control serán compartidas por todos los dispositivos de
memoria, puesto que las mismas operaciones deberán ser realizadas simultáneamente
sobre todos los circuitos integrados. En el diseño de la figura 5.28 se ha realizado una
memoria de 2mx2n a partir de dos circuitos integrados 2mxn. Se ha supuesto que la
ampliación se ha realizado sobre memorias RAM genéricas, siendo la extrapolación
inmediata a otro tipo de memorias más específicas simplemente teniendo en cuenta la
conexión de los terminales particulares de cada modelo.

5.26
Memorias

m
Bus de
direcciones n
Am-1-A0 Dn-1 -D0
RAM
R/W 2mxn D2n-1-Dn
CS Bus de
OE R/W datos
CS OE

RAM n
2mxn

R/W
CS OE

Figura 5.28. Expansión de la longitud de palabra de un sistema de memoria.

5.8.2 EXPANSIÓN DEL NÚMERO DE PALABRAS

En otras situaciones lo que se desea o lo que se necesita es aumentar la capacidad de la


memoria de un sistema digital, a partir de circuitos integrados de menor capacidad. En
este caso, los circuitos de memoria participantes en la ampliación van a compartir
íntegramente el bus de datos, como se puede ver en la figura 5.29. En este caso, se
pretende obtener una memoria final con un tamaño de 2m+1xn partiendo de sendas
memorias de 2mxn. Las líneas de dirección de cada memoria van a estar interconectadas
entre sí para formar la parte de menor peso del bus final de direcciones, mientras que las
líneas de dirección sobrantes se emplean para la activación, nunca simultánea, de las
señales CS de los circuitos integrados que forman la ampliación. El resto de las señales
de control es compartido por el conjunto de las memorias.

Finalmente, conviene comentar que puede ocurrir que en un determinado sistema sea
necesario realizar ampliaciones tanto en el número de palabras como en la longitud de la
palabra, lo cual no debe suponer ninguna complejidad añadida. Básicamente el diseño
debe respetar las peculiaridades comentadas en este apartado, y en el anterior; y siempre
será necesario expandir dispositivos del mismo modelo y fabricante, pues cualquier
variación en una de las características, ya sean parámetros temporales o eléctricos, puede
llevar a un montaje erróneo.

5.27 1.
FUNDAMENTOS DE ELECTRÓNICA

Bus de
direcciones m
Am-1-A0
Am n n
RAM
R/W 2mxn Bus de
datos
OE R/W Dn-1 - D0
CS OE

RAM n
2mxn

R/W
CS OE

Figura 5.29. Ampliación del número de palabras de un sistema.

Ejemplo 5.1: Se dispone para el diseño y montaje de un sistema digital de


circuitos integrados de memoria RAM, con una capacidad de 4Kx4. Sin
embargo, los requerimientos del sistema, lejos de coincidir con estas
dimensiones, demandan un tamaño en el bloque de memoria de 8Kx8. Se
puede deducir, por lo tanto, que va a ser necesario la realización de una
ampliación, tanto del tamaño de la palabra como del número de posiciones
disponibles.

Se desea un bus de datos, o lo que es lo mismo, un tamaño de palabra, de 8


bits, pero se dispone únicamente de dispositivos con una longitud de 4 bits.
Consecuentemente, el número final de circuitos integrados deberá ser
siempre duplicado para alcanzar el tamaño de palabra deseado. Por otro
lado, se requieren 8K posiciones distintas, mientras que nuevamente nuestros
circuitos constan sólo de 4K. En definitiva, para poseer el número de
posiciones deseadas, 8K, será necesario introducir dos circuitos integrados,
número que habrá que duplicar para lograr un bus de datos de 8 bits; por lo
tanto, el número final de circuitos integrados que se van a emplear será de
cuatro, como se puede observar en la figura 5.30.

Con esta disposición, la activación de las cuatro memorias nunca se puede


producir simultáneamente, sino que se va a realizar dos a dos. Se debe esto a
que aquéllas se van a agrupar en grupos de dos para formar una única
posición de 8 bits, de tal forma que un circuito integrado proporciona los
cuatro bits de menor peso D3-D0, y el otro los de mayor peso D7-D4. Todas

5.28
Memorias

las memorias van a compartir las primeras 12 líneas del bus de direcciones,
A11-A0, correspondientes al tamaño de 4K (véase la figura 5.30). Sin
embargo, la señal de activación va a ser distinta para cada subgrupo y va a
depender de la línea del bus de direcciones de mayor peso A12, que permite
obtener la capacidad final deseada de 8K.

Bus de
direcciones 12
A11 -A0
A12 4 8 8
RAM
R/W 4Kx4 Bus de
datos
OE R/W D7- D0
CS OE

RAM 4
4Kx4

R/W 8
CS OE

4
RAM
4Kx4

R/W
CS OE

RAM 4
4Kx4

R/W
CS OE

Figura 5.30. Ampliación de memoria a 8Kbyte con dispositivos de 4Kx4.

5.9 USO DE MEMORIAS PARA LA IMPLEMENTACIÓN DE


FUNCIONES LÓGICAS

Es indiscutible la importancia que para la obtención de un buen sistema digital final tiene
el hecho de conseguir la máxima integración posible de cada uno de los elementos del
mismo. En este sentido, resulta fundamental un correcto diseño de las diversas puertas
lógicas y funciones combinacionales que pueden resultar necesarias. Nuevamente en este

5.29 1.
FUNDAMENTOS DE ELECTRÓNICA

campo, las memorias representan una posible solución a la implementación de estos


bloques combinacionales, con una eficiencia aceptable.

Todo dispositivo de memoria puede ser analizado como una función combinacional de m
entradas, donde m es el número de líneas del bus de direcciones, y de n salidas, siendo
éste el número de líneas del bus de datos. Mediante el almacenamiento de la información
adecuada en cada una de las posiciones de memoria, es posible que el dispositivo
desarrolle operaciones combinacionales de cierta complejidad.

Supóngase, por ejemplo, que se desea realizar un bloque multiplicador de dos dígitos A y
B en binario natural de 4 bits. Una posible solución a este planteamiento sería el uso de
una memoria como circuito multiplicador. Las entradas del módulo las van a constituir
un total de 8 líneas, provenientes de los dos mencionados dígitos, mientras que la salida
será el resultado R de la operación, que deberá tener 8 bits para evitar desbordamientos
(el posible máximo resultado se obtiene de 15˜15=225). El proceso normal lleva a
continuación a asignar las líneas de entrada al bus de direcciones de una memoria con un
número de líneas igual o superior. Del mismo modo, la salida del sistema se obtendrá del
bus de datos de la memoria, por lo que ésta deberá tener una anchura mínima de palabra
de 8 bits, para dar cabida a cualquier posible resultado. Estas conexiones se pueden
observar en la figura 5.31.

A0
Bus de A1
Bus de
direcciones A2 datos
A3
B0
B1 Memoria
B2 RAM R
256 bytes
B3

CS
R/W
OE
Figura 5.31. Diseño de un circuito multiplicador a partir de una memoria de 256 bytes.

Una vez establecidas las conexiones, el último detalle a tener en cuenta, y también el más
importante, es determinar lo que van a contener cada una de las posiciones de la
memoria. Para ello suele ser habitual el uso de una tabla en la que se dispongan todas y
cada una de las posibles combinaciones de entrada así como el resultado buscado en cada
caso, que será el valor que se deba almacenar en la correspondiente posición. En la tabla
5.1 se muestra el desarrollo del mencionado multiplicador para algunas posibles
combinaciones de entrada.

5.30
Memorias

Bus de direcciones Bus de datos

B3 B2 B1 B0 A3 A2 A1 A0 R7 R6 R5 R4 R3 R2 R1 R0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0

0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0

................................................

0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 0

0 0 1 1 0 0 1 1 0 0 0 0 1 0 0 1

................................................

1 1 1 1 1 1 1 0 1 1 0 1 0 0 1 0

1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1

Tabla 5.1. Tabla de verdad de un multiplicador de 4 bits a implementar sobre una memoria.

Debe observarse que el contenido de la tabla anterior no es otra cosa que la tabla de
verdad de un multiplicador de 4 bits, en el cual las entradas han sido asignadas al bus de
direcciones y las salidas al bus de datos. Para el correcto funcionamiento del sistema,
será necesario grabar a priori en cada posición de la memoria el contenido deseado en
función del resultado que se deba obtener. Una vez realizada la escritura, el sistema
entrará en un funcionamiento normal, de tal forma que para realizar una operación
bastará con estabilizar ambos datos, A y B, en el bus de direcciones, y a continuación
realizar una operación de lectura para obtener el resultado por el bus de datos.

Obviamente, este proceso metódico de diseño puede repetirse todas las veces que se
desee para implementar todo tipo de circuitos combinacionales, siempre teniendo en
cuenta que la capacidad de la memoria debe adecuarse a las características de la función
a realizar (número de entradas y número de salidas).

Ejemplo 5.2: Se desea implementar un multiplicador de dos números


cualesquiera de dos bits en binario natural. Para dicho sistema se desea
emplear una memoria semiconductora. Se pide:

1. Determine la capacidad mínima que debe tener la memoria empleada.

Si se desea multiplicar dos números de dos bits cada uno, éstos van a
constituir las líneas de direcciones de la memoria del diseño, por lo que se

5.31 1.
FUNDAMENTOS DE ELECTRÓNICA

necesitan al menos cuatro líneas de direcciones de memoria, requiriéndose


pues un dispositivo de 16 posiciones.

Por otro lado, se debe determinar el número de bits necesarios para


representar en cualquier caso el resultado de la operación de multiplicación.
Sendos multiplicandos tienen dos bits, por lo que el máximo número que se
podrá presentar será el 3, por el cual, suponiendo el peor caso, 3x3, se
obtendrá como resultado el número 9 para el cual se precisan 4 bits. Así,
pues, el tamaño de la palabra va a ser de 4 bits al menos.

En definitiva, la capacidad de la memoria debe ser de 16x4 bits.

2. Diseñe la tabla de verdad que debe implementarse en la memoria


seleccionada.

En la tabla 5.2 se muestra la tabla de verdad donde los datos de entrada A y


B constituyen las direcciones de la memoria, y el producto R es el dato a
almacenar en cada una de esas posiciones.

Dirección (hex.) A1 A0 B1 B0 S3 S2 S1 S0 dato (hex.)


0 0 0 0 0 0 0 0 0 0
1 0 0 0 1 0 0 0 0 0
2 0 0 1 0 0 0 0 0 0
3 0 0 1 1 0 0 0 0 0
4 0 1 0 0 0 0 0 0 0
5 0 1 0 1 0 0 0 1 1
6 0 1 1 0 0 0 1 0 2
7 0 1 1 1 0 0 1 1 3
8 1 0 0 0 0 0 0 0 0
9 1 0 0 1 0 0 1 0 2
A 1 0 1 0 0 1 0 0 4
B 1 0 1 1 0 1 1 0 6
C 1 1 0 0 0 0 0 0 0
D 1 1 0 1 0 0 1 1 3
E 1 1 1 0 0 1 1 0 6
F 1 1 1 1 1 0 0 1 9

Tabla 5.2. Tabla de verdad de un multiplicador de 2 bits.

5.32
Memorias

5.10 PROBLEMAS PROPUESTOS

5.1 Se desea implementar un sistema combinacional que realice las siguientes funciones
lógicas:

F0 (a, b, c, d , e) a b  e  c ˜ ( a † b)
F1 (a, b, c, d , e) bcd  a b
F2 (a, b, c, d , e) de  ab
F3 (a, b, c, d , e) b  dc  e
F4 (a, b, c, d , e) bde  a  d
F5 (a, b, c, d , e) cd  e  a

1. Indique la capacidad mínima de memoria necesaria para implementar dicho sistema


utilizando un sólo circuito integrado de memoria.

Solución:
Puesto que el sistema combinacional posee 5 variables de entrada (a, b, c, d
y e), la memoria a utilizar debe tener ese mismo número de líneas como
mínimo en el bus de direcciones, o lo que es lo mismo 32 posiciones. En
cuanto al bus de datos, como se desea implementar también 6 funciones
lógicas distintas, ésta debe ser la longitud de palabra de la memoria. Por lo
tanto, la capacidad mínima de la memoria será de 32x5.

2. Si la memoria utilizada y las conexiones realizadas son las que se indican en la figura
5.32, complete la tabla 5.3 adjunta indicando los datos a grabar en las posiciones de
memoria indicadas (en el caso de que dicho dato sea indiferente, indíquese con una X).

a A0 D0 F0
b A1 D1 F1
c A2 D2 F2
d A3 D3 F3
e A4 D4 F4
A5 D5 F5
A6 D6
A7 D7

CE

Figura 5.32. Montaje del sistema basado en una memoria.

5.33 1.
FUNDAMENTOS DE ELECTRÓNICA

Solución:

Dirección Dato
(HEX)
D7 D6 D5 D4 D3 D2 D1 D0

00 H X X 0 0 0 0 1 0

08 H X X 0 1 0 0 1 0

10 H X X 1 0 1 1 1 1

C0H X X 0 0 0 0 1 0

Tabla 5.3. Contenido de algunas posiciones de memoria del sistema.

3. Indique la conexión a realizar para obtener la memoria del apartado anterior a partir de
dos circuitos integrados de capacidad 128X8.

Solución:

Bus de
direcciones 7
A6-A0
A7 8 8
RAM
R/W 128x8 Bus de
datos
OE R/W D7- D0
CS OE

RAM 8
128x8

R/W
CS OE

Figura 5.33. Diseño de una memoria de 256x8 a partir de integrados de 128x8.

5.2 Se dispone de los circuitos integrados de memoria que se muestran en la siguiente


figura 5.34.

1. Indique sobre la mencionada figura el tamaño o capacidad de cada circuito integrado


de memoria.

5.34
Memorias

2. Realice sobre la figura las conexiones necesarias para conseguir una memoria de
1Kx16, indicando sobre cada bus el tama o del mismo).

Solución:

Bus de
direcciones
A8-A0
9
A9 16 16
Chip 1
R/W 512x16 Bus de
datos
OE R/W D15 - D0
CS OE

9 8
Chip 2
512x8

R/W
CS OE

9 8
Chip 3
512x8

R/W
CS OE

Figura 5.34. Ampliación de memoria con un tamaño final de 1Kx16.

3. ¿A qué circuitos integrados se accede al escribir o leer en las siguientes posiciones de


memoria (véase la tabla 5.4)?.

Solución:
Dirección Chip/s accedidos
075 h Chip 1
134 h Chip 1
2F5 h Chip 2 y Chip 3
011 h Chip 1
3FF h Chip 2 y Chip 3

Tabla 5.4. Contenido de algunas posiciones de memoria del sistema.

5.35 1.
FUNDAMENTOS DE ELECTRÓNICA

5.3 Se desea implementar un conversor de código de binario natural a BCD de dos cifras
mediante un sistema de memoria.

1. Indique la capacidad mínima de la memoria necesaria para realizar el conversor.


Justifique la respuesta.

Solución:
La entrada del sistema la constituye un número binario que posteriormente
va a ser convertido a formato BCD de dos dígitos. Esto implica que el
número original debe estar entre 0 y 99 para poder realizar una conversión
real. En este sentido, si el valor mayor que se va a representar es el 99, serán
necesarios al menos 7 bits para codificarlo en binario natural. Por lo tanto
el bus de direcciones de la memoria a emplear deberá tener al menos 7 bits,
o lo que es lo mismo, la memoria tendrá una capacidad de 128 posiciones
como mínimo.

En cuanto al tamaño de palabra, el sistema debe proporcionar los digitos en


formato BCD, lo que significa que si cada digito BCD tiene 4 bits, la
memoria precisa de un tamaño de dato de al menos 8 bits.

Por lo tanto finalmente, la capacidad mínima requerida por la memoria será


de 128x8.

2. Para conseguir la capacidad anterior se realiza una doble expansión de memoria a


partir de 4 circuitos integrados iguales cuya longitud de palabra y número de palabras son
la mitad de los necesarios para la memoria total. En cada uno de los cuatro chips se ha
grabado la información mostrada en la tabla 5.5.

Decenas de los números Unidades de los números


Chip 1 Chip 3
entre el 0 y el 63 entre el 0 y el 63
Decenas de los números Unidades de los números
Chip 2 Chip 4
entre el 64 y el 99 entre el 64 y el 99

Tabla 5.5. Contenido de los circuitos integrados de memoria del montaje.

Complete sobre la figura 5.35 las conexiones a realizar para el correcto funcionamiento
del sistema.

5.36
Memorias

Solución:

Número en
binaro natural 12
N5-N0
N6 4 4
Chip 1
R/W Formato BCD
Decenas
OE R/W D3- D0
CS OE

4 4
Chip 3
Formato BCD
Unidades
R/W U3- U0
CS OE

4
Chip 2

R/W
CS OE

Chip 4 4

R/W
CS OE

Figura 5.35. Montaje del conversor de binario natural a formato BCD de dos dígitos.

3. Indique rellenando la tabla 5.6 la información a grabar en las direcciones de memoria


indicadas. Indíquese con una X en el caso de que la información a grabar sea indiferente.

Solución:
Dirección (Hexadecimal) Dato (Decimal)
Chip 1 Chip 2 Chip 3 Chip 4
00h 0 X 0 X
08h 0 X 8 X
10h 1 X 6 X
30h 4 X 8 X

Tabla 5.6. Contenido de algunas posiciones de memoria del sistema.

5.37 1.
Introducción a los microprocesadores

TEMA 6
Introducción a los
microprocesadores.

Índice de Contenidos

6.1 DEFINICIÓN DE MICROPROCESADOR.......................................................... 6.2


6.1.1 Estructura básica de un sistema digital microprogramado......................................... 6.3
6.1.2 Elementos típicos de una cpu..................................................................................... 6.4
6.1.2.1 Unidad de control ................................................................................................................6.4
6.1.2.2 Unidad aritmético lógica......................................................................................................6.6
6.1.2.3 Memorias .............................................................................................................................6.6
6.1.2.4 Periféricos ............................................................................................................................6.6
6.1.3 Patillaje típico de un microprocesador....................................................................... 6.7
6.1.4 Clasificación de los microprocesadores ..................................................................... 6.8

6.2 ARQUITECTURA DE VON NEUMANN ........................................................... 6.9


6.2.1 Funcionamiento interno ........................................................................................... 6.10
6.2.2 Características típicas de la arquitectura Von Neumann.......................................... 6.11

6.3 ARQUITECTURA HARVARD.......................................................................... 6.12


6.3.1 Características típicas de la arquitectura Harvard .................................................... 6.14

6.4 INTRODUCCIÓN A LA SEGMENTACIÓN. ................................................... 6.15


6.4.1 Problemática asociada a la segmentación (hazards) ................................................ 6.17

6.5 PERIFÉRICOS ASOCIADOS A UN MICROPROCESADOR ......................... 6.18


6.5.1 Interfaces.................................................................................................................. 6.18
6.5.2 Conversores analógicos digitales ............................................................................. 6.18
6.5.3 Temporizadores........................................................................................................ 6.19
6.5.4 Módulos de comunicación serie/paralelo................................................................. 6.19

6.6 DEFINICIÓN DE LOS MICROCONTROLADORES....................................... 6.20


6.6.1 Recursos típicos de los MICROCONTROLADORES ............................................ 6.20
FUNDAMENTOS DE ELECTRÓNICA

6.1 DEFINICIÓN DE MICROPROCESADOR

Un microprocesador es un circuito digital secuencial de propósito general. Es decir, es un


circuito preparado para asumir, en principio, cualquier funcionamiento digital. La
novedad que introducen estos circuitos es la programación de su operatividad mediante
lenguajes de programación. Así, el circuito electrónico, se podrá configurar con
lenguajes de bajo nivel (lenguajes con instrucciones próximas al lenguaje entendido por
la máquina) o lenguajes de alto nivel (lenguajes cuyas instrucciones son próximas al
lenguaje humano).

La creación del microprocesador vino impuesta por la necesidad de ejecutar funciones


cada vez más complejas, así como del número de trabajos que se desea que realizara un
único circuito sin que ello supusiera un incremento de circuitería. Los avances
tecnológicos en la fabricación de circuitos integrados de alta escala de integración,
permitieron implementar en una sola pastilla un circuito que fuera capaz de cubrir todas
las necesidades anteriormente expuestas.

Figura 6.1 Ejemplo de máquina cableada.

Hasta mediados del siglo XX los elementos que debían realizar todas las funciones que
desarrollará el microprocesador, se hacían a través de circuitos específicos conectados
coherentemente, formando en conjunto una máquina cableada, que realizaba una única
función. Una vez descubierta la máquina programada o microprocesador, las nuevas
tendencias de diseño son, hasta nuestros días, encaminadas a sistemas o arquitecturas
abiertas donde cualquier cambio de diseño no lleve asociado un cambio del hardware,
sino que mediante programación se pueda variar el funcionamiento del sistema.
Una vez expuestos los antecedentes de la máquina programada destacaremos las ventajas
más reseñables del microprocesador con respecto a la máquina cableada:

6.2
Introducción a los microprocesadores

x Reduce el hardware o arquitectura física de los componentes a usar,


aportando una gran potencia en el diseño.
x Se incrementa la fiabilidad del sistema al disminuir considerablemente el
número de elementos del mismo.
x Disminuye el coste de los materiales y la mano de obra.
x Posibilidad de cambios o variaciones sin necesidad de variar la estructura
interna.
x Estructura interna compleja, pero transparente para el usuario y de fácil
manejo.
x No son necesarios grandes conocimientos para utilizarlo.
x El ámbito de aplicación de un microprocesador alcanza cualquier sistema que
necesite un elemento de control autónomo.

6.1.1 ESTRUCTURA BÁSICA DE UN SISTEMA DIGITAL


MICROPROGRAMADO.

En la Figura 6.2 se observa un diagrama de bloques de un sistema completo


microprogramado basado en un elemento central o inteligente (CPU) que es el encargado
de controlar el funcionamiento de todo el sistema. Conectado a él, se encuentran varios
bloques que, dependiendo del tipo de microprocesador elegido, pueden encontrarse
integrados junto a la CPU en un solo circuito integrado.

MEMORIA DE MEMORIA DE
PROGRAMA DATOS

CPU
(Microprocesador)

ENTRADAS/SALIDAS

RELOJ

PERIFÉRICOS (Teclado, pantalla,


conversores A/D, relés, ...)

Figura 6.2 Arquitectura completa de un sistema digital basado en un microprocesador.

6.3
FUNDAMENTOS DE ELECTRÓNICA

A continuación se describen los bloques de la figura:

x RELOJ: Es el encargado de generar la señal de sincronización de todo el


sistema. Normalmente todo el sistema funciona sincronizado con este reloj ya
que el sistema es secuencial. El microprocesador ejecutará instrucciones en su
interior con la frecuencia, generada por un cristal, un oscilador o una
resistencia junto con un condensador.
x MEMORIA DE PROGRAMA: Normalmente de tipo no volátil, almacena en
su interior las instrucciones que debe ejecutar la CPU.
x MEMORIA DE DATOS: De tipo volátil tiene como función el
almacenamiento de datos o variables utilizadas por la CPU.
x ENTRADA/SALIDA: Son etapas interfaces, encargadas de acondicionar las
señales provenientes de los periféricos con las utilizadas por la CPU.
x PERIFÉRICOS: Es el conjunto de sensores externos que se desea visualizar,
consultar y/o activar desde la CPU en función de unas determinadas
condiciones.
x CPU (Unidad Central de Proceso): Es el elemento inteligente del sistema. Es
el encargado de controlar todo el funcionamiento del citado sistema. A su vez
se divide en varios elementos, como se puede ver en la Figura 6.31. A
continuación se describen los elementos principales de la CPU.

6.1.2 ELEMENTOS TÍPICOS DE UNA CPU

No es posible generalizar cuales son los elementos típicos de un microprocesador, pues


depende de la arquitectura interna del mismo. Sin embargo, en la Figura 6.3 se ha
intentado representar los bloques típicos que forman un microprocesador así como los
elementos que forman cada uno de estos bloques. Todos estos bloques se han comentado
en el apartado anterior de una manera muy global, por ello en este apartado se va a
describir en mayor profundidad los principales elementos y funciones de cada uno de
esos bloques.

6.1.2.1 Unidad de control

Es el elemento encargado de generar todas las señales digitales, necesarias para el


correcto funcionamiento de todo el sistema. Es el bloque lógico del sistema que se

1
Véase el apartado 6.1.2 donde se describen cada una de estas partes.

6.4
Introducción a los microprocesadores

encarga de activar un bloque u otro en función del contenido de una instrucción u otra.
Este bloque está formado, entre otros, por los siguientes elementos:

x Secuenciador: Es el elemento digital encargado de la generación de todas las


señales del sistema. Es verdaderamente el bloque inteligente del
microprocesador. Se encarga, entre otras cosas, de decodificar la instrucción
traída desde memoria de programa. En función de su contenido activará una u
otra señal.
x Registro de instrucciones: Es el registro de la CPU encargado de contener la
siguiente instrucción a decodificar por el secuenciador. Esta instrucción es
traída desde memoria de programa.
x Registro de estado: Es un conjunto de bits que informan sobre el resultado de
algunas operaciones entre datos, como puede ser acarreo tras la suma,
resultado negativo, etc., o también puede informar del estado de
funcionamiento de algún elemento del sistema, por ejemplo fallo de
alimentación. En función del tipo de microprocesador tendrá una serie de bits
u otros.
x Contador de programa: Es el registro encargado de apuntar a la siguiente
instrucción a ejecutarse. Su contenido coincide con la dirección de memoria
de programa que ocupa dicha instrucción.

RELOJ

MICROPROCESADOR =CPU

UNIDAD DE CONTROL
UNIDAD
ARITMÉTICO -
LÓGICA Señales de control SECUENCIADOR

REGISTROS Y
REGISTRO DE REGISTRO DE
ACUMULADORE
ESTADO INSTRUCCIÓN

Figura 6.3 Elementos de la CPU de un microprocesador.

6.5
FUNDAMENTOS DE ELECTRÓNICA

6.1.2.2 Unidad aritmético lógica

Es el bloque encargado de operar con los datos que proceden bien de memoria de datos,
bien de la propia instrucción o bien procedentes desde un periférico. El tipo de dato con
el que se opera viene definido en el proceso de decodificación de la instrucción, pues es
en ésta donde se establece el origen de cada dato. La unidad de control se encargará de
generar las correspondientes señales de control para llevar los datos a la unidad
aritmético-lógica, así como para configurarla adecuadamente.

A su vez la ALU posee varios registros intermedios destinados a guardar o acumular


determinados datos y/o resultados, así como para activar una u otra operación.

6.1.2.3 Memorias

Son los elementos encargados de almacenar tanto los datos con los que opera la ALU,
como las instrucciones que se desean ejecutar de una manera secuencial en el
microprocesador.

El contenido de la memoria de datos, es constantemente actualizado por el


microprocesador. Así un elemento de una memoria de datos, puede ser una variable que
refleje el resultado de sumar dos determinados datos. Con este ejemplo tan evidente se
puede deducir, que el tipo de tecnología de fabricación de estas memorias es volátil, y su
contenido será refrescado por el programa en función de la ejecución de éste.

Por su parte, el objetivo de la memoria de programa es almacenar en su interior todas


las instrucciones que se desean ejecutar. Este contenido debe ser estático con el tiempo y
sólo se variará si se desea cambiar la funcionalidad del sistema. Normalmente su
contenido se graba desde un programador de memoria, aunque hoy en día son cada vez
más comunes las programaciones dentro de la placa, sin necesidad de desmontar la
memoria para variar su contenido y mediante un PC u otro elemento inteligente que
establezca el protocolo determinado de grabación de datos.

6.1.2.4 Periféricos

Es el bloque encargado de ser el interface entre el exterior y el interior del


microprocesador. Así se podrán capturar datos desde el exterior o mandar datos a éste.
Existen muchas clases de periféricos en función de las necesidades del sistema a
desarrollar. Ejemplos típicos de ellos son: conversores analógicos / digitales, generadores

6.6
Introducción a los microprocesadores

de señales PWM, temporizadores, memorias, canales de comunicación serie o paralelo,


teclados, displays, etc.

Para poder conectar un periférico a un microprocesador, es necesario en muchas


ocasiones, poner una ETAPA INTERFACES que se encargue de adecuar los niveles y
las frecuencias de las señales del periférico, a las del microprocesador, como se puede
ver en la Figura 6.4. La necesidad de colocar esta etapa viene determinada por el tipo de
microprocesador y por el tipo de periférico que se desee conectar.

MICROPROCESADOR

ETAPA INTERFACES

PERIFÉRICO
Figura 6.4 Diagrama de bloques de un sistema microprogramado con periféricos.

6.1.3 PATILLAJE TÍPICO DE UN MICROPROCESADOR

Consiste en establecer un número de pines así como una funcionalidad para ellos
determinada. Los distintos microprocesadores que existen en el mercado tienen grandes
diferencias entre sí, tanto en la funcionalidad, como en el encapsulado.
Independientemente de este aspecto, se ha creído conveniente estudiar una serie de pines,
que suelen encontrarse en la mayoría de los microprocesadores y quedan reflejados en la
Figura 6.5.

BUS DE DATOS BUS DE


DIRECCIONES

PP
INTERRUPCIONES
BUS DE CONTROL
RELOJ

Figura 6.5 Patillaje típico de un microprocesador genérico.

6.7
FUNDAMENTOS DE ELECTRÓNICA

A continuación se describen las principales líneas:

x Bus de datos: Es un conjunto de líneas bidireccionales, de número variable en


función del tipo de microprocesador. Normalmente este número de líneas está
establecido en 8, 16 ó 32. En función del número de líneas que posea este bus,
se puede decir que el microprocesador será más o menos complejo y capaz.
Su función es la de leer o escribir una palabra de memoria de datos o
programa o acceder a un interfaz o un periférico.
x Bus de direcciones: Para este conjunto de líneas unidireccionales, no existe
un número estándar de líneas pues depende del tamaño (número de palabras
del mapa de memoria2 del microprocesador). Su función es la de indicar la
dirección de la posición de memoria a la que se desea acceder. Cuantas más
líneas se tengan, mayor es el tamaño de memoria que puede direccionar el
microprocesador. Así si por ejemplo un microprocesador con 11 líneas de
direcciones puede direccionar 2K palabras de datos (211=2048 palabras).
x Interrupciones: Su número tampoco es común entre todos los
microprocesadores. Suelen ser líneas dedicadas para informar al
microprocesador de la aparición de eventos externos, que hacen que el
microprocesador pare su ejecución secuencial para atender al evento que ha
provocado la interrupción.
x Reloj: Son las líneas encargadas de generar la señal de reloj que necesita el
microprocesador para funcionar de manera sincronizada.
x Bus de control: Este es el conjunto de líneas más genérico de todos. Su
función es ejecutar algún protocolo determinado, por ejemplo dentro de este
conjunto de líneas se pueden considerar las líneas de: reset (inicializa al
microcontrolador a un estado inicial predeterminado), halt (para la ejecución
de un programa y lo deja en estado de pausa), lectura/escritura (genera un
nivel para leer o escribir de una memoria o un periférico), error de bus (indica
que se ha producido un fallo en el acceso a una dirección del mapa de
memoria), etc.

6.1.4 CLASIFICACIÓN DE LOS MICROPROCESADORES

Existen diversas clasificaciones en función de la asociatividad que se desee. Así las


clasificaciones más importantes son:

2
Se entiende como mapa de memoria al conjunto de posiciones de memoria que se pueden direccionar
desde el microprocesador.

6.8
Introducción a los microprocesadores

a) Por arquitectura del microprocesador: Se puede establecer una primera clasificación


en función de la plataforma que forma el microprocesador. Se pueden agrupar los
microprocesadores como aquellos que poseen una arquitectura de tipo Von Neumann
o de tipo Hardvard.

b) Por ancho del bus de datos: Suele ser la clasificación más habitual. Normalmente se
agrupan en los conjuntos de 8, 16 o 32 bits. Aquellos que poseen un ancho del bus de
datos más pequeños son menos potentes que los que tienen un ancho mayor, pues
trabajan con datos más pequeños y por ello poseen menos resolución. Como ejemplos
de los microprocesadores de 8 bits, los cuales están un poco obsoletos, se tienen los
microprocesadores de la casa ST y dentro de los de 16 bits se encuentran los famosos
8086 de Intel (el predecesor del Pentium) y el 68000 de Motorola. Los micros con
tecnología de 64 bits son los más modernos.

6.2 ARQUITECTURA DE VON NEUMANN

Este modelo fue establecido por Von Neumann en el año 1945. Hoy en día, este modelo
se sigue utilizando en un gran número de arquitecturas, sobre todo las basadas en
microprocesadores Intel. Su principal característica, es la ejecución secuencial de las
instrucciones que están almacenadas en una memoria.

C.P.U.
Registros Periférico

MEMORIA
PRINCIPAL Periférico
UNIDAD E/S

A.L.U.
...

UNIDAD DE CONTROL Puntero Periférico

Figura 6.6 Arquitectura de Von Neumann

Sus principales bloques son: Memoria principal, ALU, Unidad de Control y Unidad de
E/S. Todos estos bloques ya fueron expuestos en el apartado anterior.

6.9
FUNDAMENTOS DE ELECTRÓNICA

6.2.1 FUNCIONAMIENTO INTERNO

Las máquinas que poseen este tipo de arquitectura, su objetivo es el de ejecutar una
orden o instrucción almacenada en memoria. En función del contenido de la instrucción,
la Unidad de Control, una vez que haya decodificado su contenido, generará una serie de
señales digitales que activarán/desactivarán diversos elementos internos. Antes de que la
Unidad de Control emita las señales asociadas a una instrucción, el sistema debe realizar
un proceso que a continuación se detalla:

x Direccionamiento y captura de una instrucción a ejecutar: Internamente la


CPU se encargará de acceder a memoria de programa, para extraer de ella la
instrucción que se desea ejecutar. Existe un registro asociado a este proceso
de extracción de una instrucción de memoria, denominado contador de
programa (PC), que se encarga de apuntar a la dirección de memoria que
contiene la siguiente instrucción a ejecutar.
x Decodificación de instrucciones: Una vez capturada la instrucción, el
siguiente paso es traducir el contenido de esa instrucción a micro órdenes que
debe realizar la CPU.
x Generación de señales de control: Dentro de la CPU existe un elemento
denominado Unidad de Control (UC) que es el encargado de la generación
de todas las señales que se necesiten tanto para realizar el proceso de
direccionamiento y decodificación de una instrucción como para la operación
concreta indicada por la instrucción.
x Operaciones aritmético-lógicas entre datos: La CPU posee un elemento
denominado Unidad Aritmético Lógica (ALU) encargado de realizar
cualquier operación entre los datos. Está gobernado por las señales generadas
por la Unidad de Control.

6.10
Introducción a los microprocesadores

Todo este proceso se puede ver en la Figura 6.7.

START

Búsqueda de la Ciclo de Fetch


siguiente (Búsqueda)
instrucción.

Ejecuta la Ciclo de ejecución


instrucción.

HALT

Figura 6.7 Etapas de ejecución de instrucciones.

6.2.2 CARACTERÍSTICAS TÍPICAS DE LA ARQUITECTURA VON


NEUMANN

Habitualmente todas las máquinas que poseen una plataforma de Von Neumann, suelen
poseer una estructura de tipo CISC (Complex Instruction Set Computer). La
característica fundamental, que como su nombre indica, en estos microprocesadores es la
existencia de un conjunto de instrucciones complejas. Esta cualidad conlleva la
simplificación del software, ya que suelen existir instrucciones específicas para realizar
múltiples funciones. Cada instrucción está compuesta de múltiples subinstrucciones.
Debido a esto se necesita un amplio rango de memoria para poder almacenar toda esta
información por lo que no suelen usar muchos registros para almacenar información.
Este tipo de máquinas, por el contrario, tienen la ventaja de poseer compiladores bastante
sencillos que no deben ser de una alta complejidad. Una de las desventajas de esta
estructura, es la carencia de instrucciones estrechamente ligadas al hardware de la
plataforma, por ejemplo para el uso de temporizadores de alta precisión y tiempos
pequeños, no se obtiene un buen grado de precisión con instrucciones complejas,
mientras que con instrucciones sencillas, incluso realizadas en lenguaje a bajo nivel, se
consiguen los objetivos buscados.

6.11
FUNDAMENTOS DE ELECTRÓNICA

Como ejemplos típicos de estas plataformas se tienen los microprocesadores de la familia


Intel (ejemplos típicos 286, 386, 486,...). En la Figura 6.8 se observa un diagrama
simplificado del microprocesador Intel 80186 que posee una arquitectura Von Neumann.

Figura 6.8 Diagrama de bloques del microprocesador INTEL 80C186

6.3 ARQUITECTURA HARVARD

Este otro tipo de arquitectura, surge posteriormente a la Von Neumann, como


consecuencia de los problemas que ésta poseía. El mayor problema que se detectó en la
arquitectura anterior, fue el hecho de que todo el proceso era secuencial, no dejando en
ningún caso la posibilidad a la ejecución de varias acciones de manera simultánea, como
se puede observar en el flujograma de la Figura 6.7. Para conseguir esto, se implantaron
a las arquitecturas Von Neumann técnicas de segmentación, las cuales se describirán
posteriormente, pero los resultados obtenidos no aportaron grandes ventajas. Fue
necesario rediseñar la arquitectura básica para intentar optimizar el rendimiento del
sistema.

Esta arquitectura maximiza el procesamiento desdoblando los buses por un lado en buses
de datos y buses de programas, como se puede observar en la Figura 6.9 y Figura 6. 10.
No con ello se duplican los recursos internos del sistema, pero se consigue que se ejecute
un ciclo de lectura/escritura simultáneamente por el bus de datos y por el bus de

6.12
Introducción a los microprocesadores

programas, no teniendo que esperar uno a la finalización del ciclo del otro. De este modo
se logra aumentar la velocidad de adquisición de datos e instrucciones de las memorias,
que es el principal cuello de botella que existe hoy en día con las arquitecturas Von
Neumann, donde existen procesadores de velocidades elevadísimas (más de 1 GHz) y sin
embargo el sistema se demora por el acceso a las diferentes memorias. Para disminuir
incluso el ciclo de búsqueda en las memorias, las máquinas basadas en este tipo de
arquitectura, suelen tener memorias internas cuyos tiempos de acceso son notablemente
inferiores a las otras.

Bus de
Bus de datos programa
MEMORIA DE CPU MEMORIA DE
DATOS PROGRAMA

ARQUITECTURA HARVARD

CPU MEMORIA DE
PROGRAMA Y
DATOS

ARQUITECTURA VON NEUMANN

Figura 6.9 Comparativa de la arquitectura Harvard vs. Von Neumann.

Sin embargo este sistema también tiene sus desventajas. Los principales problemas que
se encuentra esta arquitectura son:

x Accesos a memoria: Aunque se mejoran los tiempos de demora con respecto


a la arquitectura de Von Neumann, sigue existiendo un gran desfase entre los
tiempos de procesado de una determinada instrucción y el tiempo de acceso a
un dato de memoria. Los tiempos de procesado, que actualmente se están
estableciendo en unos valores extremadamente pequeños, anualmente se van
disminuyendo de una manera exponencial. Sin embargo no ocurre lo mismo
con los tiempos de acceso a memoria, que se van disminuyendo
continuamente, pero de una manera mucho más progresiva que el tiempo de
procesado.
x Recursos compartidos: Uno de los principales problemas a la hora de
simultanear la ejecución de diversas instrucciones, es el uso de los recursos.
Obviamente los recursos son limitados y mientras que una instrucción los está

6.13
FUNDAMENTOS DE ELECTRÓNICA

utilizando, otra no puede hacerlo, por lo que debe esperar a que finalice su
uso. Una solución a este tipo de problemas, suele ser la duplicidad de recursos
con lo que se incrementa el coste del producto. Para intentar solventar este
incremento de coste, una solución suele ser dar prioridad a las instrucciones,
por lo que la más prioritaria será la que se encargue de manejar el recurso
mientras lo necesite.
x Instrucciones de salto: Cuando en un programa se introduce una instrucción
de salto, la ejecución secuencial de un programa se rompe, ya que el contador
de programa debe actualizarse con la dirección a la que se desee saltar y no
con la de la siguiente instrucción, que automáticamente se incrementó. Por
ello, se genera un tiempo de demora que retrasa el funcionamiento del
sistema. Para solventar este problema, la solución más trivial es el no abuso
de las instrucciones de salto, para evitar demoras innecesarias. Otra solución,
esta vez hardware, es el uso de predictores de salto, cuya función es intentar
predecir si se va a ejecutar un salto o no en la siguiente instrucción.

6.3.1 CARACTERÍSTICAS TÍPICAS DE LA ARQUITECTURA HARVARD

Al igual que cuando se comentaba que las máquinas que poseían en su interior
arquitecturas Von Neumann eran máquinas de tipo CISC, con las arquitecturas Harvard,
aparecen otro tipo de máquinas que son las denominadas RISC (Reduced Instruction Set
Computer). Su principal característica es el uso de un juego reducido de instrucciones, tal
como su nombre indica. Además suelen tener todas ellas, a excepción de las de salto, un
tamaño fijo, lo que optimiza el tiempo de procesado de una instrucción, ya que se puede
predecir el tiempo de ejecución. Además, otra razón por la que las instrucciones tendrán
un tamaño fijo, es para optimizar el uso de la segmentación (división de la unidad
funcional en varias etapas). Así se podrán ejecutar varias instrucciones simultáneamente,
ocupando cada una de ellas un segmento de la unidad funcional.

El programador debe conocer, aunque sea superficialmente, la arquitectura que posee por
debajo. La programación de estas máquinas, hoy en día, se realiza con lenguajes de alto
nivel, lo que facilita el desarrollo de aplicaciones sobre estas plataformas. Además
poseen las siguientes características:

x Instrucciones más cortas se ejecutan más rápido. El hecho de que las


instrucciones sean más pequeñas en tamaño que en máquinas CISC, facilita la
ejecución más rápida de un conjunto de instrucciones.
x El tiempo de acceso a memoria es el principal cuello de botella. El problema
sigue siendo similar a máquinas CISC.

6.14
Introducción a los microprocesadores

x Realizar ciclos de instrucciones tan rápidos como la tecnología lo permita. Es


decir, intentar que cada instrucción tarde el menor tiempo posible en
ejecutarse.
x El compilador juega un papel fundamental. Es importantísimo que optimice el
código y que aproveche al máximo los recursos internos disponibles.
x Filosofía del registro. Al igual que en máquinas CISC era vital tener un
tamaño amplio de memoria, este tipo de máquinas basan su funcionamiento
en el uso de registros, que representan un espacio de almacenamiento de
información de pequeño tamaño y corto tiempo de acceso.

Figura 6. 10 Arquitectura HARVARD de un microcontrolador PIC.

6.4 INTRODUCCIÓN A LA SEGMENTACIÓN.

Técnica de ejecución de instrucciones mediante la cual se ejecutan múltiples


instrucciones a la vez. Con esta técnica se consigue que antes de finalizar una instrucción
se empiece a ejecutar una nueva. Para ello se divide la unidad de ejecución de
instrucciones en varias etapas (pipes) y cada etapa opera en paralelo con el resto de
etapas. Si la instrucción tiene un tamaño fijo, como ocurre en máquinas RISC, una
instrucción se puede dividir en tantas etapas como pipes se tenga en la unidad funcional,
y ejecutar varias instrucciones a la vez. Todo esto se puede ver en la Figura 6.11. Este
método aporta mayor velocidad de ejecución, elevándose la velocidad de ejecución de

6.15
FUNDAMENTOS DE ELECTRÓNICA

instrucciones. Esta técnica está implementada en todas las máquinas RISC, y en las
máquinas CISC más modernas.

El rendimiento de la segmentación se determina por el número de instrucciones que


existen en el PIPELINE (unidad funcional segmentada) a la vez. El tiempo de ciclo lo
determina la etapa más lenta. Existen buffers de desacoplo para sincronizar todas las
etapas.

UNIDAD FUNCIONAL
a)

S1 S2 S3 S4 S5

IF ID EX MEM WB

b) IF (S1): (Instruction fetch)


Búsqueda de instrucción.
S1 1 2 3 4 5 6 ID (S2): (Instruction decode).
Decodificación de instrucción.
S2 1 2 3 4 5 6 EX (S3): (Execute). Ejecución de la
instrucción.
S3 1 2 3 4 5 6 MEM (S4): (Memory) Escritura de
datos en memoria.
S4 1 2 3 4 5 6
WB (S5): (Write Bench) Escritura
S5 1 2 3 4 5 6 de datos en registros.

Figura 6.11 a) División de la unidad funcional en varias etapas (pipes). b) Ejecución de varais
instrucciones para la unidad funcional de la figura a).

En la figura anterior la unidad de ejecución se divide en 5 etapas o pipes. Cada una de


ellas posee una función totalmente distinta a las demás y que sólo puede realizarla una de
ellas. En esta arquitectura del ejemplo, las etapas son:

x IF (S1): Búsqueda de instrucción. Es la fase de búsqueda de una instrucción,


es decir, desde que se emite la orden de traer una instrucción de memoria, se
activan las líneas de escritura/lectura del microprocesador, hasta que ésta
llega al registro de instrucciones.
x ID (S2): Decodificación de la instrucción. Es la etapa de decodificación de la
instrucción que se ha traído desde memoria.

6.16
Introducción a los microprocesadores

x EX (S3): Ejecución. Es la etapa encargada de que se ejecute la instrucción


que anteriormente se decodificó.
x MEM (S4): Memoria. Es la etapa de salvado de datos en memoria. No tiene
por qué ser obligatoria en todas las instrucciones ya que algunas de ellas no
utilizan datos de memoria.
x WB (S5): Escritura en registros. En esta etapa se salvan en los registros los
resultados de las operaciones realizadas.

En la Figura 6.11 se puede observar como mientras una instrucción está en una etapa otra
puede utilizar otra etapa, pero sólo una instrucción puede ocupar una etapa en ese
instante de tiempo. Así, cuando pasen cinco unidades de tiempo, todos los segmentos
estarán ocupados y la máquina estará obteniendo un rendimiento del 100%, en cuanto al
uso de la unidad funcional.

6.4.1 PROBLEMÁTICA ASOCIADA A LA SEGMENTACIÓN (HAZARDS)

La segmentación no es una técnica libre de problemas. Existen tres tipos de dependencias


o problemas que reducen el rendimiento (hazards):

x Dependencias Estructurales: Conflictos que surgen cuando dos o más


instrucciones desean hacer uso de un mismo recurso. Un ejemplo de este tipo
de conflictos, aparece cuando dos instrucciones desean a la vez escribir o leer
sobre un registro. Para solventar este problema la segmentación debe parar
una de las instrucciones hasta que la unidad esté disponible. Otro caso aparece
en máquinas segmentadas con un solo espacio de memoria para código y
datos. Cuando las instrucciones tienen referencias a datos en memoria aparece
un conflicto al capturarlos.
x Dependencias de Datos: Aparecen cuando una instrucción depende del
resultado de la instrucción previa, pues necesita un dato que todavía no se ha
generado. Por ello se crea una dependencia de datos que conlleva la aparición
de retardos.
x Dependencia de Control: Saltos o instrucciones que cambian el contador de
programa. Esta situación se da cuando aparecen saltos o en general cuando se
varíe el contador de programa (PC). Si se modifica el PC las instrucciones que
están en el PIPE decodificadas no son válidas, ya que se ha decodificado una
instrucción que no es la que se debe ejecutar. Una posible solución es hacer
una predetección de salto y en caso de detectarse realizar una parada en el
PIPE. Es complicado anticipar el salto en el caso de instrucciones que

6.17
FUNDAMENTOS DE ELECTRÓNICA

contengan un salto condicional y averiguar si el salto se va a realizar o no.


Existen unidades de control preparadas para gestionar saltos.

6.5 PERIFÉRICOS ASOCIADOS A UN MICROPROCESADOR

Existe una gran gama de periféricos que se pueden conectar a un microprocesador. Para
poder acceder a ellos es necesaria muchas veces una etapa de interfaces que adapte los
diferentes buses del microprocesador. Así, se puede decir, que surgieron los
microcontroladores, que suelen llevar como núcleo un microprocesador que tiene
integrado diversos periféricos e interfaces como temporizadores, conversores, etc.

6.5.1 INTERFACES.

Son circuitos intermedios entre el microprocesador y un determinado periférico (véase la


Figura 6.4). Habitualmente estos bloques se utilizan para adaptar niveles de señales. Por
ejemplo, si se desea comunicar un microprocesador a través de un canal serie, se debe
colocar una etapa intermedia, capaz de transformar los niveles TTL de la señal que éste
genera, a niveles RS-232.

6.5.2 CONVERSORES ANALÓGICOS DIGITALES

Un conversor analógico/digital, como su nombre indica se encarga de transformar un


determinado dato analógico a una palabra de un determinado número de bits (en sistemas
con microprocesadores suele ser de 8, 10 ó 12 bits). Por tanto es un traductor de
información analógica en información digital. La información digital es capturada por el
periférico en un determinado instante de tiempo, se envía el dato al microprocesador
donde se procesa su valor y se actúa en función de él.

Es importante que cuando se conectan sistemas analógicos y digitales, se tenga siempre


muy presente los rangos de tensión entre los que puede funcionar un microprocesador, ya
que un incumplimiento de estos, pueden provocar la destrucción del mismo. Por esto el
conversor escogido, deberá ser aquel que se encargue de generar señales digitales que
estén dentro del rango permitido por el periférico o microprocesador.

6.18
Introducción a los microprocesadores

6.5.3 TEMPORIZADORES

Los temporizadores son los elementos encargados de ofrecer una información temporal
en el funcionamiento del micro. Es decir, estos elementos, entre otras cosas, van a ser
contadores de tiempo. Su función va a ser contar pulsos de una determinada señal. Así se
convertirá en el elemento que proporcionará al microprocesador la información del
tiempo transcurrido entre dos situaciones que necesite saber. Puede contar señales
procedentes de eventos exteriores, se dice entonces que está funcionando como contador,
o cuenta señales del reloj del sistema, funcionando entonces como temporizador.

FCLK ‘
FCLK
TEMPORIZADOR
Interrupción

Figura 6. 12 Esquema básico de un temporizador.

Por el temporizador entra una determinada frecuencia de reloj, así como un conjunto de
líneas de programación. Éste debe ser configurado convenientemente por el
microprocesador. En función de la información con la que ha sido programado el
temporizador, bien se puede generar una frecuencia de salida submúltiplo de la de
entrada, o generar una interrupción cuando pase un determinado tiempo que ha sido
escogido por el microprocesador.

Así, cuando este tiempo transcurra, el temporizador informará al micro mediante la


activación de un pulso o un nivel por una determinada línea, que estará conectada a un
terminal de entrada de interrupción del micro. Cuando éste detecte la activación de la
mencionada línea, se dará por enterado de un determinado tiempo trascurrido.

6.5.4 MÓDULOS DE COMUNICACIÓN SERIE/PARALELO

Una de las principales aplicaciones de un microprocesador es la exportación de sus datos


hacia el exterior. Normalmente, suele enviarse a otro elemento inteligente que se
encargue de actuar en función de su contenido. La manera de compartir esos datos suele
ser a través del envío/recepción de los mismos, por diversos canales de comunicación.
Uno de ellos es el conocido canal serie, el cual puede comunicar dos sistemas mediante
simplemente tres líneas3 (Transmisión, Recepción y Masa) y una UART (Unidad
Asíncrona de Recepción y Transmisión).

3
Existen diversos mecanismos de comunicación serie, siendo el expuesto en este libro el más sencillo.

6.19
FUNDAMENTOS DE ELECTRÓNICA

No es el único modo de comunicación, ya que existen diversos mecanismos de


comunicación paralelo, los cuales, en función de los sistemas a comunicar, implementan
diversos protocolos de comunicación, siendo para el usuario transparente el hecho de
cómo funcionen las líneas de comunicación (actividad, tiempo en alto y en bajo,
frecuencia, etc.)

6.6 DEFINICIÓN DE LOS MICROCONTROLADORES

Circuito integrado de alta escala de integración que incorpora las características de un


microprocesador, más dispositivos periféricos adicionales (ADC’s, DAC’s, Timer’s,...),
internos a la pastilla. El núcleo de estos dispositivos es un microprocesador. Sin
embargo, no es el microcontrolador el elemento idóneo para todas las aplicaciones, ya
que aunque implementa una gama de periféricos internos que facilitan la interconexión
de diversos módulos, su principal carencia es el procesado de datos, ya que el núcleo de
un microcontrolador, es decir el microprocesador interno que lleva, siempre suele ser de
menor potencia, a la hora de procesar o almacenar datos, que un microprocesador
específico. Las principales diferencias entre un microprocesador y un microcontrolador
se pueden observar en la Tabla 6.1.

En función de los diferentes tipos de periféricos internos así como de los recursos de
memoria (ROM, FLASH,...) y elementos de procesado (ALU’s, coprocesadores, ...), se
pueden establecer distintas clasificaciones.

MICROPROCESADORES MICROCONTROLADORES
CPU + Registros auxiliares CPU + Registros auxiliares
Necesita periféricos externos Memoria de Datos y/o programas
Aplicaciones múltiples Unidades de E/S
Periféricos internos
Aplicaciones industriales (principalmente)
Tabla 6.1

6.6.1 RECURSOS TÍPICOS DE LOS MICROCONTROLADORES

Los elementos típicos que forman un microcontrolador son conocidos ya por parte del
alumno, ya que se explicaron anteriormente en los periféricos de un microprocesador.
Únicamente reflejar que todos estos periféricos pueden estar implementados
internamente.

6.20
Introducción a los microprocesadores

x Memorias
- En los microcontroladores la memoria de instrucciones y datos está integrada en el
propio chip. La parte correspondiente a datos será volátil y la de programa no volátil.
- En los microcontroladores no existen elementos de almacenamiento masivo como en
los PC’s.
x Puertos de entrada/salida
- Comunican el microcontrolador con el exterior.
- Cada microcontrolador posee un número y tipo determinado de líneas E/S.
x Reloj principal
- Circuito oscilador que genera una onda cuadrada de alta frecuencia (8MHz, 16 MHz, ..)
- Puede ser una red R-C, un cristal de cuarzo o un oscilador integrado. A mayor
frecuencia de reloj, mayor velocidad del ciclo de instrucción así como mayor
incremento en el consumo de energía.
x Timer’s (temporizadores)
- Se emplean para controlar periodos de tiempo (temporizadores) y para llevar la cuenta
de eventos externos (contadores).
x WATCHDOG (Perro guardián)
- Es un temporizador especial que se utiliza para que el microcontrolador no se quede
en un bucle infinito. Si éste entra en un bucle infinito se produce un reset del
microcontrolador.
x Protección ante fallo de alimentación
- Resetea el microcontrolador cuando la alimentación del mismo cae por debajo de
cierto valor.
x Conversor A/D
- Convierte señales Analógicas en Digitales, bajo un determinado número de bits de
cuantificación y con un error apreciable. El rango de tensiones de entrada está
limitado por la alimentación del microcontrolador.
x Comparador analógico
- Amplificador Operacional interno encargado de comparar una señal fija de referencia
(normalmente programable) con una variable. Esta opción suele ser interesante para
generar señales tipo PWM.
x Canal de comunicación
- Dependiendo de la familia del microcontrolador, se soportan distintos tipos de
comunicaciones.
- Los posibles tipos de comunicación pueden ser:
ƒ RS-232.
ƒ Paralelo (Protocolo Handshake).
ƒ Serie SPI (Serial Peripherical Interface).
ƒ CAN.
ƒ I2C.

6.21

Вам также может понравиться