Вы находитесь на странице: 1из 6

A Review of Implemantation Battery Charging

System Using FPGA


Subtitle as needed (paper subtitle)

Cahya Utama Purwa Negara 1), Pangestu Sapto Prabowo 2)


Program Studi Teknik Elektro, Universitas Ahmad Dahlan 1)
Program Studi Teknik Elektro, Universitas Ahmad Dahlan 2)
Yogyakarta, Indonesia
cahya1500022003@webmail.uad.ac.id 1), pangestu1500022015@webmail.uad.ac.id 2)

Abstract— Renewable resources are now an attraction for savings the product's life. These adolescents, widely used
development, one of the renewable resource types is Fotovoltaic. secondary batteries such as NiCd and NiMH do not meet the
The Fotovoltaic system consists of PV panels that convert sunlight requirements due to lack of high energy capacity and large size.
into direct current (DC) stored in the battery through a pulse- Furthermore, another consideration may be environmental
width modulation adaptive (PWM) adaptive intelligence system.
pollution, such as cadmium in NiCd batteries. In contracts,
The charging method is proposed by a digital control scheme
based on microprosesor involving FPGA. The advantage of FPGA many advantages, such as no memory effect, high operating
is hardware that has computational speed from digital controller. voltage, and high energy density carry lithium-ion (Li-ion)
System process using photovoltaic panel, charging circuit, battery, batteries into acceptable batteries for portable electronic
analog to digital converter, charge controller, Dc load and systems. The performance and longevity of lithium ion batteries
indicator unit. Power programmed using an interleaved depends, to a great extent, on the quality of their chargers. The
multiphase buck converter topology, can reduce the ripple current conventional lithium ion battery charging is done in two steps,
and allow much smaller output capacitance. the battery is charged constant current power until the battery
voltage reaches the predetermined upper voltage limit (4.1 V or
Keywords—component; formatting; style; styling; insert (key
words)
4.2 V) followed by constant voltage filling to date reaches a
predetermined value. This method is often called constant
I. INTRODUCTION constant voltage current (CC-CV) charging method and is often
Time is renewable resources are the allure that keeps many adopted in commercialized battery charging ICs. However, CC-
people in minimizing fossil fuels and environmental imbalances CV is not suitable for fast charging since constant voltage
associated with power generation. One of the resources is solar charging seriously expands charging time and also reduces
footovoltaik, where energy is the most important and the most battery life cycle. Other advanced filling methods such as multi-
numerous. Fotovoltaic sources are fast growing and numerous stage constant current charging algorithms or pulse charging
in many applications, such as light, air pumps, satellite power algorithms have been proposed. By conventional design, the
systems, etc. Power optimization is more in demand right now. design of the charging system is proposed by a microprocessor-
This is because the demand for electricity continues to grow & based digital control scheme. The advantages of this scheme are
now exceeds supply. This results in damage to the resulting flexibility, higher reliability, and lower cost. FPGAs are used
power quality, irregular stresses that are mostly too high & because microprocessors suffer tremendous computational
sometimes too low. The main Fotovoltaic system consists of PV loads due to excess demand for advanced charging algorithms.
panels that convert sunlight into direct current (D.C.). one The high-speed hard-wired logic of the FPGA can improve the
method of charging uses a simple and powerful Pulse Width computational capabilities of digital controllers. The
Modulation technique and Artificial Neural Network. advantages of FPGA are elegant hardware, higher computing
application and simulation methods using Field Programmable speed and shorter time for prototype. In addition, this circuit is
Gate Array. The PWM pulse has been performed on a PAPILIO very compact as the whole system can be implemented in only
of one 250 K which is in XILINX Spartan 3E FPGA using one FPGA chip. To meet all these requirements, FPGA-based
VHDL code. The adaptive pulse width modulation (PWM) battery chargers are developed that are concise and efficient.
intelligence system has been designed and developed wherein This charger system consists of various blocks such as fly buck
DC power inputs in batteries obtained from PV sources [1] converter, buck converter, FPGA, ADC. The Buck Convertor
is triggered by a PWM pulse from the Cyclone IV
Current communication systems such as cell phones, EP4CE22F17C6N FPGA to provide the desired back output for
laptops, electric vehicles require battery usage. These devices battery charging. [2][3]
often use secondary batteries because of their cost-effectiveness
over the life of the product. The use of the battery will consume
power on the battery so that it needs a charger. Secondary
batteries are often used in this equipment because they cost-
II. METHODOLOGY Y is the corresponding output vector approximated by
the network.
A. System process
Block diagram using Levenberg - Marquardt
Process The proposed system consists of seven units of Algorithm. The reasons for choosing this algorithm are as
Fotovoltaik panel, charging circuit, battery, analog to digital follows
converter, load controller ie (JST with FPGA), DC load, 1. this is a simple algorithm.
indicator unit, each with its own function. The main system 2. Does’nt require any external sensing unit.
function flow diagram is shown in Figure 1: 3. widely used for optimization.
4. On default, the ANN network is training data with this
algorithm in MATLAB Artificial Neural Network Toolbox.

The Equation of the Levenberg-Marquardt Algorithm


This is a very simple, but powerful method for approaching a
function. Basically, it consists in solving the equation:

(JtJ + λI) δ = JtE ……………… (2)

Wherein :
J is jacobin matrix for the system.
λ the Levenberg damping factor, λ is the weighted weight vector
we want to find E is the error vector that contains the output
error for each input vector used in network training.[1]

Configuration for hardware the FPGA chip is used for time


control and executes gating of the required switch in the power
source and then collects and analyzes the data from the data
acquisition circuit. PWM Modulation Strategy Multiphase and
IC signal interfacing are also realized using FPGA chips to
achieve better performance. In this paper, VHDL (Very
Hardware Description Language) is used to design the control
strategy of the proposed system. The FPGA controller proposed
tries to meet flexibility, ease of use and low cost requirements
for most consumer and industrial applications.

Figure 2. Blok Diagram of the charging process

Descriptions detail of each sub-system will be given in the


Figure 1. Block diagram of the system following sections:
Artificial neural networks are nothing but 1. Controller FPGA:
mathematical models that are inspired by biological neural FPGA controllers provide gating signals from multiphase
networks. It consists of a large number of processing elements converters, output voltage / current commands, control IC
called nodes or neurons that work in parallel. These artificial interfacing and communicate with graphical user interface
neurons are highly interconnected and configured in a regular (GUI). In the proposed system, the main control strategy is
architecture. implemented using the FLEX10K70 FPGA device from Altera
In addition, artificial neural networks can be seen as a very high Corp. The block diagram of the FPGA-based control unit is
nonlinear function given in the following form: shown in Figure 3.
F(x, w) = y ……………… (1) This figure. 2, the FPGA collects and analyzes the battery
Where, status data (voltage and current) of the A / D module. To
X is the input vector of the network, simplify the circuit and reduce the cost, only one A / D
W are the weights of the network conversion IC (ADC0804) is used. Therefore, an additional
analog switch is required to select the input signal to A / D Figure 4. 4 fase buck converter
conversion IC.
2. Sub-system data acqusition: Software configuration of the proposed charging system will be
Figure 2, data acquisition system consists of the following: provided. Fig. 5 shows the flow chart of the proposed FPGA
- Sensors to measure variables controller software. From Fig. 5, the main task of FPGA
- Voltage at battery terminals, Current sink / sourced by controller include:
battery during charge / discharge process (this current is - Gating the signal from multiphase converter.
measured through the hall effect sensor) and battery - Give the voltage / current command.
temperature. - Control of interfacing circuits including converter IC and
- Amplification, level adaptation and filtering general purpose I / O.
- Make USART communication with GUI. [3]
sirkuit untuk sinyal analog dari sensor yang berbeda.
- 8 bit Analog to Digital Converters (ADC).
- interface Analog ke Digital.
- control system acqusition, the main controller of the
entire data acquisition system.
- interface comunication, controler data transmits to /
from the interface graphical display.

Figure 5. Flowchat software FPGA control

system use in the buck-based topology is illustrated in the


following block diagram:

Figure 3. Blok Diagram FPGA Control

3. Programmable resources
Resources are programmed using interleaved multiphase
buck converter topology. Interleaving greatly reduces the ripple
current to the output capacitor, which in turn greatly reduces the
output voltage of the steady-state voltage, making it possible to Figure 6. Block Diagram of battery charging system based on
use very small inductance to increase the transient response. FPGA buck topology.
Interleaving converter with small inductance reduces steady-
state voltage ripple and transient voltage spikes, so that a much Using different blocks such as Power supply, Bridge
smaller output capacitance can be used to meet steady-state rectifier, Switched Mode Power Transformer, Large Filter
voltage requirements and transients. For 4 phase buck converter Capacitor, Startup Resistor, Power FET, Running DC circuit,
can be seen in the figure 4. [3] Diode and filter capacitor, Sampling / Error detection circuit,
Optoisolator and FPGA feedback is most important.
Design and Analysis The proposed charger system scheme is
shown in Fig 2. It consists of 36V DC 50W, SMPS switching
switching power supply circuit which is cheap. It provides a
nominal DC 36V output at over 4A load. This output provides
input to the step-down (buck) converter, which is capable of
moving the 3A load and generating 30VDC. The Buck
Convertor is triggered by the pwm pulse provided by the
Cyclone IV FPGA. The battery is connected to a buck converter
that operates in three modes such as trickle currents, constant
current and constant voltage. The path and load regulations are
better than 0.5%. This unit has more than current, over
temperature and voltage protection, as well as passive current
surge current. The output ripple is approximately 0.2 V peak to
peak in the range 0 until 20 MHz. [2]

Figure 10. Neural network experiments


Figure 7. Schematic of battery charging system based on
FPGA buck topology. Figure 11 shows the data classification (battery
voltage). Class A is for low battery voltage range. Class B is for
medium voltage range of battery. Class C is for high battery
III. RESULT AND DISCUSSION voltage range. Figure 10. shows the network acceleration
Battery Charge Power System Optimization Using a Neural display. Figure 12. shows the neural library to create a network
Network-based FPGA Controller, Figure 8 shows an initial SIMULINK diagram. Figure 13. shows the network simulink
block diagram of a neural network that has two hidden layers diagram used to generate the VHD file from the MATLAB file
with zero neurons concealed within the artificial neural network
equipment box of MATLAB

Figure 8. Initialize block diagram of artificial neural network


Figure 11. Classification of battery range
Figure 9 shows a neural network (view) that has two hidden
layers and ten hidden neurons selected for providing the best
performing network.
Figure 10 shows a Neural Network Experiment using the
Levenberg marquardt algorithm.

Figure 12. Perception

Figure 9. artificial neural network block diagram with 10


neurons

Figure 13. Neural library


command. From table 1, the maximum ripple voltage in
constant voltage mode is less than 0.5%; the maximum ripple
current in a constant current mode is less than 1.5%. [3]

figure 14. Matlab simulink diagram


Then when all has been full it will get FPGA result like Fig
15.

Figure 16. Signal from 4 phase buck converter

Figure 15. PWM signal in xilink windows


Difference between the use of FPGA and not seen in the
following table. [1] Figure 17. output current from the system

Table 1. Consumption without FPGA Table. Ripple signal from the CC/CV mode

Table 2. Consumption with FPGA

For buck converter modeled with simulnk in the following


form:

Validation to the proposed charger system, experiments were


conducted on commercially available lithium ion batteries.
Because space is limited, only the selected waveforms are
shown in this section. Picture. 8 shows the gating signal of the
four-phase buck converter. From Fig. 8, the gating signal is
figure 18. buck converter model
inserted correctly with 90o separation. Figure 9 shows the
measured output current waveform of the proposed charging When the switch (MOSFET) in the buck regulator is active,
system. On picture. 9, the top of the waveform is the phase 1, 2 Vin-Vout is the voltage that appears in the inductor. The current
and 3 inductor currents; the lower waveform is the total output in the inductor will rise at the (Vin-Vout) / L level, using the
current. From Fig. 9, the proposed charging system can be inductor equation. This time the diode does not do because the
reduced the ripple of the output current efficiently. Table 1 bias is reversed. The inductor works to keep the current flow
shows the number of different ripple output voltage / current the same, the current must keep flowing when the switch is
open. So the current flows from the inductor and the load. The Figure 21. ADC Simualtion
same Idiode as Iout is formed as the return path with the diode,
D when the current flows through it. The current through the
inductor decreases with a slope equal to -Vo / L because the IV. CONCLUSION
voltage polarity in the inductor has been reversed because the Battery voltage will reach the regulatory setting point,
switch is in the open position [2]. the PWM algorithm slowly reduces the charging current to
If using a buck converter modeled in simulnik then obtained the avoid heating with gas from the battery. In addition, this solar
following results battery charging method promises the benefits of a PWM pulse
such as: reducing battery heating and automatically adjusting
the aging battery and temperature effects in the solar system. In
this system, stationary solar panels are used which provide less
output and the hence decrease efficiency.
This FPGA based lithium ion battery charging system
is low output voltage, high efficiency and digital
programmability. In fact, FPGA solutions are perfect for certain
situations where time, cost and size are the most important
constraints. Through recording battery voltage, current and
temperature, previous effects charge the battery and dispose of
power.
The FPGA buck topology based battery charging
Gambar 18. Simulasi arus untuk desain buck konverter system has the following advantages such as compact design,
low output voltage, high efficiency, and digital programming
capabilities. Through recording battery voltage, current and
temperature, the effect of previous battery charge and discharge
in battery capacity the effect of the charging strategy and actual
battery charging conditions in the efficiency and speed of the
charging process can be further investigated.

References
Figure 19. Voltage simulation for buck converter design
[1] A. Dhakate and I. Introduction, “Power Optimization of Battery
Charging System Using FPGA Based Neural Network Controller,”
vol. 4, no. 8, pp. 112–122, 2014.
[2] P. A. Kale, “Compact Design of Buck Based FPGA Topology for
Battery Charging System,” vol. 11, no. 3, pp. 2124–2127, 2016.
[3] Y. Liu and J. Li, “An FPGA-Based Lithium-Ion Battery Charger
Figure 20. PWM Simulation System,” pp. 435–438, 2004.

Вам также может понравиться