Вы находитесь на странице: 1из 1

9/26/2018

APB Testbench Block Diagram APB Sequencer

▪ Create a apb_sequencer class deriving from


uvm_sequencer
▪ Parameterize to be of type APB transaction defined
(apb_rw)

Introduction to OVM and UVM 9/26/2018 1 Introduction to OVM and UVM 9/26/2018 2

APB Master Driver

▪ Create an apb_master_drv class deriving from uvm_driver base


class and parameterize for apb_rw
▪ Driver should have handles to virtual interface for accessing
signals and the apb configuration object
▪ Implement build_phase()
▪ Get the handle to virtual interface from agent or from uvm_config_db
▪ Implement run_phase()
▪ Should followe the Driver-Sequencer API method
▪ Get sequence item from sequencer
▪ Drive correct signals based on read or write
▪ Notify item_done back to sequencer

Introduction to OVM and UVM 9/26/2018 3

Вам также может понравиться