Вы находитесь на странице: 1из 20

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS

FACULTAD DE INGENIERÍA ELECTRÓNICA

-2018-

PROYECTO
FINAL

Tema: CERRADURA ELECTRONICA


Profesor:
Ing. Casimiro Pariasca

Alumnos:
Quispe Hipólito Frederick Javier 15190152
Prado Huerta Joel 15190168
Villavicencio Gómez Carlos 15190160

Horario:
Miércoles 12:00 – 2:00 pm
Curso:
SISTEMAS DIGITALES
Sistemas Digitales

INTRODUCCION
Una cerradura electrónica consiste en llevar a cabo una función específica parala cual
ha sido creada. La ventaja de una cerradura así es que el usuario de esta cerradura
tendrá la confiabilidad de que cualquier persona no podrá acceder a su habitación o a
un armario, caja, etc.

El funcionamiento de este circuito es muy sencillo. El funcionamiento de esta


cerradura es que, se pide el ingreso de 3 dígitos (una contraseña) y el circuito
comprobara si la contraseña ingresada es igual a la contraseña programada, en caso
sea la correcta se abrirá la puerta, en caso contrario al fallar 3 veces el ingreso de la
contraseña correcta activara una bocina que solo podrá ser apagada ingresando la
contraseña correcta.

Para pasar a la implementación de este proyecto debemos citar los temas previamente
visto en clase y conocer a la perfección el funcionamiento de estas, los cuales son:

 Circuitos temporizadores

 Flip flops y Registros (TTL)

 Diseño de Circuitos Secuenciales

 Análisis de Circuitos Secuenciales

Lo aprendido en el curso de laboratorio fue indispensable para ser capaz de realizar las
conexiones debidas del circuito respectivo.

Proyecto final Página 1


Sistemas Digitales

CERRADURA ELECTRONICA
1. Objetivos:

 Utilizar lo aprendido durante todo el ciclo académico para armar un circuito


aplicativo de propósito específico.
 Diseñar a través de circuitos secuenciales una cerradura de modo digital

2. Fundamento teórico:

Proyecto final Página 2


Sistemas Digitales

 DECODIFICADORES
Un DECODIFICADOR o descodificador es un circuito combinacional, cuya
función es inversa a la del codificador, esto es, convierte un código binario de
entrada (natural, BCD, etc.) de N bits de entrada y M líneas de salida (N puede
ser cualquier entero y M es un entero menor o igual a 2N ), tales que cada línea
de salida será activada para una sola de las combinaciones posibles de entrada.
Estos circuitos, normalmente, se suelen encontrar como decodificador /
demultiplexor. Esto es debido a que un demultiplexor puede comportarse
como un decodificador. Si por ejemplo tenemos un decodificador de 2 entradas
con 22 =4 salidas, su funcionamiento sería el que se indica en la siguiente tabla,
donde se ha considerado que las salidas se activen con un "uno" lógico:

Figura 4. Implementación de un decodificador con compuertas.

Un tipo de decodificador muy empleado es el de siete segmentos. Este circuito


decodifica la información de entrada en BCD a un código de siete segmentos adecuado
para que se muestre en un visualizador de siete segmentos, este decodificador es el
que vamos a emplear en el circuito ALU.

Proyecto final Página 3


Sistemas Digitales

a) Decodificador BCD a 7 segmentos:

Un dispositivo de salida muy utilizado para visualizar números decimales es el


visualizado de 7 segmentos.
Los 7 segmentos se marcan con las letras de la “a” a la “g”.
Existen varios tipos de visualizadores dentro de los cuales encontramos, el
denominado incandescente, que es similar a una lámpara común, el de tubo de
descarga de gas, que opera a tensiones altas y produce una iluminación anaranjada, el
de tubo fluorescente, que da una iluminación verdosa cuando luce y opera con
tensiones bajas, el más moderno que es el de cristal líquido (LCD), este crea números
negros sobre fondos plateados, y por último el visualizador común de diodos emisores
de luz (LED) que produce un brillo rojo cuando luce.
Existen visualizadores LED que cuando lucen emiten colores distintos del rojo. Como el
visualizador LED es el más fácil de utilizar y el más común por eso se tratará con más
detalles. En la figura se muestra la forma de operación de un visualizador de 7
segmentos.

Figura 7. Operación de un visualizador de 7 segmentos.

Cada segmento (de a a g ) contiene un LED. Como la corriente típica de un LED es de 20


mA, se colocan resistores de 150 (ohmios) con el fin de limitar dicha corriente. Sin este
resistor, el LED podría quemarse debido a que un LED puede soportar solo 1.7V a
través de sus terminales.
Existen dos tipos de visualizadores LED, el de ánodo común y el de cátodo común.
Cátodo común: cuando todos los cátodos están unidos entre sí y van directo a tierra.
Anodo común: cuando todos los ánodos están conectados entre sí y van a la fuente de
alimentación como el caso del ejemplo del cual estamos hablando.
Si, por ejemplo, se desea que aparezca el número decimal 7 en el visualizador de la
figura deben cerrarse los conmutadores a, b y c para que luzcan los segmentos a, b y c
del LED. Observar que una tensión de tierra (baja ) activa a los segmentos de este
visualizador LED.

Proyecto final Página 4


Sistemas Digitales

En la figura se muestra el dispositivo TTL denominado decodificador excitador 7447A


BCD a 7 segmentos, con su respectiva tabla de verdad.

Figura 8: Símbolo lógico del decodificador 7447 .

Figura 9. Tabla de verdad del decodificador 7447.

La entrada es un número BCD de 4 BITS, el número BCD se transforma en un código de


7 segmentos que ilumina los segmentos del visualizador LED. También se muestran 3
entradas extras en el símbolo lógico. La entrada de test de lámparas hará lucir todos
los segmentos adecuados para ver si son operativos.
Las entradas de borrado que son las que desconectan todos los elementos activados.
Las entradas de borrado y test de lámparas son activadas por niveles de tensión bajo y
las entradas BCD son activadas por 1 lógicos.

Proyecto final Página 5


Sistemas Digitales

Observar la línea 1 de la tabla de verdad. Para que aparezca el 0 decimal en el


visualizador, las entradas BCD deben ser LLLL. Esto activará los segmentos a, b, c, d, e y
f para formar el cero decimal.
Las entradas BCD inválidas (decimal 10, 11, 12, 13, 14 y 15) no son números BCD; sin
embargo, generan una única salida. Para la línea decimal 10, entradas HLHL, la
columna de salida indica que se activan la salida d, e, y g. Formando una pequeña c.
En la familia CMOS existen muchos decodificadores para visualizadores dentro de los
cuales se destacan el 74C48 que no necesita circuitería extra para la mayoría de los
visualizadores LED, el 4511 y el 74HC4511.
 DISPLAYS

El display de siete segmentos es un componente electrónico muy utilizado para


representar visualmente números y letras, es de gran utilidad dado su simpleza para
implementar en cualquier proyecto electrónico.
Esta compuesto por 7 dispositivos lumínicos(Led) que forman un “8”, de esta forma
controlando el encendido y apagado de cada led, podremos representar el numero o
letra que necesitamos.

a) Display 7 segmentos de Ánodo común y Cátodo común:

Existen dos tipos de display de 7 segmentos, su principal diferencia es la conexión que


debemos implementar para encenderlos, estos dos tipos se conocen como Ánodo
común y Cátodo común.

En los 7 segmentos de Cátodo Común, el punto circuital en común para todos los Led es
el Cátodo (Gnd), cero volt, Mientras que el Ánodo común el punto de referencia es Vcc
(5 volt).

Teniendo en cuenta estas consideraciones la forma de encender los led debe realizase
de diferente manera en función de que elemento tengamos (Ánodo o Cátodo común).

Cada Led trabaja con tensiones y corrientes bajas por lo tanto se pueden conectar
directamente a compuertas lógicas o pines de salida de un micro controlador,
igualmente siempre es recomendable para aumentar la vida util de los mismos,
conectarle una resistencia en serie entre el pin de salida del micro controlador y el de
entra del 7 segmentos, la intensidad lumínica en este caso dependerá del valor de la
resistencia agregada.

Proyecto final Página 6


Sistemas Digitales

Figura 10. display


7 segmentos ánodo y cátodo común conexión.
Para controlar el número que queremos observar en el display lo primero que tenemos que
identificar es con qué tipo de display estamos trabajando (Cátodo o Ánodo común), una vez
identificado nos basamos en la siguiente tabla de verdad dado el caso que corresponda.

Figura 11. Tabla de verdad display 7 segmentos ánodo y cátodo común.


El Pin de Enabled representa al pin (Vcc – Gnd) de la imagen superior, según sea el tipo de
display utilizado. Como podemos ver el cátodo Común se enciende con un 0 lógico (0 Volt)
mientras que el ánodo Común lo hace con un 1 lógico (5 volt).

Los siguientes pines (A-B-C-D-E-F-G) representan cada led interno del 7 segmentos, en el caso
del Cátodo Común se encenderán con un 1 lógico mientras que en Ánodo Común se encenderá
con un 0 Lógico.

Por ejemplo si suponemos que estamos trabajando con un Cátodo Común

Proyecto final Página 7


Sistemas Digitales

– Si ponemos A-B-C en 1, el 7 segmentos nos mostrara un “7”


– Si ponemos B-C-F-G en 1, el 7 segmentos nos mostrara un “4”
– Si suponemos que estamos trabajando con un Ánodo Común

– Si ponemos E en 1, el 7 segmentos nos mostrara un “9”


– Si ponemos B en 1, el 7 segmentos nos mostrara un “6”

 MULTIPLEXORES

Los MULTIPLEXORES son circuitos combinacionales con varias entradas y una única salida de
datos, están dotados de entradas de control capaces de seleccionar una, y sólo una, de las
entradas de datos para permitir su transmisión desde la entrada seleccionada hacia dicha
salida. En el campo de la electrónica el multiplexor se utiliza como dispositivo que puede
recibir varias entradas y transmitirlas por un medio de transmisión compartido. Para ello lo
que hace es dividir el medio de transmisión en múltiples canales, para que varios nodos
puedan comunicarse al mismo tiempo. Estos circuitos combinacionales poseen 2 n líneas de
entrada de datos, una línea de salida y n entradas de selección. Las entradas de selección
indican cuál de estas líneas de entrada de datos es la que proporciona el valor a la línea de
salida. Cada combinación de las entradas de selección corresponde a una entrada de datos, y
la salida final del multiplexor corresponderá al valor de dicha entrada seleccionada. Para
identificar la entrada de selección más significativa, por convenio esta siempre es la que está
más arriba (de mostrarse de forma vertical) o más a la izquierda (en horizontal),
independientemente de su etiqueta identificatoria, a no ser que se especifique lo contrario.

Figura 12. Simbología de


un multiplexor y su equivalencia lógica de comportamiento.

La función del multiplexor es la siguiente:

Para el Mux de 2 a 1 de la imagen

El selector varía en modo binario 0 (negado) y 1 (no negado) para el caso de un


multiplexores de 2 a 1 el cual posee 1 solo selector. En el caso de los multiplexores de
mayor número de entradas los selectores se van variando en forma de min términos
de 0 hasta la cantidad que se puede llegar dependiendo de la cantidad de selectores.

Proyecto final Página 8


Sistemas Digitales

 COMPARADORES

Un circuito comparador combinatorio compara dos entradas binarias (A y B de n bits) para


indicar la relación de igualdad o desigualdad entre ellas por medio de "tres banderas lógicas"
que corresponden a las relaciones A igual B, A mayor que B y A menor que B. Cada una de
estas banderas se activara solo cuando la relación a la que corresponde sea verdadera, es
decir, su salida será 1 y las otras dos producirán una salida igual a cero.
Dentro de la familia de circuitos TTL se les denomina a estos circuitos con el número 7485 y
manejan entradas de 4 bits, además de que también se les puede conectar en cascada para
manejar entradas más grandes.
Para diseñar este circuito se va requerir un conocimiento intermedio del álgebra booleana y la
simplificación booleana, uso de tablas de verdad, lógica y diseño de circuitos lógicos, se
recomienda también tener un programa para diseñar circuitos lógicos como multisim para
crear los diagramas.

Algunas expresiones booleanas se van a representar como funciones cuyo nombre


corresponderá a una letra mayúscula del alfabeto para simplificar su representación, mientras
que la salida principal en el diagrama de un circuito se va a representar como una función.

Así mismo a las entradas/salidas de n bits que corresponden a un solo dato se les llamara
vectores, cada uno de esos vectores será nombrado con una letra mayúscula y cada entrada de
un bit dentro de un vector se identificará con el nombre del vector más la posición que ocupa
dentro de él, por ejemplo una entrada de 5 bits se llamaría A y a cada posición dentro del
vector A se le llamaría así: A4 que sería el bit más significativo, A3, A2, A1 y A0 que
correspondería al bit menos significativo.

 CIRCUITO SECUENCIAL SINCRONO

Los circuitos secuenciales síncronos solo permiten un cambio de estado en los instantes
marcados o autorizados por una señal de sincronismo de tipo oscilatorio denominada reloj
(cristal o circuito capaz de producir una serie de pulsos regulares en el tiempo),4 lo que
soluciona los problemas que tienen los circuitos asíncronos originados por cambios de estado
no uniformes dentro del sistema o circuito.

 REGISTRO DE DESPLAZAMIENTO

Sirven para almacenar un número binario de tantos bits como biestables contenga el Circuito.
Se construyen a partir de biestables de tipo D.

Existen dos tipos:

 Registros de almacenamiento.

 Registros de desplazamiento.

De acuerdo como se introduzca la información, pueden ser de varias clases:

 Serie: La información se introduce bit a bit y se extrae del mismo modo.

Proyecto final Página 9


Sistemas Digitales

 Paralelo: La información se introduce y se extrae de “golpe”.

 Híbridos: Entrada serie/salida paralelo o viceversa.

En la figura se puede ver la conexión de biestables D para conseguir un registro de


desplazamiento serie-serie (es decir, los datos entran de uno en uno y salen de uno en uno).

En la figura adjunta se observa la conexión de biestables tipo D para obtener un registro de


desplazamiento paralelo-paralelo (es decir, entran todos a la vez y salen todos a la vez)

En la figura un circuito que haría la función de registro híbrido, en este caso, entrada en
Paralelo, salida en serie (aunque también dispone de entrada serie, ya que está previsto para
doble uso; serie-serie y paralelo-serie)

Proyecto final Página 10


Sistemas Digitales

3. Materiales:

 Dip switch

 Protoboard.

 Multimetro.

 Pulsadores (12).

 Cables UTP para protoboard.

 Fuente CC.

 Leds ojo. (1)

 Diodos (15)

Circuitos integrados TTL utilizados:

 4017 (1).

Proyecto final Página 11


Sistemas Digitales

 74LS174 (3).

 74LS48 (3).

 74LS273 (2).

 74LS85 (3).

 74LS151 (1).

 74LS112 o 74LS76 (1).

 Display Cátodo Común (3).

 Resistencias 220Ω (4) y resistencias 10KΩ (6).

4. Procedimiento teórico:

1. Está conformado por un teclado matricial hecho con push button. Los cuales
están ordenados como 9 dígitos (0 al 9) el circuito está basado en códigos
binarios para esto decodificamos los push de tal manera que al ingresar el
numero en decimal el decodificador nos dé en sus salidas el código
equivalente en binario, este decodificador lo hicimos con diodos.

Proyecto final Página 12


Sistemas Digitales

2. Ya teniendo nuestras salidas ABC siendo C el bit más significativo se procedió


a convertir estos pulsos mecánicos a digitales por medio de 2 compuertas
74LS14. Ya teniendo los pulsos digitales armamos 2 bancos de memoria de 4
por separado, estos bancos se encargan de guardar los datos ingresados y así
obtenemos 4 códigos binarios ABC diferentes los cuales los mandamos a los
decodificadores BCD a 7 segmentos que son los 74LS48 los cuales se encargan
de mostrar los dígitos en los displays.

3. Para hacer el cambio de display o que ingrese de primero un digito y después


el otro, sumamos los ABC y el código del 0; Ese resultado lo mandamos al clock
de una 4017b el cual hace el conteo de 4 pulsos (0,1,2,3), ya que lo reiniciamos
en el quinto puso siendo este la salida 4 , estos 4 pulsos que van a estar
cambiando cada vez que se ingrese un digito, uno lo mandamos a el clock del
primer banco de memoria el otro al segundo banco y lo aremos todos, Esto
hará que active los bancos de una forma secuencial.

Proyecto final Página 13


Sistemas Digitales

4. Ya teniendo esto necesitamos un botón de enter. Ya que como toda


contraseña, de primero se ingresa y luego se comprueba, esto lo podemos ver
en una computadora, de primero ingresas tu contraseña y después se le da
entrar, y entrara, esto es algo similar ya que de primero tendremos que
ingresar los 4 dígitos y ya ingresados presionar enter para comprobarlos.

5. Para hacer el botón enter lo que haremos es mandar a un banco de memoria


de 8 dígitos los Q o las salidas de los primeros dos bancos, ósea que
guardaremos los datos ya guardados anteriormente. La única diferencia es que
para este banco de 8 dígitos el Clock será un botón donde se ingresara un 1
lógico. Ósea que daremos la autorización de guardar datos manualmente en
conclusión es nuestro enter por que guardara datos si y solo si nosotros
autoricemos hacerlo.

6. Luego estas 16 Q o salidas que son los ABCD del primer banco y los ABCD del
segundo guardados por nuestro enter. Entraremos ahora si a nuestra parte de
la clave oculta. Para esto usaremos comparadores 74LS85 uno para cada
digito. Lo que aremos es mandar los ABCD de cada digito a las entradas A de
las 74LS85 y en las partes B conectaremos dip switch los cuales nos servirán
para ingresar la clave oculta o la clave correcta.

Proyecto final Página 14


Sistemas Digitales

7. Los comparadores harán la comparación de la parte A con la parte B y si son


iguales activara la salida A = B. lo que aremos es multiplicar esas dos salidas de
las cuatro 7485 de esta manera, solo cuando los 4 dígitos ingresados sean
correctos abra un 1 en la salida de las multiplicaciones.

Proyecto final Página 15


Sistemas Digitales

8. Estas salidas iran a un MUX 74151 donde sus salidas usaremos para el circuito
secuencial activador de la puerta y activador de la alarma

Proyecto final Página 16


Sistemas Digitales

9. CIRCUITO SECUENCIAL SINCRONO

Cuando se detecte la secuencia de contraseña correcta la salida cambiara de 0 a 1 , en cambio


si durante 3 tiempos de Clock se ha marcado una contraseña erronea la salida de la alarma se
actiavara (0 a 1), el ultimo flip flop mandara el RESET para volver a iniciar la introducción de
una clave.

DIAGRAMA DE ESTADOS

TABLA DE ESTADOS

X
0 1 M A
A B E 00
B C E 00
C D E 00
D D E 01
E B E 10

Arbitrariamente:

A=000 B=001 C=010 D=011 E=100


X
y3y2y1 0 1 M A
000 001 100 00
001 010 100 00
010 011 100 00
011 011 100 01
100 001 100 10

Proyecto final Página 17


Sistemas Digitales

y3y2y1x Y3 Y2 Y1 J3 K3 J2 K2 J1 K1
0000 0 0 1 0 d 0 d 1 d
0001 1 0 0 1 d 0 d 0 d
0010 0 1 0 0 d 1 d d 1
0011 1 0 0 1 d 0 d d 1
0100 0 1 1 0 d d 0 1 d
0101 1 0 0 1 d d 1 0 d
0110 0 1 1 0 d d 0 d 0
0111 1 0 0 1 d d 1 d 1
1000 0 0 1 d 1 0 d 1 d
1001 1 0 0 d 0 0 d 0 D
1010 - - - - - - - - -

Observando la tabla podemos observar que:


J3 = x
K3 = x̅
J2 = y1
K2 = x
J1 = x̅
K1 = ̅̅̅
y2

Las salidas entonces serán:


M = y3
A = y1 y2

Ya teniendo estas salidas, en esta cerradura le conectamos una lectora de DVD para usarla
como puerta que abra a la hora de ser correcta la contraseña, y una sirena para usarla como
alarma en caso que sea incorrecta la contraseña.

5. CONCLUSIONES Y OBSERVACIONES:
Observamos la utilidad de los circuitos secuenciales en el uso de estados que siguen un
orden para la obtención de una salida que nos permitira el accionamiento de nuestra
cerradura.
El método de karnaught es muy eficaz para hallar funciones de varias variables
teniendo una tabla binaria como dato inicial.

Proyecto final Página 18


Sistemas Digitales

SIMULACION:

Proyecto final Página 19

Вам также может понравиться