Академический Документы
Профессиональный Документы
Культура Документы
Sumador-Restador de 8 Bits
Aplicado a suma de señales Analógicas
Jacqueline Guailla Muñoz – Karla Muyulema Yumiseba - Jhon Vargas Ochoa
jacqueline.guailla@yahoo.es - karlita.my1820@gmail.com - mcquen1@hotmail.com
Abstract — This document presents the report of a 1, para formar el resultado cuyo valor es el 12, expresado en
project that was carried out with the purpose of applying the sistema decimal.
knowledge of the chair of digital systems. For which we had Por el contrario, para llevar a cabo la resta, la operación toma
to carry out a series of procedures, which will lead us to un ligero cambio, un ser humano realiza esta operación
understand all the concepts taught during the classes. tomando un préstamo del bit siguiente, sin embargo, en los
Firstly, we perform the analog-to-digital conversion circuit circuitos lógicos esto es muy difícil de implementar. Es por
using the ADC 0808, then we perform the conversion from eso que se recurre al método del complemento a dos, el cual
digital to analog using the DAC 0808, in which the facilita la realización de dichas operaciones.
reconstruction of the signal can be appreciated through the Los sumadores pueden estar conformados por medios
oscilloscope. sumadores o sumadores completos, esto va a la par con la
We make the description of a program that the user when implementación en el lenguaje de programación VHDL, para
manipulating a variable in this high subtract two binary esto se debe realizar el diseño de las estructuras de dichos
numbers of eight bits, and generate a response in programas en papel, para posteriormente describirlos
hexadecimal system. Otherwise, if the variable is entered in a utilizando el Software XILINX, y para finalmente tener el
low state, these numbers are added, and its response is diseño de bloques completos y pasar a aplicarlos en la
generated as described above. For the design of this program práctica.
we will do it through the ISE software, in the VHDL
programming language. II. MARCO TEÓRICO
Ilustración 3
Señal digital analógica
Ilustración 2 1. Circuito Integrado DAC
Esquema de pines de ADC 0808
D. Decodificador de 4 bits
Ilustración 7
Símbolo lógico de un semisumador
A B Cout Suma
0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0
Tabla 2
Tabla de verdad de un semi-sumador Ilustración 9
Sumador de bloques de un sumador paralelo de 2 bits
2. Sumador Completo
F. Resta Binaria
Un sumador acepta dos bits de entrada y un acarreo de
entrada, y genera una salida de suma y un acarre de salida. Las 4 reglas que rigen esta operación lógica se describen en la
La diferencia principal entre un sumador completo y un semi- siguiente tabla, se debe tomar en cuenta que 0-1 con acarreo
sumador es que el sumador completo acepta un acarreo de negativo de 1.
entrada.
A B A-B
0 0 0
0 1 1
1 0 1
1 1 0
Ilustración 8 Tabla 4
Símbolo lógico de un sumador Reglas en la resta binaria
El sumador completo tiene que sumar dos bits de entrada y un
acarreo de entrada. Del semi-sumador sabemos que la suma G. Complemento A2
El complemento a 2 de un número binario se obtiene sumando La función básica de un decodificador es detectar la presencia
1 al bit menos significativo del complemento a 1. Se aplica la de una determinada combinación de bits (código) en sus
siguiente expresión: entradas y señalar la presencia de este código mediante un
Complemento a 2 = Complemento a 1 + 1 cierto nivel de salida. En su forma general, un decodificador
Un método alternativo para obtener el complemento A2 de un posee n líneas de entrada para gestionar n bits y en una de las
número binario es el siguiente:
Se empieza por la derecha con el LSB y se escriben los
bits como están hasta encontrar el primer 1, incluido 2� líneas de salida indica la presencia de una o más
éste.
Se calcula el complemento a 1 de los bits restantes
combinaciones de n bits. En esta práctica, se presentan varios
tipos de decodificadores. Pero lo que se utilizo es un
decodificador de cuatro bits [6].
Ilustración 10
Diagrama del complemento A2
H. Display de 7 segmentos
Ilustración 13
El display de 7 segmentos es un componente que se utiliza Display de 7segmentos y convertidor binario
para la representación de números en muchos dispositivos
electrónicos. J. XILINX
Cada elemento del display tiene asignado una letra que
identifica su posición en el arreglo del display [5]. Xilinx diseña, desarrolla y comercializa productos lógicos
programables, incluidos los circuitos integrados (CI),
herramientas de software de diseño, funciones de sistema
predefinidas entregados como núcleos de propiedad
intelectual (IP), servicios de diseño, formación del cliente,
ingeniería de campo y soporte técnico.
Xilinx vende FPGAs y CPLDs para fabricantes de equipos
electrónicos en los mercados finales, así como a los de
comunicaciones, industrias, consumidores, automoción y
procesamiento de datos.
Ilustración 11
Esquema de un display de 7 segmentos
Ilustración 18
Tarjeta CMOD S6
Ilustración 15
Descripción de un Sistema digital utilizando VHDL
2. Entidad (ENTITY)
Ilustración 16
Entidad de VHDL
3. Arquitectura (ARCHITECTURE)
Ilustración 21
Diagrama de bloques de la semi_suma_resta
B. Suma-Resta completa de 8 bits
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Sum_Res8bits is
Port ( Op1 : in STD_LOGIC;
Ilustración 22 Ilustración 24
Estructura de una completa-suma_resta de 8 bit en ISE Estructura de un semi-decodificador en ISE
Ilustración 23
Bloques de la suma-resta completa de 8 bits
C. Semi Decodificador Ilustración 25
Bloques del semi-decodificador
library IEEE; D. Decodificador
use IEEE.STD_LOGIC_1164.ALL;
Ilustración 27 Ilustración 29
Bloques del decodificador completo Diagrama Bloques del Reloj
E. Reloj F. Programa completo
library IEEE; library IEEE;
use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_1164.ALL;
entity clk_200Hz is
Port ( entity Pract_8bits is
entrada: in STD_LOGIC; Port ( A2 : in STD_LOGIC_VECTOR (7 downto 0);
reset : in STD_LOGIC; B2 : in STD_LOGIC_VECTOR (7 downto 0);
RELOJ : out STD_LOGIC; S_R : in STD_LOGIC;
RELOJ1 : out STD_LOGIC entrada1 : in STD_LOGIC;
); rest : in STD_LOGIC;
end clk_200Hz; Sal2 : out STD_LOGIC_VECTOR (13 downto 0);
DAC : out STD_LOGIC_VECTOR (7 downto 0);
architecture Behavioral of clk_200Hz is Carry2 : out STD_LOGIC;
signal temporal: STD_LOGIC; RELOJ2 : out STD_LOGIC;
signal contador: integer range 0 to 199 := 0; RELOJ3 : out STD_LOGIC);
begin end Pract_8bits;
divisor_frecuencia: process (reset, entrada) begin architecture Behavioral of Pract_8bits is
if (reset = '1') then COMPONENT Sum_Res8bits
temporal <= '0'; PORT(
contador <= 0; Op1 : IN std_logic;
elsif rising_edge(entrada) then A1 : IN std_logic_vector(7 downto 0);
if (contador = 199) then B1 : IN std_logic_vector(7 downto 0);
temporal <= NOT(temporal); Resp : OUT std_logic_vector(7 downto 0);
contador <= 0; Carry : OUT std_logic
else );
contador <= contador+1; END COMPONENT;
end if; -------******----
end if; COMPONENT BCD
end process; PORT(
RELOJ <= temporal; m : IN std_logic_vector(7 downto 0);
RELOJ1 <= temporal; n : OUT std_logic_vector(13 downto 0)
end Behavioral; );
END COMPONENT;
COMPONENT clk_200Hz
PORT(
entrada : IN std_logic; V. RESULTADOS
reset : IN std_logic;
RELOJ : OUT std_logic; A. ADC
RELOJ1 : out std_logic
);
END COMPONENT;
signal cone: std_logic_vector(7 downto 0);
--signal con: std_logic_vector(7 downto 0);
begin
uut0: Sum_Res8bits PORT MAP (Op1=>S_R, A1=>A2,
B1=>B2, Resp=>cone(7 downto 0), Carry => Carry2);
---*****--
uut: BCD PORT MAP (m => cone(7 downto 0), n => Sal2);
---*****---
uut3: clk_200Hz PORT MAP (entrada => entrada1, reset
=> rest, RELOJ => RELOJ2, RELOJ1=> RELOJ3 );
DAC<=cone(7 downto 0);
end Behavioral; Ilustración 32
Conversor analógico digital (ADC).
Software Proteus 8.5
Ilustración 30
Estructura del programa completo en ISE Ilustración 33
Diseño en la PBD (ADC)
Ilustración 34
Diseño en 3D (ADC)
Ilustración 31
Bloques del programa principal
Ilustración 35
ADC utilizado en la practica
B. DAC C. SEÑALES
Ilustración 36
Conversor digital analógico (DAC).
Software Proteus 8.5
Ilustración 40
Esquema completo del ADC y DAC, complementado con un reloj
(Timer 555)
Ilustración 37
Diseño en la PBD (DAC)
Ilustración 41
Señal reconstruida, luego de ser pasada por el ADC y el DAC.
Ilustración 38
Diseño en 3D (DAC)
Ilustración 39 Ilustración 42
DAC utilizado en la practica Señales obtenidas por el osciloscopio
Ilustración 47
Visualización en el osciloscopio de la suma de señales
Ilustración 43
Suma y resta con visualización en displays
Ilustración 44
Simulación de la suma en binario
Ilustración 48
Ilustración 45 Visualización en el osciloscopio de la resta de señales
Simulación de la resta en binario
VI. CONCLUSIONES
Los sistemas ADC y DAC son necesarios cuando se
realiza procesamiento digital de señales, permiten el
nexo entre ambos espacios, del mundo real y el digital.
Son muy utilizados en sistemas de instrumentación y
adquisición de datos. Cada convertidor posee sus propias
características y parámetros que lo definen.
Para convertir señales análogas a digitales o viceversas se
debe entender bien el funcionamiento de los integrados
convertidores ya que depende de esto sus salidas de
señales. La señal de salida por medio de un
convertidor digital-analógico va a depender
siempre de las cantidades de bits es decir a mayor
bits mayor calidad de la señal de salida se obtendrá.
Para poder reconstruir una señal la frecuencia de
muestreo tiene que ser mucho mayor a la frecuencia que
ingresa al reloj para que se puedan visualizar todos los
bits ya que sin esta condición la señal tiene perdidas y no
se logra una reconstrucción de señal clara.
El lenguaje VHDL permite el diseño modular y
Ilustración 46 jerárquico de sistemas electrónicos admitiendo incluir
Suma y resta de señales diferentes niveles de abstracción al describir un diseño
digital iniciando con componentes simples que permiten
formar componentes cada vez más complejos hasta llegar
al sistema final.
Los sumadores son muy importantes no solamente en las
computadoras, sino en muchos tipos de sistemas digitales
en los que se procesan datos numéricos.
Para realizar la asignación de pines y proceder a la
programación es necesario revisar de manera detallada
los esquemáticos de la tarjeta en la cual se va a proceder
a la programación, en nuestro caso la tarjeta Cmod_S6
Para realizar el sumador completo de 8 bits, se debe
implementar 8 sumadores en paralelo de 1 bit cada uno,
los mismos que se unirán en una entidad principal. El
acarreo de salida de un sumador se convertirá en el
acarreo de entrada del siguiente sumador.
REFERENCIAS
[1] Cervantes, I. (03 de Abril de 2014). WebElectronica. Obtenido de Sumador-
Restador Binario: http://serverpruebas.com.ar/montajes2/nota47.htm
[2] T. L. Floyd, Fundamentos de Sistemas Digitales, Madrid: PEARSON
EDUCACIÓN S.A, 2006.
[3] Conversores Análogo-Digital y Digital-Análogo: Conceptos Básicos, Juan
I. Huircán, 5 de octubre de 2010.
[4] Tocci, R. J., Widmer, N. S., & Moss, G. L. (2007). Sistemas Digitales.
Principios y Aplicaciones. Mexico: Pearson Educacion S.A.
[5] Foros de Electronica-Asi funciona un ADC0808. (s.f.). Obtenido de
http://www.forosdeelectronica.com/f23/funcio na-adc0808-20781
[6] Pallas, R. (1993). Adquisición y Distribución de Señales. Barcelona:
Marcombo S.A.
[7] Jose Luis Martinez Gonzalez. (2007). Digital, E. Madrid-España: Jacaryan
S.A.
BIOGRAFÍA