Вы находитесь на странице: 1из 13

Informe de Laboratorio I

Sumador-Restador de 8 Bits
Aplicado a suma de señales Analógicas
Jacqueline Guailla Muñoz – Karla Muyulema Yumiseba - Jhon Vargas Ochoa
jacqueline.guailla@yahoo.es - karlita.my1820@gmail.com - mcquen1@hotmail.com

Abstract — This document presents the report of a 1, para formar el resultado cuyo valor es el 12, expresado en
project that was carried out with the purpose of applying the sistema decimal.
knowledge of the chair of digital systems. For which we had Por el contrario, para llevar a cabo la resta, la operación toma
to carry out a series of procedures, which will lead us to un ligero cambio, un ser humano realiza esta operación
understand all the concepts taught during the classes. tomando un préstamo del bit siguiente, sin embargo, en los
Firstly, we perform the analog-to-digital conversion circuit circuitos lógicos esto es muy difícil de implementar. Es por
using the ADC 0808, then we perform the conversion from eso que se recurre al método del complemento a dos, el cual
digital to analog using the DAC 0808, in which the facilita la realización de dichas operaciones.
reconstruction of the signal can be appreciated through the Los sumadores pueden estar conformados por medios
oscilloscope. sumadores o sumadores completos, esto va a la par con la
We make the description of a program that the user when implementación en el lenguaje de programación VHDL, para
manipulating a variable in this high subtract two binary esto se debe realizar el diseño de las estructuras de dichos
numbers of eight bits, and generate a response in programas en papel, para posteriormente describirlos
hexadecimal system. Otherwise, if the variable is entered in a utilizando el Software XILINX, y para finalmente tener el
low state, these numbers are added, and its response is diseño de bloques completos y pasar a aplicarlos en la
generated as described above. For the design of this program práctica.
we will do it through the ISE software, in the VHDL
programming language. II. MARCO TEÓRICO

Keywords — Conversion, ADC, DAC, Decoder, A. Conversión Analógica Digital (ADC)


Programmable Logic, Multiplexers, Code, VHDL
Un convertidor analógico-digital toma un voltaje de
I.INTRODUCCIÓN entrada analógico, y después de cierto tiempo produce un
Un sistema de procesamiento digital de la señal traduce código de salida digital que representa a la entrada
primero una señal analógica que varía de manera continua a analógica. Por lo general, el proceso de conversión AID es
una serie de niveles discretos. Esta serie de niveles sigue las más complejo y consume más tiempo que el proceso D/A,
variaciones de la señal analógica y se asemeja a una escalera. por lo cual se han desarrollado y utilizado varios métodos.
El proceso de modificar la señal analógica original, Examinaremos algunos de ellos con detalle, aún y cuando
obteniendo una aproximación “en escalera” de la misma, se tal vez nunca sea necesario diseñar o construir ADCs (dado
realiza mediante un circuito de muestreo y retención. que están disponibles como unidades encapsuladas en IC).
Una vez hecho esto la aproximación “en escalera” se No obstante, las técnicas que se utilizan proporcionan una
cuantifica para obtener una serie de códigos binarios que visión interna de los factores que determinan el desempeño
representan cada uno de los pasos discretos de esa de un ADC [1].
aproximación, mediante un proceso denominado conversión Desde el punto de vista de un convertidor analógico-digital
analógico-digital (A/D). El circuito que realiza la conversión ideal, el proceso necesario para convertir una señal analógica
A/D se denomina convertidor analógico digital (ADC). Una (continua) en una señal digital (discreta), consta de tres fases:
vez convertida la señal analógica a formato con codificación Muestreo
binaria, se la aplica a un procesador digital de la señal (DSP). Consiste en tomar muestras periódicas d la amplitud de onda
Y después de procesar una señal mediante DSP, la señal la velocidad de toma de muestras es el número de muestras
puede convertirse de nuevo a forma analógica, obteniéndose sobre segundo se conoce como frecuencia de muestreo.
una señal muy mejorada de la señal analógica original. Cuantificación
El uso de sumadores es muy importante no solamente en En este nivel se mide el nivel de voltaje de cada muestra y a
las computadoras, sino en muchos tipos de sistemas digitales continuación se le asigna un margen de valor de una señal
en los que se procesan datos numéricos. Realizar la suma analizada a un único nivel de salida.
lógica no implica mayor dificultad, debido a que los sistemas Codificación
electrónicos operan de la misma manera que un ser humano, Es la traducción de los valores obtenidos en la cuantificación
por ejemplo, para sumar dos números (decimales) de un solo a valores binario.
dígito, 8 y 4 se tendrá como resultado un 2 y como acarreo un
Los conversores analógico-digital tienen una gran cantidad de
aplicaciones. Están presentes en todo tipo de sistemas como
pueden ser sistemas de telecomunicaciones, sistemas de
control, medidas, etc.
También tiene aplicaciones en sistemas donde es necesario
medir señales analógicas, como pueden ser sensores de
temperatura, proximidad o en sistemas donde hay que realizar
el procesamiento y/o almacenamiento de audio o cualquier
otro tipo de información. Como estas señales no pueden ser
analizadas directamente por un procesador, es necesaria la
utilización de conversores A/D.

Ilustración 1 B. Convertidor Digital Analógico (DAC)


Señal analógica a digital
Un DAC contiene normalmente una red resistiva divisora de
1. Circuito Integrado ADC tensión, que tiene una tensión de referencia estable y fija
como entrada. Hay que definir qué tan exacta será la
El convertidor ADC0808 es un componente para la conversión entre la señal analógica y la digital, para lo cual se
adquisición de datos, este circuito CMOS tiene un convertidor define la resolución que tendrá.
analógico-digital de 8 bits, un multiplexor de 8 canales y una Un convertidor analógico-digital es un dispositivo que realiza
lógica de control que lo hace compatible con todos los el proceso inverso al del ADC.
microprocesadores. Para la conversión utiliza el método de Es decir, convierten la información binaria presente en su
aproximaciones sucesivas [1]. entrada en una variable analógica que puede ser una tensión o
una corriente. En la siguiente imagen podemos observar la
Este dispositivo ofrece gran velocidad, gran exactitud, interfaz de un DAC que adopta los niveles lógicos de entrada
mínima dependencia a la temperatura, excelente repetitividad a los que necesitan los conmutadores. Los mismos que actúan
y un consumo mínimo de energía, por lo tanto, lo hace un sobre una red resistiva de precisión que, con la ayuda de una
dispositivo ideal en aplicaciones de control y de automotores. fuente de referencia, dan como salida en forma analógica una
forma de onda de corriente o voltaje [2].

Ilustración 3
Señal digital analógica
Ilustración 2 1. Circuito Integrado DAC
Esquema de pines de ADC 0808

2. Características El DAC0808 es un convertidor digital-analógico (DAC)


monolítico de 8 bits que ofrece un tiempo de establecimiento
de la corriente de salida a escala completa de 150 ns mientras
 Opera radiométricamente, con 5Vcc o con un voltaje
que disipa solamente 33 mW con fuentes de ± 5V.
de referencia ajustable como span analógico.
No se requiere recorte de corriente de referencia (IREF) para
 No requiere ajuste a cero o a escala completa.
la mayoría de las aplicaciones. Las corrientes de alimentación
 Tiempo de acceso de 135 nseg.
del DAC0808 son independientes de los códigos de bits y
 8 bits de resolución.
presentan características de dispositivo esencialmente
 Tiempo de conversión de 100 mseg. constantes en todo el rango de voltaje de alimentación.
 Fácil interface con todos los microprocesadores.
 Error total de +/- ½ LSB y +/- 1 LSB.
 Consumo de potencia de 15 mW.

3. Aplicaciones del ADC


Ilustración 5
Decodoficador binario basico

D. Decodificador de 4 bits

Para poder decodificar las posibles combinaciones de cuatro


bits, se necesitan dieciséis puertas de decodificación. Este tipo
de decodificador se denomina comúnmente decodificador de 4
líneas a 16 líneas, ya que existen cuatro entradas y dieciséis
salidas, o también se le llama decodificador 1 de 16, ya que,
Ilustración 4 para cualquier código dado en las entradas, sólo se activa una
Esquema de pines de DAC 0808 de las dieciséis posibles salidas. En la siguiente imagen se
muestra la estructura de dicho decodificador [3].
2. Características

 Precisión relativa, con ±0.19% como error máximo.


 Correspondencia actual de escala completa: ± 1 LSB
 Tiempo de ajuste rápido 150ns.
 Las entradas digitales no inversoras con compatibles
con
 TTL y CMOS.
 Velocidad de muestreo de alta velocidad 8mA/us.
 Rango de tensión de alimentación desde ± 4.5V a ±
18V.
 Bajo consumo de energía: 33 mW equivalente a ± 5V

3. Aplicaciones del DAC

Los DAC se utilizan siempre que la salida de un circuito


digital que tiene que ofrecer un voltaje o corriente analógicos Ilustración 6
para impulsar o activar un dispositivo analógico. Decodificador de 4 líneas a 16 líneas
 Control: La salida digital de una computadora puede
convertirse en una señal de control analógico para 1. Aplicaciones
ajustar la velocidad de un motor o para controlar casi
cualquier variable física. Tienen múltiples aplicaciones, una de las más importantes es
 Análisis automático: Los ordenadores personales la selección de entradas y salidas en las computadoras, el
pueden ser programados para generar las señales funcionamiento es el siguiente:
analógicas a través de un DAC) que se necesitan para Las computadoras se tienen que comunicar con una gran
analizar circuitos analógicos. La respuesta de salida variedad de dispositivos externos, denominados periféricos,
analógica del circuito de prueba normalmente se enviando y/o recibiendo datos a través de lo que se conoce
convertirá en valor digital por un ADC. como puertos de entrada/salida (E/S).
Estos dispositivos externos incluyen impresoras, módems,
C. Decodificadores escáneres, unidades de disco externas, teclados, monitores y
otras computadoras. Debe entonces implementarse un
Son circuitos lógicos que aceptan un conjunto de entradas que decodificador para seleccionar el puerto de Entrada/Salida
representan un número binario y activan solo una salida (E/S), de tal manera que los datos puedan ser enviados o
correspondiente al número de la entrada. Entonces un recibidos desde algún dispositivo en específico
decodificador realiza internamente el trabajo de analizar las Cada puerto de E/S tiene un número, denominado dirección,
entradas, determinar que numero binario se encuentra que lo identifica unívocamente. Cuando la computadora desea
presente y activar solo la salida correspondiente a este comunicarse con algún dispositivo en particular, envía el
número. código de dirección apropiado del puerto de E/S al que está
conectado el dispositivo en cuestión. Esta dirección binaria
Debido a que el número de entradas puede ser 0 o 1, existen del puerto se decodifica, activándose la salida del
combinaciones posibles, por lo tanto, estará una sola salida en decodificador apropiada que habilita el correspondiente
alto y las demás se encontraran en estado bajo. puerto de E/S.
de los bits de entrada A y B es la operación OR-exclusiva de

esas dos variables, A ⊕ B. Para sumar el acarreo de entrada

(Cin) a los bits de entrada, hay que aplicar de nuevo la operación


OR-exclusiva.

A B Cin Cout SUMA


0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 0
1 1 0 1 0
1 1 1 1 1
Tabla 1 Tabla 3
Decodificador hexadecimal de 4:16 Tabla de verdad de un sumador completo

E. Sumadores 3. Sumador Binario en paralelo

1. Semi-Sumador Para formar un sumador binario en paralelo se conectan dos o


más sumadores completos. Para sumar números binarios de
Un semi-sumador admite dos dígitos binarios en sus entradas más de un bit, se tienen que utilizar sumadores completos
y genera dos dígitos binarios en sus salidas: un bit de suma y adicionales. Cuando se suman dos números binarios, cada
un bit de acarreo. columna genera un bit de suma y un 1 ó 0, correspondiente al
bit de acarreo, que se añade a la columna inmediata de la
izquierda [4].
Para sumar dos números binarios, se necesita un sumador
completo por cada bit que tengan los números que se quieren
sumar.

Ilustración 7
Símbolo lógico de un semisumador

A B Cout Suma
0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0
Tabla 2
Tabla de verdad de un semi-sumador Ilustración 9
Sumador de bloques de un sumador paralelo de 2 bits
2. Sumador Completo
F. Resta Binaria
Un sumador acepta dos bits de entrada y un acarreo de
entrada, y genera una salida de suma y un acarre de salida. Las 4 reglas que rigen esta operación lógica se describen en la
La diferencia principal entre un sumador completo y un semi- siguiente tabla, se debe tomar en cuenta que 0-1 con acarreo
sumador es que el sumador completo acepta un acarreo de negativo de 1.
entrada.

A B A-B
0 0 0
0 1 1
1 0 1
1 1 0
Ilustración 8 Tabla 4
Símbolo lógico de un sumador Reglas en la resta binaria
El sumador completo tiene que sumar dos bits de entrada y un
acarreo de entrada. Del semi-sumador sabemos que la suma G. Complemento A2
El complemento a 2 de un número binario se obtiene sumando La función básica de un decodificador es detectar la presencia
1 al bit menos significativo del complemento a 1. Se aplica la de una determinada combinación de bits (código) en sus
siguiente expresión: entradas y señalar la presencia de este código mediante un
Complemento a 2 = Complemento a 1 + 1 cierto nivel de salida. En su forma general, un decodificador
Un método alternativo para obtener el complemento A2 de un posee n líneas de entrada para gestionar n bits y en una de las
número binario es el siguiente:
 Se empieza por la derecha con el LSB y se escriben los
bits como están hasta encontrar el primer 1, incluido 2� líneas de salida indica la presencia de una o más
éste.
 Se calcula el complemento a 1 de los bits restantes
combinaciones de n bits. En esta práctica, se presentan varios
tipos de decodificadores. Pero lo que se utilizo es un
decodificador de cuatro bits [6].

Ilustración 10
Diagrama del complemento A2

H. Display de 7 segmentos
Ilustración 13
El display de 7 segmentos es un componente que se utiliza Display de 7segmentos y convertidor binario
para la representación de números en muchos dispositivos
electrónicos. J. XILINX
Cada elemento del display tiene asignado una letra que
identifica su posición en el arreglo del display [5]. Xilinx diseña, desarrolla y comercializa productos lógicos
programables, incluidos los circuitos integrados (CI),
herramientas de software de diseño, funciones de sistema
predefinidas entregados como núcleos de propiedad
intelectual (IP), servicios de diseño, formación del cliente,
ingeniería de campo y soporte técnico.
Xilinx vende FPGAs y CPLDs para fabricantes de equipos
electrónicos en los mercados finales, así como a los de
comunicaciones, industrias, consumidores, automoción y
procesamiento de datos.
Ilustración 11
Esquema de un display de 7 segmentos

1. Display de cátodo común

El display cátodo común tiene todos los ánodos de los diodos


LED unidos y conectados a tierra. Para activar un segmento Ilustración 14
de estos hay que poner el ánodo del segmento a encender a Logotipo de XILINX
Vcc (tensión de la fuente) a través de una resistencia para 1. VHDL
limitar el paso de la corriente.
Describe cualquier sistema digital mediante la definición de
un modelo que proporciona una descripción externa y una o
más descripciones internas del mismo.
Es un lenguaje estándar de programación de alto nivel,
diseñado para describir el comportamiento de circuitos
electrónicos digitales, desde complejos sistemas hasta una
simple compuerta lógica. Este lenguaje permite que el
comportamiento de los circuitos electrónicos sea capturado en
un sistema de diseño para la simulación del sistema
Ilustración 12 posteriormente realizar la síntesis automática del circuito,
Display en cátodo común este es un proceso en el cual se transforma el diseño de
manera automática desde una descripción con pocos detalles a
I. Decodificador Binario (BCD)
una más elaborada, con el fin de poder llevarla a un La tarjeta Cmod S6 es una pequeña placa de factor de forma
dispositivo de lógica programable. DIP de 48 pines construida alrededor de un Xilinx Spartan 6
 VHDL permite diseñar, modelar y comprobar un LX4 FPGA. La placa también incluye una ROM de
sistema desde un alto nivel de abstracción bajando programación, fuente de reloj, programación USB y circuito
hasta el nivel de definición estructural de puertos. de transferencia de datos, fuentes de alimentación y
 Los circuitos descritos utilizando VHDL, siguen unas dispositivos de E / S básicos. Hay 46 señales de E / S de
guías para la síntesis y pueden ser utilizados por FPGA que se encaminan a pernos pasantes de 100 mil
diversas herramientas de síntesis para crear e espacia- dos, haciendo que el Cmod S6 sea adecuado para su
implementar circuitos. uso con placas de procesamiento sin soldadura. A sólo 0,7
La descripción externa se realiza mediante el concepto de "por 2,6" pulgadas, también se puede cargar en un socket
entidad (ENTITY) y la interna mediante el de arquitectura estándar y se utiliza en sistemas embebidos [7].
(ARCHITECTURE).

Ilustración 18
Tarjeta CMOD S6

Ilustración 15
Descripción de un Sistema digital utilizando VHDL

2. Entidad (ENTITY)

La entidad corresponde a la descripción externa y está


relacionada con los terminales de entrada y salida que
permiten al sistema digital interactuar con el exterior. Cada
sistema digital que se describe en VHDL constituye una
entidad.

Ilustración 16
Entidad de VHDL

3. Arquitectura (ARCHITECTURE)

La arquitectura, por su parte, especifica el funcionamiento Ilustración 19


interno del sistema digital y se puede referir tanto a su Pines de la tarjeta CMOD S6
estructura como a su comportamiento. Puesto que en la
práctica totalidad de los casos existen diferentes formas de III. MATERIALES
especificar el comportamiento o la estructura de un sistema
digital, una misma entidad puede tener asociada una o más Item Cant Materiales Descripcion
arquitecturas. 1 1 ADC0808 0808
2 1 DAC0808 0808
3 8 Resistencias 220 Ω
4 8 Diodos LED
5 1 Amplificador operacional LM741
6 3 Resistencias 470 Ω
7 1 Capacitor 104
8 1 Cable Multipac
Tabla 5
Ilustración 17 Materiales
Arquitectura en VHDL Item Cant Intrumentos
1 1 Protoboard
K. CMOD S6 2 1 Multimetros;analogico y digital
3 1 Fuente de voltaje variable de 0 a 30V A1 : in STD_LOGIC_VECTOR (7 downto 0);
4 2 Generador de señales B1 : in STD_LOGIC_VECTOR (7 downto 0);
5 1 Lote de vaios materales de coneccion Resp : out STD_LOGIC_VECTOR (7 downto 0);
6 1 Osciloscopio Carry : out STD_LOGIC);
Tabla 6 end Sum_Res8bits;
Instrumentos architecture Behavioral of Sum_Res8bits is
COMPONENT Semi_Sum_Res1bits
IV. PROGRAMACIÓN PORT(
Op : IN std_logic;
A. Semi-Suma_resta de un 1 bit a : IN std_logic;
b : IN std_logic;
Resu : OUT std_logic;
library IEEE;
Cout : OUT std_logic
use IEEE.STD_LOGIC_1164.ALL;
);
END COMPONENT;
entity Semi_Sum_Res1bits is
COMPONENT Sum_Res1bits
Port ( Op : in STD_LOGIC;
PORT(
a : in STD_LOGIC;
Cin : IN std_logic;
b : in STD_LOGIC;
Op : IN std_logic;
Resu : out STD_LOGIC;
a : IN std_logic;
Cout : out STD_LOGIC);
b : IN std_logic;
end Semi_Sum_Res1bits;
Resu : OUT std_logic;
Cout : OUT std_logic
architecture Behavioral of Semi_Sum_Res1bits is
);
begin
END COMPONENT;
Resu <= (a xor(Op xor b))xor Op;
signal con: STD_LOGIC_VECTOR (8 downto 1);
Cout <= ((a xor(Op xor b))and Op)or(a and(Op xor b));
signal sin:STD_LOGIC_VECTOR (8 downto 1 );
begin
end Behavioral;
uut0: Semi_Sum_Res1bits PORT MAP ( Op => Op1,
a => a1(0), b => b1(0), Resu => Resp(0), Cout => con(1));
uut1: Sum_Res1bits PORT MAP (Cin => con(1), Op =>
Op1, a => a1(1), b => b1(1), Resu => Resp(1), Cout =>
con(2));
uut2: Sum_Res1bits PORT MAP (Cin => con(2), Op =>
Op1, a => a1(2), b => b1(2), Resu => Resp(2), Cout =>
con(3));
uut3: Sum_Res1bits PORT MAP (Cin => con(3), Op =>
Op1, a => a1(3), b => b1(3), Resu => Resp(3), Cout =>
con(4));
uut4: Sum_Res1bits PORT MAP (Cin => con(4), Op =>
Op1, a => a1(4), b => b1(4), Resu => Resp(4), Cout =>
con(5));
Ilustración 20 uut5: Sum_Res1bits PORT MAP (Cin => con(5), Op =>
Estructura de una semi-suma_resta de 1 bit en ISE
Op1, a => a1(5), b => b1(5), Resu => Resp(5), Cout =>
con(6));
uut6: Sum_Res1bits PORT MAP (Cin => con(6), Op =>
Op1, a => a1(6), b => b1(6), Resu => Resp(6), Cout =>
con(7));
uut7: Sum_Res1bits PORT MAP (Cin => con(7), Op =>
Op1, a => a1(7), b => b1(7), Resu => Resp(7), Cout =>
con(8));
Carry <= (Op1 xor con(8));
end Behavioral;

Ilustración 21
Diagrama de bloques de la semi_suma_resta
B. Suma-Resta completa de 8 bits
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity Sum_Res8bits is
Port ( Op1 : in STD_LOGIC;
Ilustración 22 Ilustración 24
Estructura de una completa-suma_resta de 8 bit en ISE Estructura de un semi-decodificador en ISE

Ilustración 23
Bloques de la suma-resta completa de 8 bits
C. Semi Decodificador Ilustración 25
Bloques del semi-decodificador
library IEEE; D. Decodificador
use IEEE.STD_LOGIC_1164.ALL;

entity Deco is library IEEE;


Port ( Exa : in STD_LOGIC_VECTOR (3 downto 0); use IEEE.STD_LOGIC_1164.ALL;
Num : out STD_LOGIC_VECTOR (6 downto 0));
end Deco;
entity BCD is
architecture Behavioral of Deco is Port ( m : in STD_LOGIC_VECTOR (7 downto 0);
n : out STD_LOGIC_VECTOR (13 downto 0));
begin end BCD;

--********--- architecture Behavioral of BCD is


with Exa Select COMPONENT Deco
PORT(
Num <= "0111111" when "0000", --0 Exa : IN std_logic_vector(3 downto 0);
"0000110" when "0001", --1 Num : OUT std_logic_vector(6 downto 0)
"1011011" when "0010", --2 );
"1001111" when "0011", --3 END COMPONENT;
"1100110" when "0100", --4
"1101101" when "0101", --5
"1111101" when "0110", --6 begin
"0000111" when "0111", --7 uut0: Deco PORT MAP (
"1111111" when "1000", --8 Exa => m(3 downto 0),
"1100111" when "1001", --9 Num => n(6 downto 0)
"1110111" when "1010", --A );
"1111100" when "1011", --b uut1: Deco PORT MAP (
"0111001" when "1100", --C Exa => m(7 downto 4),
"1011110" when "1101", --d Num => n(13 downto 7)
"1111001" when "1110", --E );
"1110001" when "1111", --F
"0000000" when others; --X end Behavioral;
end Behavioral;
Ilustración 26 Ilustración 28
Estructura completa de un decodificador en ISE Estructura de un reloj en ISE

Ilustración 27 Ilustración 29
Bloques del decodificador completo Diagrama Bloques del Reloj
E. Reloj F. Programa completo
library IEEE; library IEEE;
use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_1164.ALL;
entity clk_200Hz is
Port ( entity Pract_8bits is
entrada: in STD_LOGIC; Port ( A2 : in STD_LOGIC_VECTOR (7 downto 0);
reset : in STD_LOGIC; B2 : in STD_LOGIC_VECTOR (7 downto 0);
RELOJ : out STD_LOGIC; S_R : in STD_LOGIC;
RELOJ1 : out STD_LOGIC entrada1 : in STD_LOGIC;
); rest : in STD_LOGIC;
end clk_200Hz; Sal2 : out STD_LOGIC_VECTOR (13 downto 0);
DAC : out STD_LOGIC_VECTOR (7 downto 0);
architecture Behavioral of clk_200Hz is Carry2 : out STD_LOGIC;
signal temporal: STD_LOGIC; RELOJ2 : out STD_LOGIC;
signal contador: integer range 0 to 199 := 0; RELOJ3 : out STD_LOGIC);
begin end Pract_8bits;
divisor_frecuencia: process (reset, entrada) begin architecture Behavioral of Pract_8bits is
if (reset = '1') then COMPONENT Sum_Res8bits
temporal <= '0'; PORT(
contador <= 0; Op1 : IN std_logic;
elsif rising_edge(entrada) then A1 : IN std_logic_vector(7 downto 0);
if (contador = 199) then B1 : IN std_logic_vector(7 downto 0);
temporal <= NOT(temporal); Resp : OUT std_logic_vector(7 downto 0);
contador <= 0; Carry : OUT std_logic
else );
contador <= contador+1; END COMPONENT;
end if; -------******----
end if; COMPONENT BCD
end process; PORT(
RELOJ <= temporal; m : IN std_logic_vector(7 downto 0);
RELOJ1 <= temporal; n : OUT std_logic_vector(13 downto 0)
end Behavioral; );
END COMPONENT;
COMPONENT clk_200Hz
PORT(
entrada : IN std_logic; V. RESULTADOS
reset : IN std_logic;
RELOJ : OUT std_logic; A. ADC
RELOJ1 : out std_logic
);
END COMPONENT;
signal cone: std_logic_vector(7 downto 0);
--signal con: std_logic_vector(7 downto 0);
begin
uut0: Sum_Res8bits PORT MAP (Op1=>S_R, A1=>A2,
B1=>B2, Resp=>cone(7 downto 0), Carry => Carry2);
---*****--
uut: BCD PORT MAP (m => cone(7 downto 0), n => Sal2);
---*****---
uut3: clk_200Hz PORT MAP (entrada => entrada1, reset
=> rest, RELOJ => RELOJ2, RELOJ1=> RELOJ3 );
DAC<=cone(7 downto 0);
end Behavioral; Ilustración 32
Conversor analógico digital (ADC).
Software Proteus 8.5

Ilustración 30
Estructura del programa completo en ISE Ilustración 33
Diseño en la PBD (ADC)

Ilustración 34
Diseño en 3D (ADC)

Ilustración 31
Bloques del programa principal

Ilustración 35
ADC utilizado en la practica
B. DAC C. SEÑALES

Ilustración 36
Conversor digital analógico (DAC).
Software Proteus 8.5

Ilustración 40
Esquema completo del ADC y DAC, complementado con un reloj
(Timer 555)

Ilustración 37
Diseño en la PBD (DAC)

Ilustración 41
Señal reconstruida, luego de ser pasada por el ADC y el DAC.

Ilustración 38
Diseño en 3D (DAC)

Ilustración 39 Ilustración 42
DAC utilizado en la practica Señales obtenidas por el osciloscopio
Ilustración 47
Visualización en el osciloscopio de la suma de señales
Ilustración 43
Suma y resta con visualización en displays

Ilustración 44
Simulación de la suma en binario

Ilustración 48
Ilustración 45 Visualización en el osciloscopio de la resta de señales
Simulación de la resta en binario
VI. CONCLUSIONES
 Los sistemas ADC y DAC son necesarios cuando se
realiza procesamiento digital de señales, permiten el
nexo entre ambos espacios, del mundo real y el digital.
Son muy utilizados en sistemas de instrumentación y
adquisición de datos. Cada convertidor posee sus propias
características y parámetros que lo definen.
 Para convertir señales análogas a digitales o viceversas se
debe entender bien el funcionamiento de los integrados
convertidores ya que depende de esto sus salidas de
señales. La señal de salida por medio de un
convertidor digital-analógico va a depender
siempre de las cantidades de bits es decir a mayor
bits mayor calidad de la señal de salida se obtendrá.
 Para poder reconstruir una señal la frecuencia de
muestreo tiene que ser mucho mayor a la frecuencia que
ingresa al reloj para que se puedan visualizar todos los
bits ya que sin esta condición la señal tiene perdidas y no
se logra una reconstrucción de señal clara.
 El lenguaje VHDL permite el diseño modular y
Ilustración 46 jerárquico de sistemas electrónicos admitiendo incluir
Suma y resta de señales diferentes niveles de abstracción al describir un diseño
digital iniciando con componentes simples que permiten
formar componentes cada vez más complejos hasta llegar
al sistema final.
 Los sumadores son muy importantes no solamente en las
computadoras, sino en muchos tipos de sistemas digitales
en los que se procesan datos numéricos.
 Para realizar la asignación de pines y proceder a la
programación es necesario revisar de manera detallada
los esquemáticos de la tarjeta en la cual se va a proceder
a la programación, en nuestro caso la tarjeta Cmod_S6
 Para realizar el sumador completo de 8 bits, se debe
implementar 8 sumadores en paralelo de 1 bit cada uno,
los mismos que se unirán en una entidad principal. El
acarreo de salida de un sumador se convertirá en el
acarreo de entrada del siguiente sumador.

REFERENCIAS
[1] Cervantes, I. (03 de Abril de 2014). WebElectronica. Obtenido de Sumador-
Restador Binario: http://serverpruebas.com.ar/montajes2/nota47.htm
[2] T. L. Floyd, Fundamentos de Sistemas Digitales, Madrid: PEARSON
EDUCACIÓN S.A, 2006.
[3] Conversores Análogo-Digital y Digital-Análogo: Conceptos Básicos, Juan
I. Huircán, 5 de octubre de 2010.
[4] Tocci, R. J., Widmer, N. S., & Moss, G. L. (2007). Sistemas Digitales.
Principios y Aplicaciones. Mexico: Pearson Educacion S.A.
[5] Foros de Electronica-Asi funciona un ADC0808. (s.f.). Obtenido de
http://www.forosdeelectronica.com/f23/funcio na-adc0808-20781
[6] Pallas, R. (1993). Adquisición y Distribución de Señales. Barcelona:
Marcombo S.A.
[7] Jose Luis Martinez Gonzalez. (2007). Digital, E. Madrid-España: Jacaryan
S.A.
BIOGRAFÍA

Jacqueline C. Guailla Muñoz Nací en Riobamba, curse


mis estudios en el Instituto Tecnológico Superior
Riobamba, hoy en día me encuentro cursando mis estudios
de Ingeniería electrónica y telecomunicaciones en la
Universidad Nacional de Chimborazo.

Karla E. Muyulema Yumiseba tengo 22 años de edad soy


de ciudad de Guaranda estudio en la ciudad de Riobamba
en la Universidad Nacional de Chimborazo curso el cuarto
semestre en la carrera de Ing. Electrónica y
Telecomunicaciones.

Jhon M. Vargas Ochoa nací el 15 de marzo de 1994.En la


provincia de pichincha cantón pedro Vicente Maldonado,
sus estudios los realizo en el colegio Alessandro Volta,
actualmente estudia en la Universidad Nacional de
Chimborazo

Вам также может понравиться