Вы находитесь на странице: 1из 4

Data Sheet

Next Generation
1-Pass Test Synthesis
DFT Compiler

Overview
DFT Compiler — Synopsys next-generation 1-pass design-for-test (DFT) synthesis solution — delivers DFT transparently within
Synopsys’ logical and physical synthesis flow with fastest time to results. DFT Compiler’s integration with Design Compiler®
and Physical Compiler® ensures DFT with seamless optimization of area, power, and timing constraints, and predictable timing
closure of physically optimized scan designs. DFT Compiler enables designers to conduct in-depth testability analysis at the
register transfer level (RTL), to implement the most effective test structures at the hierarchical block level, and, if necessary, to
automatically repair test design rule checking (DRC) violations at the gate-level.

Key Features/Benefits AutoFix


1-Pass DFT Synthesis ■ Enables automatic repair of test DRC violations
■ Shortens the design cycle, eliminating at the gate-level
design iterations and schedule risks Rapid Scan Synthesis™ technology
■ Increases productivity, accounting for ■ Enables rapid implementation of the most effective
testability early in the design test structures at the hierarchical block level
■ Creates STIL protocol file automatically
for input to TetraMAX® ATPG Unified Design Rule Checker
■ Enables RTL or gate-level test DRC and fault
RTL Test DRC coverage validation
■ Ensures fast, accurate testability assurance ■ Links test DRC analysis with Design Vision graphical
at the RT level user interface
■ Delivers feedback on testability violations ■ Uses the same TetraMAX® Automatic Test Pattern
in source-code browser Generation (ATPG) engine that is used for chip-level
■ Enables multimillion-gate capacity ATPG sign-off

Hierarchical scan synthesis using test models


■ Enables multimillion-gate capacity
Schematic showing
Line where violations occured where violations occured

RTL

RTL DFT Compiler


Design Compiler Design Constraints: Physical Compiler
Designer Fix Timing, Area,
Optional
Violation
Report
RTL Test DRC Power, Test Physical
Scan Synthesis
1-Pass Test Synthesis Synthesis
Library
Route
Automatic
DRC Violation Fix
ATPG TetraMax® ATPG

ATPG-Ready Test
Netlist Protocol Verification

Figure 1: 1-Pass DFT Synthesis Flow with RTL TestDRC and AutoFix. Figure 2: DFT GUI showing RTL TestDRC violations and schematic.

1-Pass DFT Synthesis testability early in the design process. The feedback on violations
In Synopsys 1-Pass DFT Synthesis, scan logic is synthesized can be viewed through a browser in the Design Vision graphical
directly from the RTL to testable gates with full optimization of user interface.
synthesis design rules and constraints. This means that all the
necessary test requirements have been specified prior to the A majority of rules checked by RTL TestDRC are pre-scan DRCs
synthesis process. It creates a gate-level implementation that is that comprehensively cover the following set of violations,
fully scannable and meets all design constraints and process
■ Violations that prevent scan insertion (e.g., uncontrollable clock
technology rules, including test. The final design that comes out
or asyn-chronous set/reset to a flip-flop)
of synthesis is “ATPG-ready” with all test-logic verified and scan-
■ Violations that prevent data capture (e.g., clock signal drives
design rules checked, leading to very high and predictable test
data pin of flip- flop)
coverage results. The implementation of DFT directly within the
■ Violations that reduce fault coverage (e.g., combinational
synthesis environment allows problems to be found and fixed
feedback loops)
earlier in the design cycle, thus avoiding ‘schedule-killing’ design
flow iterations. DFT Compiler also generates the Test protocol in
STIL format that feeds directly into TetraMAX ATPG.
AutoFix
While RTL TestDRC enables designers to identify violations at
RTL TestDRC
the RT level, the designer has also the option to let the AutoFix
With traditional design methodologies, test-related problems
capability fix these violations at the gate level during the synthesis
might not show up until late in the design cycle. Fixing testability
stage, while meeting timing constraints. AutoFix focuses primarily
violations at the gate-level negatively impacts overall design
on the controllability of clocks and asynchronous set/reset signals,
productivity.
since these are some of the most common testability problems.
RTL TestDRC is designed to enable the designer create “test- After DRC violations, such as lack of controllability of clocks and
friendly” RTL that can then be easily synthesized in the asynchronous set and reset signals are detected, the designer
uses the AutoFix capability to automatically insert test logic at the
1-Pass DFT synthesis environment. The primary function of RTL gate level to fix these violations. It ensures that the netlist is test-
TestDRC is to provide feedback on the testability of the design able and ready for ATPG. Since AutoFix is integrated within
during the pre-synthesis stage. The module designer invokes the 1-Pass DFT synthesis, the testability fixes have minimal or no
RTL TestDRC feature on the RTL module prior to synthesis to impact on the overall timing and area constraints of the design.
verify a comprehensive set of pre-scan DRC rules. The designer The figure below shows an example circuit with uncontrollable
has the option to fix the violations in the RTL source code based clock and asynchronous reset inputs to a bank of flip-flops.
on the feedback. This enables the designer to account for RTL
Address
RTL

RTL DFT Compiler DFT Compiler


Physical Compiler RTL Test DRC Clock
Physical
FigureScan Synthesis
3: Automatic repair of scan rule violations Synthesis / Quick Testmod
Scan Replacement

RTL Route
Address, Data

D Q
Gate-Level DRC
DFT Compiler D Q
CP
RTL Test DRC Clock Embedded CP
Memory
Synthesis / Quick
Scan Replacement ATPG
Testmode
TetraMax® ATPG
Testmode
Rapid Scan Stitching
Gate-Level DRC D Q D Q

CP CP

Verification
Rapid Scan Stitching

TetraMAX ATPG
D

CP
Q
TetraMAX ATPG
Figure 4: Shadow Logic DFT for an Embedded Memory. Figure 5: Rapid Scan Synthesis Flow.

These are gross DRC violations, which will drastically reduce the Hierarchical Scan Synthesis with Test Models
test coverage. To handle the test synthesis of large designs at the chip level some
level of abstraction is required for the System/Chip Integrator to
AutoFix has also been extended to support the testing of shadow- make it possible to implement as well as reduce design time by
logic around embedded memory modules. Using this capability, reducing iterations to achieve both timing and DFT closure. By
called Shadow-LogicDFT, the designer can synthesize testability bringing key technology to abstract the DFT information in the
logic at the memory module I/O to enhance the controllability and form of a test-model along with timing and placement information
observability of the shadow-logic around the embedded memory in the logical and physical synthesis domains helps the designer
module. The DFT driven placement capability in Physical Compiler make fundamental decisions to architect the test structures very
places the newly inserted testability logic closer to the respective early on and enables quick hierarchical test implementation of
ports to minimize congestion. multi-million gate designs that require lesser memory and significantly
improves run-time performance.
Rapid Scan Synthesis
Rapid Scan Synthesis technology can be used where the full Synopsys has pioneered to take advantage of the proposed CTL
optimization capabilities of 1-Pass DFT Synthesis is not desired standard to abstract scan and other test related information into a
to implement a quick prototype of the scan architecture. test-model, which is created during scan synthesis with DFT
Compiler and is completely transparent to the user [Figure: 6].
This capability enables the rapid implementation of scan chains
The user can then write out the test-model, which has only the test
and DFT logic to create correct-by-construction scan chains both
relevant information along with the full-gate netlist information as
in the logical as well as physical environments to generate a scan
is done in a typical bottom-up flow. At the top-level, only test-models
netlist to be handed over to ATPG for an early estimate of test
are read into memory along with the top-level netlist and scan
coverage or test pattern count.
stitching is performed without having to read in all the gate-level
information of the sub-modules. This capability to read in test-
Rapid San Synthesis enables faster turn around times both for
models and perform a top-level scan DRC along with balanced
scan replacement as well as for stitching scan chains. The other
scan-stitching significantly improves the capacity as well as
benefits of this technology is that the existing logic is not optimized,
performance of large designs.
i.e. upsized or downsized to meet timing, area or physical constraints,
which is sometimes desired since some of the optimization if
preferred in the physical design during place and route.
RTL

RTL DFT Compiler


Design Compiler Design Constraints: Physical Compiler
x Timing, Area,
Violation
Report
RTL Test DRC Power, Test Physical
Scan Synthesis
1-Pass Test Synthesis Synthesis
Library
Route
Automatic
DRC Violation Fix
ATPG TetraMax® ATPG

ATPG-Ready Test
Netlist Protocol Verification

Figure 6: Hierarchical Scan Synthesis with Test Models. Figure 7: The Integrated DFT Compiler and Physical Compiler Flow.

Integration with Physical Compiler for 1-Pass Scan Ordering This capability also enhances the seamless flow between DFT
DFT Compiler is also now integrated into Physical Compiler to Compiler and TetraMAX ATPG by delivering an optimized scan
provide 1-Pass scan chain positioning and ordering with minimal ordered netlist to the ATPG tool, thereby completely eliminating
additions to the existing DFT flow. This means that Physical the need for reordering ATPG vectors (see figure7).
Compiler will ensure that each scan flop is connected to its
nearest neighbor based on location. DFT Compiler uses the Netlist/RTL Interface
physical placement information and employs a constraint-driven DFT Compiler supports the popular industry standards Verilog
scan-ordering algorithm to determine the scan chain order. and VHDL

The other advantage of using DFT compiler within Physical


Compiler is that scan chains are also partitioned based on
physical information. The tight integration of scan synthesis
within Physical Compiler enables designers to achieve,

■ Faster timing closure with testable designs


■ Decrease overall routing congestion
■ Minimized timing violations related to scan routing

The other benefits of implementing DFT in the physical domain


with DFT Compiler enables new logic introduced for test like lock-
up latches, wrapper logic etc to be placed closer to their driver
cells to minimize congestion and improve routability.

700 East Middlefield Road, Mountain View, CA 94043 T 650 962 5000 www.synopsys.com
Synopsys, the Synopsys logo and PrimeTime are registered trademarks and Physical Compiler and Design Compiler are trademarks of Synopsys, Inc.
All other products or service names mentioned herein are trademarks of their respective holders and should be treated as such. Printed in the U.S.A.
©2004 Synopsys, Inc. 09/04.KF.04-12701

Вам также может понравиться