Вы находитесь на странице: 1из 2

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS Ciclo Académico 2013 - I

FACULTAD DE INGENIERÍA ELÉCTRONICA Y ELECTRICA Fecha: 19-07-2013


ESCUELAS ACADEMICO PROFESIONALES Duración: 2 Horas

CURSO: ________DISEÑO DIGITAL__________________________________ COD. CURSO:

TIPO DE PRUEBA: PRACTICA No. Ex. PARCIAL EX. FINAL EX. SUST. X

1. PREGUNTA 1: (4 puntos) Implementar en VHDL un detector para la siguiente secuencia:


011101. Considere la ocurrencia del traslape. Utilice una MEF de Mealy.

2. PREGUNTA 2: (3 puntos) Explique cómo se implementan los circuitos digitales en los


FPGAs.

3. PREGUNTA 3: (4 puntos) Implemente un divisor de frecuencia de 25MHz a 1KHz con un


ciclo de trabajo del 33%.

4. PREGUNTA 4: (4 puntos) Utilizando el componente LPM_COUNTER implementar un


contador modulo – 10 con control de cuenta UP (0: ascendente, 1: descendente) con una
señal de RESET y un habilitador de cuenta llamado ENABLE.
5. PREGUNTA 5 (5 puntos):

Вам также может понравиться