Вы находитесь на странице: 1из 625

Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

I
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

I
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

PROJECT GROUP NAMES

1. Ahmed Gamal Khodeir.


2. Ahmed Mohamed Abd El Samad siad.
3. Amr Mohamed Mohamed Mashaal.
4. Ammar Mohamed Ibrahim El Korfoly.
5. Esraa Mohamed El Sayed.
6. Islam Mohamed Farid El Kady.
7. Karim Ahmed Samy Banawan.
8. Karim Ahmed Mohamed Nour.
9. Karim Hassan Mohamed El Gamasy.
10. Karim Mohamed Amin El Deeb.
11. Karim Mohamed Saad Abd El Dayem.
12. Karim Moussa Mohamed Abdellaa.
13. Karim Tarek Ahmed El Zanfaly.
14. Mohamed Ahmed Saad.
15. Mohamed Samir Helmy.
16. Mohamed Wagdy Ibrahim .
17. Mostafa Ahmed Abd El Hady.
18. Mostafa Mohamed Abdallah.
19. Omar Abd El Fattah Saad El Komy.

II
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Acknowledgements
This book was written during our fourth year time at the Department of
Communications Engineering at the University of Alexandria and basically
describes our work and study in our graduation project. Certainly, it could not have
been written without the support and patience of many people.
Therefore, we are obliged to everyone who assisted us during that time.

In particular, we want to express our gratitude to our supervisor Professor Doctor


\ Ibrahim Adel Galieb for all the valuable advice, encouragement, and
discussions. The opportunity to work with him was a precious
experience, he exerts all the effort and time to help us to learn, search, and do our
best in this project.

Also we want to thank Our Professors in the communication department, who


made their best to teach us the soul of Communication Engineering,
Specially Prof. Dr.\Ahmed sultan who accorded us with all the help and support
whenever we asked, and our deep thanks to teacher assistant \ Ahmed magdy who
was our beacon through our project journey.

Most of all, we thank Our beloved families for their immeasurable support,
encouragement, and patience while working on this project. Without their love and
understanding, this book and our project would not have come to fruition.

At the end and the beginning, we would be remiss if we fail to express our
profound gratitude to Allah who always we asking for his assistance and we owing
to him with any success and progress we made in our life.

III
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Preface
Mobile radio communications are evolving from pure telephony systems to multimedia
platforms offering a variety of services ranging from simple file transfers, audio and video
streaming, to interactive applications and positioning tasks. Naturally, these services have
different constraints concerning data rate, delay, and reliability (quality-of-service (QoS)).
Hence, future mobile radio systems have to provide a large flexibility and scalability to match
these heterogeneous requirements. Additionally, bandwidth has become an extremely valuable
resource emphasizing the need for transmission schemes with high spectral efficiency. To cope
with these challenges, new systems have been deployed to provide very high data rates applying
the most advanced technologies in the wireless communication such as OFDM, MIMO, and
STC. One of those systems is the WiMAX which is the most promising system to achieve
command performance.

The birth of OFDM, MIMO, and STC technologies is the direct result of the long-standing
struggle to achieve very high data rates without compromising the quality of the reception.
Indeed this has been the case since the inception of wireless communications.
A binding constraint in the evolution of very high data rate systems is the stringent limitation
imposed on the available spectrum. This, in turn, has given rise to more efficient signaling
techniques like OFDM which is so efficient in combating frequency selective fading and
intersymbol interference.
Recent studies have shown that multiple antennas yield substantial increases in channel capacity.
Toward this end, multiple-input multiple output (MIMO) systems have been constructed
comprising multiple antenna arrays at both ends of the wireless link. Space-time coding, as the
name suggests, involves coding across space and time and is aimed at approaching the capacity
limits of MIMO channels.
Today space-time coding and MIMO OFDM systems are widely regarded as the most likely
candidates for futuristic very high data rate systems and are already being designed by many
companies for the very high data rate market.

The demand for broadband services is growing exponentially. Traditional solutions that provide
high-speed broadband access use wired access technologies, such as traditional cable, digital
subscriber line, Ethernet, and fiber optic. It is extremely difficult and expensive for carriers to
build and maintain wired networks, especially in rural and remote areas. Carriers are unwilling to
install the necessary equipment in these areas because of little profit and potential. WiMAX will
revolutionize broadband communications in the developed world and bridge the digital divide in
developing countries.
Affordable wireless broadband access for all is very important for a knowledge-based economy
and society. WiMAX will provide affordable wireless broadband access for all, improving
quality of life thereby leading to economic empowerment.
The main aspects of this project are:
1) Investigating and simulating the performance of the new technologies that are deployed
in the most of the modern wireless communication systems such as OFDM (Orthogonal

IV
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Frequency Division Multiplexing), MIMO (Multiple Input Multiple Output) and STC
(Space Time Coding).
2) Investigating and simulating the performance of the WiMAX as a salient wireless
communication system.
3) The hardware implementation of the WiMAX system and the optimization techniques
used to enhance the hardware performance.

This project have been divided into two stages, the first stage was the background stage where
the fundamentals of wireless communications had been investigated, digital communication
principles, wireless channels problems and channel coding concepts have been grasped very well
to provide us with a robust knowledge about all the essentials required to understand and deal
with any advanced system.

The second stage which is the intensive study and work stage, the advanced wireless
technologies like OFDM, MIMO, and STC had been investigated in details by MATLAB
simulations with an interactive GUI. Then the WiMAX system performance had been tested
through the simulations of the uplink and downlinks of the system, investigating the parameter
variations using MATLAB platform.

To address the last target of the project, the physical layer of the WiMAX system have been
implemented on a digital signal processing kit and the hardware optimization techniques had
been taken into consideration to reach to the best performance of the hardware. Programming of
the kit was in the C language to achieve the maximum functionality of it.

V
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Structure of Book
The book is organized into three parts with a total of seven chapters. Part I provides a robust
background on wireless communication through the investigation of digital communications
fundamentals, wireless channels problems, and channel coding. Part II presents a collection of
rigorous tutorials covering the technical and theoretical foundations of the new technologies
applied in the modern wireless communication system to achieve very high data rates such as
OFDM, MIMO, and STC. In Part III a detailed exposition of the WiMAX standard is presented,
along with a quantitative analysis of its performance using MATLAB simulation, accompany
that explanation of the real implementation of the WiMAX system on a digital signal processing
kit.

Part I
Chapter 1: Digital Modulation techniques
The book starts with a comprehensive summary to all the pass band digital modulation
techniques, as all the modern communication systems depend on digital modulation for their
command performance, so they were a subject of detailed inspection. The modulators and
demodulators block diagrams are introduced and the performance analysis of each modulation
scheme is considered through the expressions and curves of probability of errors and bit error
rate. Then the chapter concludes with a brief study of the synchronization, carrier and clock
recovery techniques.

Chapter 2: Channel Problems and Modeling for Wireless Communication


Systems.
Chapter 2 deals with the problems and impairments that degenerate the performance
of the wireless channels. The chapter begins with the noise and interference effects on the
channel then continues with the analysis of large scale fading and the mathematical and
statistical models the describe this phenomena, after that the small scale fading is introduced in
details as it is very detrimental to signal transmission and it is the main problem in the wireless
channels. Then the parameters and classifications of the small scale fading channels are listed,
then the chapter ended with details of the main characteristics of the channels and the
mathematical and empirical models that deal with the multipath fading channels.

Chapter 3: Channel Coding for Wireless Communication Systems


The third chapter gives a short survey of selected channel coding topics that become relevant in
subsequent chapters. Starting with a basic description of linear block and convolutional codes,
and ending with the Reed Solomon codes. The chapter gives the properties of each code and the
advantages and disadvantages of this code then the decoding process is explained in details as
several decoding algorithms are present then finally the REED Solomon codes are concisely
examined and there encoding and decoding techniques are investigated.

VI
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Part II
Chapter 4: Orthogonal Frequency Division Multiplexing (OFDM)
Chapter four is devoted to OFDM. First, the underlying ideas and the basic principles are
explained. Then implementation aspects are discussed as well as channel estimation and
synchronization aspects. Finally the simulation results are present and discussed in details. The
chapter gives a general overview on the OFDM technique, starting from the orthogonality
principle then the ideas of implementation of the OFDM based system
After that the FFT and IFFT algorithms which give the rise of the OFDM technology are
described, toward the end of the chapter, the problems and disadvantages of the OFDM
technique are illustrated in details and the chapter‘s conclusion explains the synchronization and
channel estimation techniques used in the OFDM systems.

Chapter 5: Space Time Codes and MIMO Multi-Antenna Systems


Chapter five covers several topics related to point-to-point communications with multiple
antennas. It starts with diversity concepts such as receive and transmit diversity next; the
principle of spatial multiplexing is explained. Then the different diversity combining techniques
are investigated and their performance is illustrated. After that the MIMO systems concepts and
capacities are explained in details, the chapter provides a comprehensive view of the capacity of
different multi-antenna configurations and the focus is on the MIMO systems where MIMO
capacity is demonstrated, the parameters that enhance the performance of the MIMO systems are
studied and the problems that degenerate the performance are analyzed using MATLAB
simulations. Then the chapter moves to a new aspect which is the layered space time codes
where the encoders like DBLAST and VBLAST combined with the decoders are explained and
simulated and the channel estimation algorithms are examined. Finally the chapter investigates
the Alamouti‘s method which provides a simple way for perfect transmit diversity and the
generalized scheme which is refer to as the space time block codes

Part III
Chapter 6: WiMAX PHY and MAC
This chapter describes the details of the physical and media access control layers of the WiMAX
standard and can be viewed as a distilled summary of the far more lengthy IEEE 802.16e-2005
and IEEE 802.16-2004 specifications. Sufficient details of these layers
of WiMAX are provided in this chapter to establish a solid understanding of the salient features
and capabilities of WiMAX added with the complete WiMAX system build computer
simulation models for performance analysis of up and down links.

VII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Chapter 7: Implementation of WiMAX system using DSP kit


In this chapter the implementation of WiMAX system is introduced. The chapter begins with an
overview about the DSP kit that had been used and the kit peripherals , Then continues to give a
general overview about the DSP software ―‖code compose v.3.1”, Then the steps of creating
and running project and also using the kit is introduced. This is followed by an overview on
using SIMULINK with the C6000 family. Then a detailed explanation for each block
implementation, the run results and also problems that are faced are presented. The last part is to
present the whole implemented WiMAX system and its applications, general problems, Future
thoughts and how to achieve them.

VIII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Contents
Chapter One:Digital Modulation techniques.................................................................................... 3
1.1 What Is The Modulation? ..................................................................................................... 3
1.1.1 Why we modulate signals?.................................................................................................. 3
1.1.2 Why Digital? (Analog versus Digital): .............................................................................. 3
1.1.3 Factors that influence the choice of digital modulation: ................................................... 5
1.1.4 The performance of a modulation scheme: ........................................................................ 5
1.1.4.1 Power efficiency ηP: .................................................................................................. 5
1.1.4.2 Bandwidth efficiency (Spectral efficiency) ηB: ........................................................ 5
1.1.4.3 Bandwidth efficiency, Power efficiency Trade-off: ................................................... 6
1.1.4.4 System Complexity....................................................................................................... 6
1.1.4.5 Other considerations ..................................................................................................... 6
1.1.6 Types of modulation schemes in different advanced digital communication systems: .. 8
1.1.7 Geometric representation of Modulated signal (Constellation diagram). ........................ 8
1.1.7.1 The Basis signal conditions .......................................................................................... 8
1.1.7.2 Constellation diagram interpretation ........................................................................... 9
1.1.7.3 Probability of error and constellation diagram ......................................................... 10
1.2 LINE CODES ........................................................................................................................... 10
1.2.1 Unipolar nonreturn-to-zero (NRZ) signaling ................................................................... 10
1.2.2 Polar nonreturn-to-zero (NRZ) signaling ......................................................................... 11
1.2.3 Unipolar return-to-zero (RZ) signaling ............................................................................ 11
1.2.4 Bipolar return-to-zero (BRZ) signaling ............................................................................ 13
1.2.5 Split-phase (Manchester code) .......................................................................................... 13
1.2.6 Differential encoding ......................................................................................................... 13
1.3 PULSE SHAPING TECHNIQUES ........................................................................................ 14
1.3.1 Intersymbol Interference (ISI) .......................................................................................... 14
1.3.2 Nyquist criterion for Distortion less Base Band Binary Transmission .......................... 15
1.3.3 Raised Cosine Filter........................................................................................................... 17
1.3.4 Gaussian Filter ................................................................................................................... 18
1.4 AMPLITUDE-SHIFT KEYING (ASK) MODULATION .................................................... 19

IX
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.4.1 Introduction ........................................................................................................................ 19


1.4.2 Binary Amplitude-Shift Keying (BASK) ......................................................................... 20
1.4.3 M-ary Amplitude-Shift Keying (M-ASK) ....................................................................... 21
1.4.4 Probability of error: ........................................................................................................... 23
1.5 PHASE SHIFT KEYING MODULATION TECHNIQUES ................................................ 23
1.5.1 Binary phase shift keying (BPSK):- ................................................................................. 24
1.5.1.1 BPSK Signal equation: ............................................................................................... 24
1.5.1.2 Time domain ............................................................................................................... 24
1.5.1.3 Spectrum & Bandwidth .............................................................................................. 24
1.5.1.4 Constellation diagram ................................................................................................. 25
1.5.1.5 Modulator of BPSK .................................................................................................... 26
1.5.1.6 Demodulator of BPSK:- ............................................................................................. 26
1.5.1.7 Power sufficiency & bandwidth efficiency of BPSK:- ............................................ 28
1.5.1.8 Probability of error of BPSK:- ................................................................................... 28
1.5.2 Differential phase shift keying (DPSK):- ......................................................................... 28
1.5.2.1 Differential encoding procedure: ............................................................................... 28
1.5.2.2 Modulator of DPSK:................................................................................................... 29
1.5.2.3 Demodulator of DPSK:- ................................................................................................. 29
1.5.2.4 Example:...................................................................................................................... 30
1.5.2.5 Advantages & disadvantages:- ................................................................................... 30
1.5.2.6 Power spectral density: ............................................................................................... 31
1.5.2.7 Probability of error:- ................................................................................................... 31
1.5.3 M-ary phase shift keying (M‘ary PSK/MPSK) ............................................................... 31
1.5.3.1 Signal Equation:- ........................................................................................................ 32
1.5.3.2 Constellation diagram:- .............................................................................................. 32
1.5.3.3 Probability of error: .................................................................................................... 33
1.5.3.4 Power spectra of M-ary PSK:- ................................................................................... 33
1.5.3.5 Power & BW efficiency:- ........................................................................................... 34
1.5.3.6 Modulator:- ................................................................................................................. 35
1.5.3.7 Demodulator:- ............................................................................................................. 36
1.5.4 Quadrature phase shift keying (QPSK) ............................................................................ 36

X
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.5.4.1 Signal Equation ........................................................................................................... 37


1.5.4.2 Constellation Diagram and probability of error ........................................................ 37
1.5.4.3 Spectrum and bandwidth of QPSK signal:................................................................ 38
1.5.4.4 QPSK Transmitter: ..................................................................................................... 39
1.5.4.5 QPSK Receiver: .......................................................................................................... 39
1.5.5 Offset Quadrature phase shift keying (OQPSK).............................................................. 40
1.5.6 π / 4–QPSK ........................................................................................................................ 42
1.5.6.1 Example ....................................................................................................................... 44
1.5.6.2 π/4 QPSK Transmission Techniques ......................................................................... 44
1.5.6.3 π/4 QPSK Detection Techniques ............................................................................... 46
1.5.6.3.1 Baseband Differential Detection ......................................................................... 46
1.5.6.3.2 IF Differential Detector ....................................................................................... 47
1.5.6.3.3 FM Discriminator ................................................................................................ 48
1.6 FREQUENCY SHIFT KEYING FSK .................................................................................... 48
1.6.1 Binary frequency shift keying (BFSK) ............................................................................ 49
1.6.1.1 Binary FSK Modulator ............................................................................................... 50
1.6.1.2 Power Spectral Density .............................................................................................. 52
1.6.1.3 Coherent demodulation and error performance ........................................................ 52
1.6.1.4 Noncoherent demodulation and error performance .................................................. 53
1.6.2 M-ARY FSK ...................................................................................................................... 54
1.6.3 Minimum shift keying MSK ............................................................................................ 56
1.6.4 Gaussian minimum shift keying GMSK .......................................................................... 60
1.7 QUADRATURE AMPLITUDE MODULATION (QAM) ................................................... 63
1.7.1 Types of QAM: .................................................................................................................. 63
1.7.1.1 Circular QAM: ............................................................................................................ 63
1.7.1.2 Rectangular QAM:...................................................................................................... 64
1.7.1.3 Circular or Rectangular 8-QAM? .............................................................................. 64
1.7.2 Probability of symbol error calculations: ......................................................................... 65
1.7.3 QAM modulation ............................................................................................................... 66
1.7.4 QAM demodulation: ............................................................................................................. 66
1.7.5 BW efficiency: ................................................................................................................... 67

XI
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.8 SYNCHRONIZATION ............................................................................................................ 67


1.8.1 Carrier Recovery and Symbol Synchronization in Signal Demodulation ..................... 68
1.8.2 Carrier Recovery:............................................................................................................... 70
1.8.3 Clock Recovery.................................................................................................................. 72
1.9 COMPARISON BETWEEN DIGITAL MODULATION SCHEMES ................................ 74
1.9 DISCUSSION OF THE ABOVE MODULATION SCHEMES: ......................................... 75
1.10 SIMULATION RESULTS USING MATLAB: ................................................................... 76
1.10.1 BER tool of communication blockset: ........................................................................... 76
1.10.1.1 Phase shift keying (PSK) modulation scheme simulation ..................................... 78
1.10.1.2 Frequency shift keying simulation (FSK) simulation ............................................ 80
1.10.1.3 QAM simulation ....................................................................................................... 82
1.10.1.4 Comparative simulation of various modulation schemes ...................................... 83
1.10.2 SIMULINK simulation & constellation diagram .......................................................... 85
1.10.2.1 The SIMULINK model used in evaluating BER .................................................... 86
1.10.3.2 Sample runs with different Signal to noise ratios ................................................... 87
1.10.4 M-files commands ........................................................................................................... 88
1.10.3 Our GUI for modulation: ................................................................................................ 90
Chapter Two: Channel Problems and Modeling for Wireless Communication Systems .......... 94
2.1 Introduction ............................................................................................................................... 94
2.1.1 Noise in the wireless channel ............................................................................................ 94
2.1.2 Interference in the wireless channel ................................................................................. 95
2.1.3 Dispersion in the wireless channel ................................................................................... 95
2.1.4 Path Loss ............................................................................................................................ 95
2.1.5 Shadowing .......................................................................................................................... 95
2.2 Large Scale Fading ................................................................................................................... 96
2.2.1 Introduction ........................................................................................................................ 96
2.2.2 Path loss .............................................................................................................................. 96
2.2.2.1Free-Space Path Loss................................................................................................... 96
2.2.2.2 Ray tracing .................................................................................................................. 97
2.2.2.3 Simplified Path Loss Model .....................................................................................106
2.2.3 Empirical Path Loss Models ...........................................................................................108

XII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.2.3.1 Okumura‘s Model .....................................................................................................108


2.2.3.2 Hata Model ................................................................................................................108
2.2.3.3 COST-231 Extension to Hata Model ......................................................................109
2.2.3.4 Walfisch/Bertoni Model ...........................................................................................109
2.2.3.5 Piecewise Linear (Multi-Slope) Model ...................................................................110
2.2.3.6 Indoor Propagation Models ......................................................................................111
2.2.4 Shadow Fading.................................................................................................................112
2.2.5 Combined Path Loss and Shadowing .............................................................................115
2.2.6 Outage Probability under Path Loss & Shadowing .......................................................117
2.2.7 Cell Coverage Area .........................................................................................................118
2.3 Small Scale Fading .................................................................................................................120
2.3.1 Introduction ......................................................................................................................120
2.3.2 Small Scale Fading Concepts..........................................................................................120
2.3.2.1 Definitions .................................................................................................................120
2.3.2.2 How fading happens .................................................................................................120
2.3.2.3 Factors influencing small scale fading ....................................................................122
2.3.2.4 Doppler shift .............................................................................................................122
2.3.3 Small scale fading channel modeling (impulse response model) .................................123
2.3.3.1 Definitions .................................................................................................................123
2.3.3.2 Why it is important? .................................................................................................124
2.3.3.3 Which kind of models and why it is chosen?..........................................................124
2.3.3.4 Proof of the multipath channel can be modeled as linear filter with a time varying
impulse response .......................................................................................................................124
2.3.3.5 The discrete impulse response model ......................................................................125
2.3.3.5.1 The concept of excess delay ..............................................................................126
2.3.3.5.2 Benefits of quantizing the delay bins: ..............................................................126
2.3.4 Power delay profile:.........................................................................................................128
2.3.5 Parameters of mobile multipath channels ......................................................................128
2.3.5.1 Time dispersion parameters .........................................................................................130
2.3.5.2 Relation between power delay profile and spectral response ....................................132
2.3.5.3 The coherence bandwidth.........................................................................................133

XIII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.3.5.4 Doppler Spread and coherence time. ...........................................................................133


2.3.6 Classifications of Small Scale Fading Channels............................................................135
2.3.6.1 Fading effects due to multipath Time delay spread ................................................136
2.3.6.1.1Flat fading channels ................................................................................................136
2.3.6.1.2Frequency selective fading channels .....................................................................137
2.3.6.2 Fading effects due to Doppler spread ......................................................................139
2.3.6.2.1 Fast fading channel ............................................................................................139
2.3.6.2.2 Slow fading channel ..........................................................................................139
2.3.6.3 Relation between flat, frequency selective fading channels with fast, slow fading
channels .................................................................................................................................140
2.3.7 Modeling of Flat Fading Channels .................................................................................142
2.3.7.1 Introduction ...............................................................................................................142
2.3.7.2 Distribution of Flat Fading Channel ............................................................................142
2.3.7.2.1 One-sided Gaussian. ..........................................................................................142
2.3.7.2.2 Rayleigh distribution .........................................................................................143
2.3.7.2.3 Ricean Fading Distribution ...............................................................................143
2.3.7.2.4 More General Distribution: Nakagami-m Fading ............................................144
2.3.7.3 Statistical Models for Multipath Fading Channels .................................................145
2.3.7.3.1 Clarke's Model for Flat Fading .........................................................................146
2.3.7.3.2 Spectral Shape Due to Doppler Spread in Clarke's Model..............................147
2.4 Empirical Channel Models.....................................................................................................150
2.4.1 3GPP .................................................................................................................................150
Chapter Three: Channel Coding for Wireless Communication Systems ..................................156
3.1 Introduction: ............................................................................................................................156
3.1.1Channel Coding In Communication System ...................................................................156
3.1.2 Coding principle: .............................................................................................................156
3.1.3 Why Use Error-Correction Coding? ...............................................................................157
3.1.4 Trade- off..........................................................................................................................157
3.1.4.1 Trade-off 1st: Error performance versus Band width: ...........................................157
3.1.4.2 Trade-off 2nd: Power versus Bandwidth: ...............................................................158
3.1.4.3 Coding Gain: .............................................................................................................158

XIV
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.1.4.4 Trade-off 3rd: Data Rate versus Bandwidth: ...........................................................158


3.1.4.5 Difference between Channel and Source Encoding: ..............................................158
3.1.4.6 Block Codes vs. Convolutional codes .....................................................................158
3.1.4.7 Minimum Distance Considerations .........................................................................159
3.1.8 CODING Types: ..............................................................................................................161
3.1.8.1 Concept of Block coding ..........................................................................................161
3.1.8.2 CONCEPT OF CONVOLUTIONAL CODING: ...............................................162
3.1.9 ERROR CONTROL TECHNIQUES:............................................................................162
3.1.9.1 FORWARD ERROR CORRECTION (FEC): ................................................................162
3.1.9.2 Automatic Repeat request (ARQ):...........................................................................163
3.1.9.3 HYBRID ARQ (ARQ+FEC): ...................................................................................163
3.1.10 Decoding: .......................................................................................................................163
3.1.10.1 Hard-decision decoding: ........................................................................................164
3.1.10.2 Soft-decision decoding: ..........................................................................................164
3.2 BLOCK CODING ..................................................................................................................165
3.2.1 Principle............................................................................................................................165
3.3.2 Detection: .........................................................................................................................165
3.2.3 Definitions ........................................................................................................................165
3.2.4 Memory-Less Channels ...................................................................................................166
3.2.4.1 Types: ........................................................................................................................166
3.2.5 Modulo-2 arithmetic operations......................................................................................168
3.2.6 Linear Block Codes .........................................................................................................168
3.2.6.1 Design Equations: .....................................................................................................169
3.2.7 Properties:.........................................................................................................................171
3.2.8 Syndrome: Definition and Properties .............................................................................172
3.2.8.1The properties of syndrome ......................................................................................172
3.2.8.2 Syndrome Decoding using standard arrays .............................................................173
3.2.9 Examples on Linear Block codes ...................................................................................174
3.2.9.1 EXAMPLE (1): Repetition Codes ..........................................................................174
3.2.9.2 EXAMPLE (1): Hamming Codes ...........................................................................175
3.3 Cyclic Coding .........................................................................................................................178

XV
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.3.1 Introduction ......................................................................................................................178


3.3.2 Generator polynomial: .....................................................................................................179
3.3.3 Parity-Check Polynomial:- ..............................................................................................180
3.3.4 Generator and Parity-Check Matrices: ...........................................................................181
3.3.5 Encoder for Cyclic Codes: ..............................................................................................181
3.3.5.1 Calculation of the Syndrome: ..................................................................................182
3.3.5.2 The syndrome polynomial properties:- ...................................................................183
3.3.6 Examples of cyclic codes: ...............................................................................................184
3.3.6.1 Cyclic -redundancy check codes:- ...........................................................................184
3.3.6.2 16-bit CRC-CCITTa (USA):- ..................................................................................185
3.3CONVOLUTIONAL ENGODING........................................................................................186
3.4.1 Introduction ......................................................................................................................186
3.3.2 Encoder Structure ............................................................................................................186
3.4.3 Connection Representation: ............................................................................................187
3.4.4 CONVOLUTIONAL ENCOOER REPRESENTATION.............................................187
3.4.4.1 Impulse Response of the Encoder: ..........................................................................188
4.4.4.2Polynomial Representation:
................................................................................................................................................188
3.4.4.3 State Representation: ................................................................................................189
3.4.4.4 The tree Diagram: .....................................................................................................190
3.4.4.5The Trellis Diagram: .................................................................................................191
3.4.5 Formulation of the Convolutional decoding Problem ...................................................193
3.4.5.1Maximum Likelihood Decoding:..............................................................................193
3.4.6 Channel Models: Hard versus Soft Decisions ...............................................................194
3.4.6.1 Binary Symmetric Channel ......................................................................................195
3.4.7Properties of convolutional codes:...................................................................................196
3.4.7.1 Distance Properties of convolutional codes ............................................................196
3.4.7.1.1Error correcting capability of the convolutional code ..........................................197
3.4.7.2 Systematic and nonsystematic convolutional codes ...............................................197
3.4.7.3 Coding gain: .............................................................................................................197
3.5 The Viterbi Decoding Algorithm: .........................................................................................199

XVI
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.5.1 Introduction: .....................................................................................................................199


3.5.2 An Example of Viterbi decoding: ...................................................................................200
3.5.3Decoder Implementation ..................................................................................................204
3.5.3.1 Add-Compare-Select Computation .........................................................................205
3.5.3.2 Add-Compare-Select as seen on the Trellis ............................................................205
3.6REED SOLOMON CODES ...................................................................................................207
3.6.1 Introduction ......................................................................................................................207
3.6.2 R-S Performance as a function of block size and redundancy: ....................................208
3.6.3 FINITE FIELD CONCEPT: ...........................................................................................209
3.6.4 R-S ENCODING: ............................................................................................................212
3.6.4 Encoding in systematic form: .........................................................................................212
3.6.4.1 LFSR Encoder for a (7, 3) R-S code: ......................................................................213
3.6.5 R-S DECODING: ............................................................................................................214
3.6.6 SYNDROME COMPUTATION: ...................................................................................215
3.6.7 Error Detection and Correction .......................................................................................215
3.6.7.1 ERROR LOCATION: ...............................................................................................215
3.6.7.2 ERROR VALUES: ...................................................................................................217
3.6.7.3CORRECTING THE RECEIVED CODE WORD: ................................................218
Chapter Four:Orthogonal Frequency Division Multiplexing (OFDM) ....................................222
4.1 Introduction .............................................................................................................................222
4.1.1 History of OFDM ............................................................................................................222
4.1.2 Systems use OFDM .........................................................................................................223
4.2 Why OFDM ............................................................................................................................223
4.2.1 Time domain analysis ......................................................................................................223
4.2.2 Frequency domain analysis .............................................................................................224
4.3 Orthogonality ..........................................................................................................................226
4.3.1 Inter-symbol interference (ISI) and inter-carrier interference (ICI) .............................226
4.3.1.1 Inter-symbol interference (ISI) ................................................................................226
4.3.1.2 Inter-carrier interference (ICI) .................................................................................226
4.3.2 How to avoid interference ...............................................................................................226
4.3.3 Orthogonality of OFDM..................................................................................................227

XVII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.3.4 Comparing FDM to OFDM ............................................................................................228


4.4 OFDM Implementation ..........................................................................................................228
4.4.1 The first version ...............................................................................................................228
4.4.2 The second version ..........................................................................................................229
4.4.3 Implementation using FFT/IFFT ....................................................................................230
4.4.3.1 Basic Idea ..................................................................................................................230
4.5 FFT / IFFT ..............................................................................................................................232
4.5.1 FFT Algorithm .................................................................................................................232
4.5.2 Example of DFT for N=4: ...............................................................................................232
4.5.3 How fast is FFT?..............................................................................................................235
4.6 Guard Time Insertion and Cyclic Prefix ...............................................................................235
4.6.1 Guard Time Insertion ......................................................................................................235
4.6.2 Cyclic Prefix ....................................................................................................................236
4.6.3 Cyclic prefix advantages .................................................................................................237
4.6.4 Cyclic prefix disadvantages ............................................................................................237
4.7 OFDM Based Systems ...........................................................................................................238
4.7.1 Coded OFDM...................................................................................................................238
4.7.2 OFDM Transmitter ..........................................................................................................240
4.7.3 OFDM receiver ................................................................................................................241
4.7.4 IEEE 802.11a WLAN standard.......................................................................................241
4.8 OFDM Advantages .................................................................................................................242
4.9 OFDM Drawbacks..................................................................................................................244
4.9.1 Peak to Average Power Ratio (PAPR) ...........................................................................244
4.9.1.1 PAPR problem discussion ........................................................................................244
4.9.1.2 Methods of reduction of PAPR:...............................................................................245
4.9.2 Sensitivity to frequency offset: .......................................................................................248
4.9.3 Out of band radiation .......................................................................................................250
4.9.4 Effect of Sampling Clock Offset ....................................................................................253
4.9.5 Effect of Timing Offset ...................................................................................................253
4.9.6 Effect of Delay Spread ....................................................................................................255
4.10 synchronization in OFDM systems .....................................................................................256

XVIII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.10.1 Time stamp technique ...................................................................................................257


4.10.2 Correlation analysis technique ......................................................................................257
4.10.3 Phase difference method ...............................................................................................258
4.11 Channel Estimation in OFDM Systems ..............................................................................258
4.11.1 Pilot Symbol Assisted Modulation ...............................................................................259
4.11.2 Pilot Arrangements Used in Literature.........................................................................260
4.11.3 Pilot Signal Estimation ..................................................................................................261
4.11.3.1 Least Square Estimation .........................................................................................261
4.11.3.2 Linear Minimum Mean Square Error Estimation .................................................263
4.11.4 Channel Interpolation ....................................................................................................264
4.11.4.1 Linear Interpolation ................................................................................................264
4.11.4.2 Spline and Cubic Interpolation ..............................................................................264
4.11.4.3 Low Pass Interpolation ...........................................................................................264
4.12 Simulation Results and Discussion .....................................................................................265
4.12.1 GUI Description.............................................................................................................265
4.12.2 OFDM Spectrum ...........................................................................................................267
4.12.3 Plot Channel ...................................................................................................................268
4.12.3.1 Time Domain ..........................................................................................................268
4.12.3.2 Frequency Domain .................................................................................................268
4.12.4 Performance in AWGN Channels ................................................................................269
4.12.4.1 Different Code Rate ................................................................................................269
4.12.4.2 Different Constrain Length ....................................................................................270
4.12.4.3 Different Decision Type .........................................................................................271
4.12.4.4 Different Modulation Scheme................................................................................272
4.12.4.5 Different Symbol Order .........................................................................................273
4.12.5 Performance in Fading Channels ..................................................................................274
4.12.5.1 Cyclic Prefix Effect ................................................................................................274
4.12.5.2 Channel‘s Coherence Time Effect .........................................................................275
4.12.5.3 Estimation Type Effect...........................................................................................276
4.12.5.4 Number of Subcarriers Effect ................................................................................277
Chapter Five: Space Time Codes and MIMO Multi-Antenna Systems .....................................282

XIX
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.1 Diversity: .................................................................................................................................282


5.1.1 Diversity types: ................................................................................................................282
5.1.1.1 Time diversity: ..........................................................................................................282
5.1.1.2 Frequency diversity: .................................................................................................283
5.1.1.3 Spatial (antenna) diversity: ......................................................................................284
5.1.1.3.1 Transmit diversity: .............................................................................................284
5.1.1.3.2 Receive diversity: ..............................................................................................285
5.1.1.3.3 MIMO (Multiple Input Multiple Output): ........................................................286
5.1.1.3.4 MIMO multi-user (MIMO-MU): ......................................................................287
5.1.1.4 Polarization diversity: ...............................................................................................289
5.1.1.5 Angle diversity:.........................................................................................................289
5.1.2 Spatial multiplexing:........................................................................................................289
5.1.3 Diversity combining techniques: ....................................................................................290
5.1.3.1 The selection combining: .........................................................................................292
5.1.3.2The switch and stay (threshold) combining: ............................................................295
5.1.3.3 The maximal ratio combining: .................................................................................296
5.1.3.4 The equal gain combining: .......................................................................................299
5.1.3.5 Comparison between MRC, EGC and SC: .............................................................300
5.1.4 The simulation results: ....................................................................................................302
5.1.4.1 The selection combining: .........................................................................................302
5.1.4.2 The maximal ratio combining: .................................................................................302
5.2 MIMO concepts and capacity ................................................................................................306
5.2.1 Introduction ......................................................................................................................306
5.2.1.1 Historical review .......................................................................................................306
5.2.1.2 Why MIMO in a key feature in the modern wireless communication systems? ..307
5.2.2 Basic definitions ..............................................................................................................307
5.2.2.1 MIMO definitions .....................................................................................................307
5.2.2.2 Capacity and throughput definitions........................................................................307
5.2.2.3Array Gain ..................................................................................................................308
5.2.2.4 Data Pipes ..................................................................................................................308
5.2.2.5 Spatial Multiplexing .................................................................................................308

XX
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.3 Channel estimation techniques at the transmitter ..........................................................309


5.2.3.1 Feedback method ......................................................................................................309
5.2.3.2 The reciprocity principle ..........................................................................................309
5.2.4 Deterministic channels capacity .....................................................................................309
5.2.4.1 SISO capacity............................................................................................................310
5.2.4.2 MIMO system model ................................................................................................311
5.2.4.3 General MIMO capacity equation capacity ............................................................313
5.2.4.4 MIMO capacity .........................................................................................................314
5.2.4.4.1 Channel unknown to the transmitter .................................................................314
5.2.4.4.2 Channel known to the transmitter .....................................................................316
5.2.4.5 SIMO capacity ..........................................................................................................317
5.2.4.5.2 Channel known to the transmitter .....................................................................318
5.2.4.6 MISO capacity ..........................................................................................................318
5.2.4.6.1 Channel unknown to the transmitter .................................................................318
5.2.4.6.2 Channel known to the transmitter .....................................................................319
5.2.4.7 How multi-antenna systems work?..........................................................................320
5.2.5 Power allocation strategies ..............................................................................................321
5.2.5.1 Water filling principle ..............................................................................................321
5.2.5.2 Uniform power allocation ........................................................................................322
5.2.5.3 Beamforming ............................................................................................................323
5.2.5.4 Beam steering............................................................................................................323
5.2.6 Random channels capacity ..............................................................................................323
5.2.6.1 Ergodic capacity .......................................................................................................323
5.2.6.2 Outage capacity.........................................................................................................326
5.2.7 MIMO advantages and key performance issues ............................................................327
5.2.8 Factors influencing MIMO system capacity ..................................................................328
5.2.8.1 CSI existence or not (channel known or unknown to the transmitter) ..................328
5.2.8.2 Effect of SNR ............................................................................................................329
5.2.8.3 Effect of Number of used antennas .........................................................................330
5.2.9 Problems that degrade MIMO system capacity .............................................................331
5.2.9.1 Influence of fading correlation on MIMO capacity................................................331

XXI
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.9.2 Influence LOS on MIMO capacity ..........................................................................334


5.2.9.3 Influence XPD on MIMO capacity .........................................................................337
5.2.9.4 Influence of key hole on MIMO capacity ...............................................................339
5.2.10 capacity of frequency selective MIMO channels ........................................................341
5.2.10.1 Channel unknown to the transmitter......................................................................341
5.2.10.2 Channel known to the transmitter ..........................................................................343
5.2.11 Simulation results ..............................................................................................................344
5.2.11.1 Effect of increasing either the number of used antennas or the operating Signal to
noise ratio...............................................................................................................................344
5.2.11.2 Effect of channel knowledge at the transmitter applying the water filling
algorithm to the case when channel is unknown to the transmitter ...................................348
5.2.11.3 Effects that degenerates the MIMO capacity performance ..................................353
5.3 Layered Space-Time Coding/Decoding ................................................................................356
5.3.1 Layered Space Time Encoders (Transmitters) ...............................................................356
5.3.1.1 Horizontal Encoding .................................................................................................356
5.3.1.2 Diagonal Encoding (DLST) .....................................................................................356
5.3.1.3 Vertical Encoding .....................................................................................................357
5.3.2 Layered Space-Time Decoders (Receivers) ...................................................................358
5.3.2.1 Maximum Likelihood Receiver ...............................................................................358
5.3.2.2 Zero-Forcing Receiver..............................................................................................358
5.3.2.3 MMSE Receiver .......................................................................................................359
5.3.2.4 Successive cancellation receiver ..............................................................................359
5.3.2.5 V-BLAST Receivers.................................................................................................360
5.3.2.5.1 V-BLAST decoding with ZF and OSIC ...........................................................360
5.3.2.5.2 V-BLAST decoding with MMSE and OISC ...................................................360
5.3.3 Effect of imperfect channel estimation ..........................................................................361
5.3.3.1 Least Square Estimation: ..........................................................................................361
5.3.3.2 Minimum Mean Squared Error: ...............................................................................361
5.3.4 Simulation Results ...........................................................................................................363
5.3.4.1 Effect of transmit antennas in imperfect channel estimation: ................................363
5.3.4.2 Effect of Difference between number of 𝑹𝑿 & 𝑻𝑿. ..............................................365

XXII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.4.1 Space Time Block Codes (STBC) using Alamouti method ..........................................368
5.4.1.1 MIMO model ............................................................................................................368
5.4.1.2 Problem and Solutions..............................................................................................368
5.4.1.3 Diversity ....................................................................................................................368
5.4.1.4 Trade off between bit rate (throughput), transmit diversity (combat fade)...........369
5.4.1.5 Spatial Multiplexing (used in MIMO).....................................................................369
5.4.1.6 Transmit diversity .....................................................................................................370
5.4.1.7 Alamouti algorithm...................................................................................................370
5.4.1.8 Assumptions ..............................................................................................................370
5.4.1.9 Analysis .....................................................................................................................371
5.4.1.10 How to evaluate Alamouti code‘s behavior? ........................................................373
5.4.1.11 Summary of Alamouti‘s scheme ...........................................................................374
5.4.1.11.1 Advantages .......................................................................................................374
5.4.1.11.2 Disadvantages ..................................................................................................374
5.4.1.12 Imperfect channel estimation techniques ..............................................................374
5.4.1.13 Introduction to generalized STBC .........................................................................374
5.4.1.14 Simulation Results ..................................................................................................375
5.4.2 Space-Time Block Codes ................................................................................................377
5.4.2.1 STBC for Real Signal Constellations ......................................................................378
5.4.2.2 STBC for Complex Signal Constellations ..............................................................380
5.4.2.3 Decoding of STBC .................................................................................................381
5.4.2.4 Simulation results ...................................................................................................383
5.4.2.4.1 Effect of changing number of transmit antennas: ............................................383
5.4.2.4.2 Effect of changing number of receive antennas:..............................................385
5.3.2.4.3 Effect of changing type of modulation: ............................................................385
Chapter Six: WiMAX PHY and MAC ...........................................................................................390
6.1 PHY layer ................................................................................................................................390
6.1.1 Introduction ......................................................................................................................390
6.1.1.1 Background on IEEE 802.16 standards and WiMAX Forum................................390
6.1.1.2 Salient features of WiMAX .....................................................................................393
6.1.1.3 Services provided by WiMAX.................................................................................395

XXIII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.1.2 Mobile WiMAX ...............................................................................................................395


6.1.3 WiMAX Physical layer ...................................................................................................397
6.1.3.1 The Randomizer:.......................................................................................................400
6.1.3.2 The De-Randomizer: ................................................................................................401
6.1.3.3 Channel coding .........................................................................................................402
6.1.3.3.1 Convolutional coding ........................................................................................402
6.1.3.3.2 Puncturing ..........................................................................................................403
6.1.3.4 Interleaver..................................................................................................................404
6.1.3.5 The De-Interleaver :..................................................................................................408
6.1.3.6 Symbol mapping .......................................................................................................408
6.1.3.7 Orthogonal Frequency Division Multiplexing ........................................................410
6.1.3.7.2 OFDM symbol structure ....................................................................................411
6.1.3.7.3 Subchannelization and subcarrier permutation ................................................412
6.1.3.7.3.1 Downlink Full Usage of Subcarriers .........................................................413
6.1.3.7.3.2 Downlink Partial Usage of Subcarriers .....................................................414
6.1.3.7.3.2 Uplink Partial Usage of Subcarriers ..........................................................415
6.1.3.7.3.3 Optional Uplink Partial Usage of Subcarriers...........................................416
6.1.3.7.3.4 Band Adaptive Modulation and Coding ...................................................417
6.1.3.8 Mobile WiMAX TDD Frame Structure: .................................................................418
6.1.3.9 Calculation of the total number of bits in one TDD frame: ...................................421
6.1.3.9.1 UL PUSC (Uplink Partially Used Subchannelization) ....................................421
6.1.3.9.2 DL PUSC (Down link Partially Used Subchannelization)..............................423
6.1.3.10 Scalable OFDMA ...................................................................................................424
6.1.3.11 Channel Estimation & Equalization ......................................................................426
6.1.3.12 Advanced antenna techniques in Mobile WiMAX...............................................428
6.1.3.12.1 Open Loop Mode: Transmit Diversity and Space/Time Coding ..................429
6.1.3.12.2 Frequency-Hopping Diversity Code ...............................................................434
6.1.3.12.3 Closed Loop Mode: Closed loop MIMO .......................................................435
6.1.3.12.3.1 Antenna Selection .....................................................................................436
6.1.3.12.3.2 Antenna Grouping ....................................................................................436
6.1.3.12.3.3 Codebook Based Feedback ......................................................................437

XXIV
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.1.3.12.3.4 Quantized Channel Feedback...................................................................437


6.1.3.12.3.5 Channel Sounding .....................................................................................438
6.1.3.12.4 The supported features in the Mobile WiMAX performance profile ...........438
6.1.3.12.5 Adaptive antenna systems (AAS) ...................................................................439
6.1.3.12.5.1 AAS support in IEEE Std 802.16 ............................................................440
6.1.3.12.5.2 AAS support in MAC service and control functions ..............................440
6.1.3.12.5.3 AAS MAC management messages ..........................................................440
6.1.3.12.5.1 Preamble and Pilot in MIMO-OFDM .....................................................441
6.1.3.12.5.2 Time versus Frequency-Domain Channel Estimation ............................442
6.2 MAC Layer .............................................................................................................................445
6.2.1 MAC LAYER DUTIES ..................................................................................................445
6.2.2 MAC LAYER STRUCTURE .........................................................................................445
6.2.3 The Common-part Sublayer: ...........................................................................................447
6.2.3.1 MAC PDU Construction ..........................................................................................447
6.2.3.2 Scheduler ...................................................................................................................450
6.2.3.3 Bandwidth Request and Allocation .........................................................................454
6.2.3.4 Quality of Service .....................................................................................................457
6.2.3.6 Network Entry and Initialization .............................................................................459
6.2.3.6.1Scan and Synchronize Downlink Channel ........................................................459
6.2.3.6.2 Obtain Uplink Parameters .................................................................................460
6.2.3.6.3 Perform Ranging ................................................................................................460
6.2.3.6.4 Negotiate Basic Capabilities...........................................................................461
6.2.3.6.5 Register and Establish IP Connectivity ............................................................461
6.2.3.6.6 Establish Service Flow ......................................................................................461
6.2.3.7 Power-Saving Operations .........................................................................................462
3.6.3.7.1 sleep mode ..........................................................................................................462
6.2.3.7.2 Idle Mode ...........................................................................................................463
6.2.3.8 Mobility Management ..............................................................................................464
6.2.3.8.1 Handoff Process and Cell Reselection..............................................................465
6.2.3.8.2 Macro Diversity Handover and Fast BS Switching .........................................466
6.2.4 Matlab Simulation ...........................................................................................................468

XXV
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.2.4.1Phase 1:.......................................................................................................................468
6.2.4.2 Phase 2:......................................................................................................................469
6.2.4.3 BASE STATION program: ......................................................................................473
6.2.5 RESULTS.........................................................................................................................476
Chapter Seven: Implementation of WiMAX system using DSP kit ............................................484
7.1 INTRODUCTION ..................................................................................................................484
7.2 INTRODUCTION TO DSP KIT TMS320C6416-T:...........................................................484
7.2.1 Why we choose C6000: ...................................................................................................485
7.2.2 Typical Applications for the C6000 Family ..................................................................486
7.2.3 Main Block Diagram Of TMS320C6416T DSK: ..........................................................487
7.2.4 Features of C6416:...........................................................................................................490
7.2.4.1 AIC23 stereo codec: .................................................................................................490
7.2.4.2 Synchronous DRAM: ...............................................................................................492
7.2.4.3 Non-volatile Flash memory: ....................................................................................494
7.2.4.4 LEDs and DIP switches: ..........................................................................................495
7.2.4.5 Complex Programmable Logic Device (CPLD): .......................................................495
7.2.4.6 Daughter Card Interface: ..........................................................................................498
7.2.4.7 Power Supply: ...........................................................................................................498
7.2.4.8 High-performance embedded coprocessors: ...........................................................499
7.2.4.8.1 Viterbi Decoder Coprocessor (VCP): ...............................................................499
7.2.4.8.2 Turbo Decoder Coprocessor (TCP): .................................................................499
7.2.4.9 Multichannel Buffered Serial Ports(McBSP) .........................................................499
7.2.4.10 External Memory Interfaces (EMIFs): .................................................................501
7.3 Peripherals Overview of C6416: ...........................................................................................503
7.3 CODE COMPOSER OVERVIEW .......................................................................................504
7.3.1 Introduction: .....................................................................................................................504
7.3.2 Steps to execute a program: ............................................................................................506
7.3.3 DSP/BIOS ........................................................................................................................509
7.3.4 Testing Algorithms and Data from a File: .....................................................................511
7.3.5 Analyzing Real-Time Behavior: .....................................................................................513
7.4 HOW TO DEAL WITH DSP KIT TMS320C6416 .............................................................514

XXVI
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.4.1 Dealing with DSP kit for the first time...........................................................................514


7.4.2 Connecting the C6416 DSK to your PC: .......................................................................515
7.4.3 How to deal with Code Composer:.................................................................................517
7.4.4 Creating a New Project: ..................................................................................................519
7.5 SIMULINK AND C6000 FAMILY KITS...........................................................................521
7.5.1 Building the Simulink Model..........................................................................................521
7.5.2 Configuring Target for TI C6000 Blocks......................................................................523
7.5.3 Generating Code: .............................................................................................................524
7.5.4 Simple successful communication system Simulink Model: ........................................524
7.4.6 Limitations using SIMULINK models ...........................................................................525
7.6 WiMAX IMPLEMENTATION USING TMS320C6416....................................................526
7.6.1 Introduction ......................................................................................................................526
7.6.2 Implemented WiMAX system block diagram ...............................................................526
7.6.2.1 Transmitter of the Uplink of MS:- ...........................................................................526
7.6.2.2 Receiver of the Uplink MS ......................................................................................527
7.6.3 Analog to digital converter and digital to analog converter..........................................527
7.6.3.1 Introduction ...............................................................................................................527
7.5.3.2 Implementation methodology ..................................................................................527
7.6.3.3 Facilities of the implemented block.........................................................................528
7.6.3.4 Problems faced ..........................................................................................................528
7.6.4 Randomizer and the Derandomizer ................................................................................529
7.6.4.1 Background ...............................................................................................................529
7.6.4.2 Implementation methodology ..................................................................................529
7.6.4.3 Facilities of the implemented block.........................................................................531
7.6.4.4 Simulation results ,kit outputs..................................................................................531
7.6.5 Covolutional Coding .......................................................................................................533
7.6.5.1 Background ...............................................................................................................533
7.6.5.2 Implementation methodology ..................................................................................533
7.6.5.3 Facilities of the implemented block.........................................................................534
7.6.5.4 Simulation results using C++:..................................................................................535
7.6.6 Viterbi Coprocessor (VCP) .............................................................................................535

XXVII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.6.1 Introduction ...............................................................................................................535


7.6.6.2 Background on Viterbi Decoding Algorithm..........................................................535
7.6.6.3 Branch Metrics Computation ...................................................................................536
7.6.6.4 State Metric Computation ........................................................................................537
7.6.6.5 Yamamoto Bit ...........................................................................................................538
7.6.6.6 Traceback ..................................................................................................................538
7.6.6.7 Sliding Window Processing .....................................................................................538
7.6.6.8 VCP and the relation between Viterbi theory ........................................................539
7.6.6.8.1 Overview ............................................................................................................539
7.6.6.8.2 Input Data ...........................................................................................................540
7.6.6.8.3Output Data .........................................................................................................541
7.6.6.8.4 Programmable VCP Parameters .......................................................................541
7.6.6.8.5 VCP Parameters .................................................................................................541
7.6.6.8.5.1Generating POLY[0:3] ................................................................................541
7.6.6.8.5.2 Yamamoto Bit .............................................................................................542
7.6.6.8.5.3 State Metrics................................................................................................542
7.6.6.9 VCP Programming Procedure..................................................................................546
7.6.6.9.1 Initialize Input Buffers.......................................................................................546
7.6.6.9.2Allocate Output Buffers .....................................................................................546
7.6.6.9.3 Prepare VCP Input Configuration Word ..............................................................547
7.6.6.9.4 Prepare EDMA Links ........................................................................................547
7.6.6.9.5 EDMA Resources ..............................................................................................547
7.6.6.9.5.1VCP Dedicated EDMA Resources .............................................................547
7.6.6.9.5.2 Special VCP EDMA Programming Considerations .................................548
7.6.6.9.5.3 Event Generation ........................................................................................548
7.6.6.9.5.4 Operational Modes ......................................................................................549
7.6.6.10 Viterbi Function ......................................................................................................550
7.6.6.10.1 Main Viterbi Function .....................................................................................550
7.6.6.10.2 Branch Metric Function...................................................................................551
7.6.6.10.3 Configure VCP and start decoding Function .................................................553
7.6.6.10.4 Dec2Bin Function ............................................................................................556

XXVIII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.6.11 General Problems and notes ...................................................................................558


7.6.6.11 Kit outputs for convolutional coding and Viterbi decoder ..................................558
7.6.7 Interleaver and Deinterleaver ..........................................................................................560
7.6.7.1 Background ...............................................................................................................560
7.6.7.2 Implementation methodology ..................................................................................560
7.6.7.3 Facilities of the implemented block.........................................................................562
7.6.7.4 Problems faced in implementation ..........................................................................562
7.6.7.5 Simulation results , Kit output .................................................................................562
7.6.8 Symbol mapping and symbol demapping ......................................................................563
7.6.8.1 Background ...............................................................................................................563
7.6.8.2 Implementation methodology ......................................................................................564
7.6.8.2.1 Using lookup table .................................................................................................564
7.6.8.2.2 Using equations: ....................................................................................................567
7.6.8.3 Facilities of the implemented block.........................................................................569
7.6.8.4 Problems faced in implementation ..........................................................................569
7.6.9 OFDM transmitter and receiver ......................................................................................569
7.6.9.1 Background ...............................................................................................................569
7.6.9.2 Implementation methodology ..................................................................................570
7.6.9.3 Facilities of the implemented block.........................................................................572
7.6.9.5 Simulation results and kit output .................................................................................573
7.6.10 RTDX .............................................................................................................................574
7.6.10.1 Reading Data from a Target Application ..............................................................574
7.6.10.2 Writing Data to a Target Application ....................................................................575
7.6.11 Interfacing 2 DSPs Via McBSP:...................................................................................576
7.6.12 Implemented WiMAX system ......................................................................................576
7.6.12.1 Implemented WiMAX parameters. .......................................................................576
7.6.12.2 WiMAX output .......................................................................................................577
7.6.13 General problems ...........................................................................................................580
7.6.14 Applications of the implemented WiMAX system .....................................................581
7.6.15 System upgrades ............................................................................................................581

XXIX
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

List of Figure
Figure Description Page
1.1 Digital modulation according to demodulation type 7
1.2 Digital modulation hierarchy 7
1.3 Comparison between constellation diagram interpretation on power and BW efficiencies. 9
1.4 Line codes for the electrical representation of binary data 11
1.5 Power spectra of line codes 12
1.6 Differentially encoded data 13
1.7 Block diagram of regenerative repeater. 14
1.8 Baseband binary data transmission system 14
1.9 Nyquist criterion for ISI cancellation 16
1.10 Responses for different rolloff factors of raised cosine filter. 18
1.11 impulse response of Gaussian shaping filter 19
1.12 Binary modulating signal and BASK signal 20
1.13 (a) Modulating signal, (b) spectrum of (a), and (c) spectrum of BASK signals. 21
1.14 (a) BASK modulator and (b) coherent demodulator. 21
1.15 (a) M-ASK and (b) 4-ASK signal constellation diagrams. 22
1.16 4-ASK modulation 22
1.17 (a) M-ASK modulator and (b) coherent demodulator. 23
1.18 BPSK signal in time domain 24
1.19 BPSK spectrum with rectangular and raised cosine filter with roll of factor=0.5 25
1.20 BPSK constellation diagram 26
1.21 BPSK modulator 26
1.22 BPSK demodulator 27
1.23 the block diagram of a BPSK receiver along with the carrier recovery circuits. 27
1.24 DPSK modulator 29
1.25 Suboptimum receiver of DPSK modulation 29
1.26 Optimum receiver of DPSK modulation 30
1.27 Performance comparison between coherent BPSK,coherent DPSK ,optimum and 31
suboptimum DPSK
1.28 8PSK modulation with gray coding assignment 32
1.29 Formulation of probability of error expression for MPSK signal 33
1.30 Spectrum and the bandwidth of MPSK signal 34
1.31 symbol error rate versus signal to noise ratio for various modulation PSK schemes 35
1.32 MPSK modulator 36
1.33 MPSK demodulator 36
1.34 (a) QPSK constellation where the carrier phases are 0, π/2 , π,3π/2 37
(b) QPSK constellation where the carrier phases are π/4, 3π/4 ,5π/4,7π/4
1.35 QPSK spectrum and bandwidth 38
1.36 QPSK modulator 39
1.37 QPSK demodulator 39
1.38 QPSK and OQPSK phase transitions 40
1.39 OQPSK generation 41
1.40 OQPSK modulated signal 42
1.41 Constellation diagram of π/4 QPSK signal (a) possible states of θk wken θk−1=nπ/4 (b) 43
possible states when θk−1=nπ/2 (c) all possible states
1.42 constellation diagram of π/4 QPSK 44
1.43 π/4 QPSK modulated signal when 11000110 is transmitted 44
1.44 π/4 QPSK transmitter 44
1.45 Block diagram of a baseband differential detector. 46
1.46 Block diagram of an IF differential detector for π/4 QPSK. 47
1.47 FM discriminator detector for π/4 DQPSK demodulation. 48
1.48 signal space diagram for binary FSK system 50
1.49 Block diagram for binary FSK transmitter 50
1.50 FSK signal XXX 51
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.51 Block diagram for coherent binary FSK receiver 52


1.52 Noncoherent receiver for detection of binary FSK receiver 53
1.53 Probability of bit error for coherent detection of orthogonal signals 55
1.54 Phase Trellis 57
1.55 Constellation diagram for MSK signal 58
1.56 Sequence and waveforms for MSK signal 59
1.57 MSK modulator 59
1.58 the block diagram of typical MSK receiver. 60
1.59 GMSK implemented by Frequency Shift keying 61
1.60 GMSK implemented by a quadrature baseband 61
1.61 The truncated and scaled impulse response of the Gaussian low-pass 62
1.62 16-Ary PSK 63
1.63 circular QAM 63
1.64 rectangular QAM versus APK 65
1.65 formulation of Probability of symbol error in-circular QAM 65
1.66 formulation of Probability of symbol error incircular QAM 66
1.67 M-Ary QAM Demodulator 66
1.68 All possible QAM signals 67
1.69 Block digram of binary PSK receiver 68
1.70 Block diagram of M-ary PSK receiver 69
1.71 Block diagram of QAM receiver 69
1.72 Costas loop for carrier recovery for BPSK. 70
1.73 Costas loop for carrier recovery for QPSK. 71
1.74 Two types of open-loop symbol synchronizers 72
1.75 Early/late-gate clock synchronizer 72
1.76 Early-late-gate timing illustration. 73
1.77 BER tool 77
1.78 Simulation of PSK modulation schemes 78
1.79 QPSK, OQPSK, DQPSK simulation 79
1.80 BPSK and DPSK simulation 80
1.81 M-ary FSK simulation 80
1.82 BFSK, MSK simulation 81
1.83 noncoherent to coherent FSK 82
1.84 QAM simulation 82
1.85 modulation schemes used in WiMAX simulation 83
1.86 comparative simulation for 16FSK, 16PSK, 16QAM 84
1.87 Comparative simulation between all modulation schemes 85
1.89 BER calculation using SIMULINK 86
1.90 Simulation results with SNR=5dB. 87
1.91 Simulation results with 10 dB 87
1.92 Simulation results when SNR=100dB 88
1.93 Modulation commands in MATLAB 89
1.94 MODEM objects in MATLAB 89
2.1 Noise Power Spectral 95
2.2 Dispersion in the wireless 96
2.3 Reflected, Diffracted, and Scattered Wave Components. 99
2.4 Two-Ray Model. 100
2.5 Received Power versus Distance for Two-Ray Model. 101
2.6 Overhead View of the Ten-Ray Model. 103
2.7 Knife-Edge Diffraction. 104
2.8 Knife-edge diffraction gain as a function of Fresnel diffraction parameter ν 105
2.9 Scattering 106
2.10 Piecewise Linear Model for Path Loss 111
2.11 combination of the simplified path loss model 116
2.12 Scatter plot of measured data and corresponding MMSE path loss model for many cities in 117

XXXI
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Germany. For this data, n = 2.7 and y = 11.8 dB


2.13 Contours of Constant Received Power. 119
2.14 Multipath propagation 121
2.15 effect of small scale fading on the received 122
2.16 illustration of Doppler shift effects 124
2.17 the mobile radio channel as a function of time and space 125
2.18 a- Bandpass channel impulse response
b- Baseband equivalent channel impulse response 126
2.19a a three dimensional example of time varying discrete-time impulse response model for a
multipath radio channel 128
2.19b a two dimensional example of time varying discrete-time impulse response model for a
multipath radio channel indicating the maximum excess delay of one sent pulse and the
delayed multipath components that come from different paths with 129
2.20a Measured power delay profile from a 900 MHz cellular system in San Francisco 130
2.20b Measured power delay profile inside a grocerv 131
2.21 Example of an indoor power delay profile; RMS delay 133
2.22 Classifications of Small Scale 136
2.23 Flat fading channel 138
2.24 Frequency selective fading channel 139
2.25 matrix illustrating type of fading experienced by a signal as a function of symbol 141
2.26 matrix illustrating type of fading experienced by a signal as a function of base band signal 142
2.27 One Sided Gaussian 143
2.28 Rayleigh distribution 144
2.29 Ricean Fading Distribution 145
2.30 Nakagami PDF for 𝜎=1 and various values of the 146
2.31 Doppler power spectrum for an unmodulated CW carrier
150
2.32 3GPP channel model for MIMO simulations
151
3.1 Channel Coding in Comm. System 157
3.2 Comparison of typical coded versus uncoded error performance 158
3.3 Concept of distance between code words 160
3.4 Possible different minimum distance 161
3.5 Sending process, error that occurs and its correction 162
3.6 Concept of Block Coding 162
3.7 Forward error correction diagram In the Forward error correction (FEC) 163

3.8 Automatic Repeat request 164


3.9 Concept of Block Coding 166
3.10 Simplified models of digital communication system 167
3.11 Transition probability diagram 168
3.12 Binary input Q-ary output discrete memoryless channel 168
3.13 Block diagram representation of Eqn (3.13.9) 172
3.14 Standard array for an (n, k) block code. 175
3.15 Encoder of cyclic codes 183
3.16 Syndrome calculator 184
3.17 The encoder of the 16-bits CRC-CCITTa 186
3.18 The general convolutional encoder structure 187
3.19 Convolutional encoder (rate ½,K=3) 188
3.20 State diagram of encoder (rate ½, K=3) 191
3.21 Tree diagram of encoder (rate ½, K=3) 192
3.22 Encoder trellis Diagram (rat2=1/2, K=3) 193
3.23 Hard and soft decoding decisions 195
3.24 Binary symmetric channel (hard-decision channel) 196

XXXII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.25 Bit error rate probability versus Eb/N0 for rate ½ codes using coherent BPSK over a BSC, 199
Viterbi decoding, and a 32 bit memory.
3.26 Encoder trellis diagram 200
3.27 Decoder of trellis Diagram 201
3.28 Path metrics for two merging paths 202
3.29 Selection of survivor paths 204
3.30 Example of decoder cell 205
3.31 Logic unit that implements the add-compare-select functions 206
Corresponding to cell #1
3.32 Add-compare-select computations in Viterbi decoding. 207
3.33 Data block disturbed by 25 bit noise burst 209
3.34 Reed- Solomon rate 7/8, decoder performance as a function of symbol size 209
3.35 Reed- Solomon (64,K)decoder performance as a function of redundancy 210
3.36 Implementation of linear feedback shift register 211
3.37 LFSR Encoder Implementation 215
4.1 Channel and Pulse in time domain 224
4.2 Channel and Pulse in frequency domain 225
4.3 comparisons of SCM and MCM 226
4.4 orthogonal overlapping spectral shapes for an OFDM signal 228
4.5 OFDM among multicarrier techniques 229
4.6 First version for OFDM 230
4.7 Second version for OFDM 231
4.8 OFDM implemented by IFFT/FFT 232
4.9 Nth root of unity for k=0,1,…….,N-1 233
4.10 Butterfly basic unit 234
4.11 Butterfly step 3 235
4.12 Butterfly step 4 235
4.13 Cyclic prefix and postfix 239
4.14 COFDM transmitter Block Diagram 240
4.15 COFDM receiver Block Diagram 240
4.16 OFDM transmitter Block Diagram 241
4.17 OFDM receiver Block Diagram 242
4.18 OFDM signal with high PAPR passes through non-linear amplifier 246
4.19 black curve shows the frequency response of FFT bin 3, blue is bin 4, etc 252
4.20 a window (4-term Blackman-Harris) reduces the side lobes in the frequency-domain 252
4.21 the time-domain plot of some common windows 253
4.22 the frequency-domain response of the windows from figure 4.21 253
4.23 Effect of timing offset on OFDM signal 255
4.24 Effect of delay spread on OFDM signal 256
4.25 Correlation analysis technique 258
4.26 Phase difference method 259
4.27 An Example of Pilot Information Transmission both as Scattered and Continual on certain 260
subcarriers
4.28 Block Pilot Patterns 262
4.29 Comb Pilot Patterns 262
5.28 SISO scheme 311
2.29 Block diagram of a MIMO system. 312
5.30 Decomposition of H when the channel is known to the transmitter and receiver 317
5.31 SIMO scheme 319
5.32 the MISO scheme 320
5.33 Multi-antenna systems 321
5.34 Performance comparisons between different spatial techniques 321
5.35 Schematic of the water-pouring algorithm. 323
5.36 CDF of information rate for i.i.d. channel matrix with a 2×2 system and SNR = 10 dB. 325

XXXIII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.37 Ergodic capacity for different antenna configurations with 𝑀𝑇=𝑀𝑅=𝑀. 326
5.38 Ergodic capacity of an M = 4 channel with and without channel knowledge at the 326
transmitter. The difference in ergodic capacities decreases with SNR.
5.39 10% outage capacity for various antenna configurations. Outage capacity improves with 327
rising 𝑀𝑇=𝑀𝑅=𝑀.
5.40 10% outage capacity of an M = 4 channel with and without channel knowledge at the 328
transmitter. The difference in outage capacities decreases with SNR.
5.41 Performance comparison between different spatial techniques at low to moderate SINR. 330
5.42 Benefits of MIMO systems over SISO systems as the SNR increase. 330
5.43 Comparison between MIMO and receive diversity for four total antennas 331
5.44 Upper bound on number of elements M versus angle spread AS. 332
5.45 Comparison between MIMO, beam forming, and diversity. 333
5.46 The correlation problem. We can deploy 334
(a) Separate dipole antennas well separated from each other or
(b) Antenna arrays well separated from each other.
5.47 Ergodic capacity with high and low correlation. The loss in ergodic capacity is about 2.47 336
bit/s/Hz when 𝜍 = 0.8.
5.48 Colocation problem in a fixed WLAN environment. 337
5.49 Ergodic capacity versus K-factor for a MIMO channel. 337
5.50 Ergodic capacity of a MIMO channel with good XPD (α = 0) and no 339
XPD (α= 1).
5.51 the keyhole effect 340
5.52 Performance of a degenerate channel due to hole effect for a 2 ×2 system. 341
5.53 Performance of frequency selectivity versus 10% outage capacity. 343
5.54 Ergodic capacity performance verses the signal to noise ratio as the number of used 345
antennas increases
5.55 10% outage capacity performance verses the signal to noise ratio as the number of used 346
antennas increases
5.56 ergodic capacity performance verses the signal to noise ratio in the range (-15 to 25 dB) in 2 347
X 2 MIMO and SISO cases.
5.57 10% outage capacity performance verses the signal to noise ratio in the range (-15 to 25 dB) 348
in 2 X 2 MIMO and SISO cases.
5.58 ergodic capacity performance verses the signal to noise ratio in the range (0 to 20 dB) in 2 349
X 2 MIMO system without CSI existence at 𝑇𝑋 and when CSI exist and water filling
algorithm is applied
5.59 ergodic capacity performance verses the signal to noise ratio in the range (0 to 20 dB) in 4 350
X 4 MIMO system without CSI existence at 𝑇𝑋 and when CSI exist and water filling
algorithm is applied
5.60 ergodic capacity performance verses the signal to noise ratio in the range (-5 to 10 dB) in 2 351
X 2 MIMO system without CSI existence at 𝑇𝑋 and when CSI exist and water filling
algorithm is applied the superior performance of water filling algorithm is clear in small
SNR
5.61 ergodic capacity performance verses the signal to noise ratio in the range (-5 to 10 dB) in 4 352
X 4 MIMO system without CSI existence at 𝑇𝑋 and when CSI exist and water filling
algorithm is applied the superior performance of water filling algorithm is clear in small
SNR
6.1 Mobile WiMAX system profile 397
6.2 Block diagram of a WiMAX PHY layer uplink transmitter with single antenna 399
6.3 The receiver block diagram of the PHY layer uplink 400
6.4 The block diagram of the transmitter of the downlink PHY layer of mobile WiMAX with 2- 400
antennas.uplink
6.5 The block diagram of the receiver of the downlink PHY layer of mobile WiMAX with 2- 401
antennas.
6.6 Randomizer 401
6.7 The vector of initialization of the randomizer on the Downlink DIUC : downlink interval 402
usage code.

XXXIV
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.8 The vector of initialization of the randomizer on the Uplink. 402


6.9 Effect of randomizer 403
6.10 The convolutional encoder 403
6.11 Tailbiting in convolutional encoder 404
6.12 The performance of different code rates of convolutional encoder 405
6.13 Constellation diagram of 16-QAM 407
6.14 Interleaver performance with 64-QAM , N=1024 ,Rc=1/2 407
6.15 Interleaver performance with 16-QAM , N=1024 ,Rc=1/2 408
6.16 Interleaver performance with QPSK , N=1024 ,Rc=1/2 408
6.17 Interleaver performance with BPSK , N=1024 ,Rc=1/2 409
6.18 Performance curves of different modulation schemes. 410
6.19 Performance curves of modulation, Gray versus Binary 411
6.20 The OFDMA symbol structure. 413
6.21 FUSC subcarrier permutation scheme 415
6.22 DL PUSC subcarrier permutation scheme 416
6.23 UL PUSC subcarrier permutation scheme 417
6.24 Optional UL PUSC subcarrier permutation scheme 418
6.25 Band AMC subcarrier permutation 419
6.26 WiMAX OFDMA frame structure 421
6.27 UL PUSC tile structure 423
6.28 DL PUSC cluster structure 424
6.29 Performance of scalable OFDMA 426
6.30 Tiles distribution across the frequency domain 428
6.31 how the pilot and data subcarriers are visualized 429
6.32 Transmit diversity using space/time coding 430
6.33 STC Decoding mechanism 431
6.34 V-BLAST decoding algorithm 432
6.35 STC vs SM performance in WiMAX (two antenna case) 432
6.36 hybrid SM/STC vs pure SM performance in WiMAX (four antennas case) 433
6.37 (a) Horizontal and (b) vertical encoding for two antennas 434
6.38 PUSC Clusters for (a) two- antenna transmissions and (b) four-antenna transmissions 435
6.39 Frequency-hopping diversity code 435
6.40 Closed-loop MIMO framework in IEEE 802.16 436
6.41 Three different patterns for transmitting training signals in MIMO-OFDM 443
6.42 Training symbol structure of preamble-based and pilot-based channel estimation methods 443
6.43 MAC Sublayers 447
6.44 Segmentation and concatenation of SDUs in MAC PDU 449
6.45 Segmentation and concatenation of SDUs in MAC PDU 449
6.46 Segmentation and concatenation of SDUs in MAC PDUs 449
6.47 Adaptive modulation and coding block diagram 451
6.48 Throughputs versus SINR 452
6.49 packet delivery 456
6.50 the back off algorism used when using polling group 457
6.51 Scheduling Services 458
6.52 Process of network entry 460
6.53 Ranging and parameter-adjustment procedure 461
6.54 MS-initiated service flow creation 463
6.55 Sleep-mode operation in IEEE 802.16e-2005 464
6.56 Paging area example 465
6.57 DL MOHO: combining & UL MDHO: Selection 468
6.58 Space Time packet delivery illustration of phase 1 469
6.59 Flow Chart of User 1 Phase 1 470
6.60 Flow Chart of User 1 Phase 2 471
6.61 Space Time packet delivery illustration of phase 2 472
6.62 Flow Chart of User 1 Phase 3 473
6.63 Space Time packet delivery illustration for one packet of phase 3 474

XXXV
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.64 Flow Chart of User 1 Phase 3 475


6.65 Flow Chart of User 1 Phase 3 476
7.1 Evolution of TI DSP platform 486
7.2 TMS320C6416T block diagram 488
7.3 TMS320C6416 DSK CODEC interface 492
7.4 McBSP Block Diagram 501
7.5 TMS320C64x DSP Block Diagram 503
7.6 TMS320C64x EMIFA and EMIFB Interface Signals 504
7.7 adding files to new project 508
7.8 Evaluating expressions in the debugging mode 509
7.9 The use of watch window 509
7.10 DSP/BIOS 511
7.11 Setup the C6416 DSK Code Composer Studio CD ROM. 516
7.12 Testing the connection of DSP KIT 517
7.13 code composer and how to build a project 518
7.14 loading a program 519
7.15 Running program 519
7.16 project creation 520
7.17 adding the kit configuration 521
7.18 saving the new configuration 521
7.19 simulink library browser 523
7.20 ADCDAC simulink connection 523
7.21 ADCDAC simulink connection after added kit 523
7.22 Generating Code 525
7.23 Simple Successful communication system SIMULINK model 526
7.24 Transmitter of the WiMAX uplink 527
7.25 Receiver of the WiMAX downlink 527
7.26 ADC & DAC flowchart 529
7.27 Randomizer and Derandomizer flowchart 531
7.28 visual C++ simulation for randomizer and Derandomizer 532
7.29 Code composer output for randomizer and Derandomizer 533
7.30 K=9, R=1/2 Convolutional Encoder 534
7.31 Convolutional Encoder Flowchart 535
7.32 Simulation results of the convolutional encoder 536
7.33 The main steps in the Viterbi algorithm are described in the following sections 537
7.34 State Metric Accumulation 538
7.35 Example of Survivor Path and Associated Decoded Sequence 539
7.35 Sliding Window Processing 540
7.36 VCP Block Diagram 541
7.37 Tailed Traceback 544
7.38 Mixed Traceback Mode -- Example With Five 545
7.39 Convergent Traceback Mode -- Example With Five Sliding 546
7.40 EDMA parameters 549
7.41 Viterbi Function 551
7.42 Branch Metric Function 553
7.43 Branch Metric Function 558
7.44 Conv.coding and Viterbi decoder output 560
7.45 Interleaver and deinterleaver flowchart 562
7.46 Simulation of the interleaver 563
7.47 Output of the interleaver 564
7.48 The supported symbol mapping in WiMAX 565
7.49 Mapper and demapper ―version 1‖ 566
7.50 Mapping and demapping flow chart 569
7.51 OFDM transmitter and receiver 571
7.52 FFT algorithm 572
7.53 OFDM and mapping simulation 574

XXXVI
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.54 (a)OFDM and mapping kit results 574


7.54 (b) OFDM and mapping kit results 575
7.55 Interfacing two DSP kits. 577

XXXVII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

List of Tabble
Table Description Page
1.1 comparisons between analog and digital modulation schemes 4
1.2 Modulation schemes used in advanced communication systems 8
1.3 Example of differential encoding 29
1.4 DPSK example 30
1.5 bandwidth and power efficiencies of M-ary PSK signals 34
1.6 QPSK output phases 36
1.7 Carrier phase shifts corresponding to various input bit pairs. 43
1.8 Error probabilities for various modulation schemes 74
1.9 PSK power and spectral efficiencies simulation results 78
1.10 M-ary FSK power efficiency 81
1.11 QAM power and spectral efficiencies simulation results 83
2.1 Typical Path Loss Exponents 108
2.2 Path Loss Measurements 108
2.3 Typical Partition Losses 112
2.4 Typical measured values of RMS Delay spread 132
3.1 Code words of a (7, 4) Hamming code 177
3.2 Decoding table for the (7, 4) Hamming code 178
3.3 CRC Codes and Polynomials 185
3.4 Impulse response 189
3.5 Output from the encode using the impulse response 189
3.6 1 198
Comparison of systematic and nonsystematic free distance with rate 2
3.7 Coding Gain Upper Bounds for Some Convolutional Codes 198
3.8 Mapping field elements into basis elements for GF(8) with 𝑓 𝑋 = 1 + 𝑋 + 𝑋 3 211
3.9 Primitive polynomials 212
3.10 Addition Table for GF(8) with 𝑓 𝑥 = 1 + 𝑋 + 𝑋 3 212
3.11 Multiplication Table for GF (8) with 𝑓 𝑋 = 1 + 𝑋 + 𝑋 3 213
5.1 Multi-antenna Spatial Schemes 320
5.2 MIMO implementation schemes 329
5.3 MIMO Performance Comparison verses different SNR 331
6.1 Basic Data on IEEE802.16 Standards 392
6.2 Fixed and Mobile WiMAX Initial Certification Profiles. 393
6.3 Puncturing pattern for convolutional coding 404
6.4 the idea of working for the first step of Interleaving 406
6.5 The different modulation schemes and different code rates im Mobile WiMAX 411
6.6 Uplink and Downlink Burst Profiles in IEEE802.16e 414
6.7 Parameters of DL FUSC permutation 415
6.8 Parameters of DL PUSC Subcarriers Permutation 416
6.9 Parameters of UL PUSC Subcarriers Permutation 417
6.10 Parameters of Optional UL PUSC Subcarriers Permutation 418
6.11 Optional AMC subcarrier permutation 419
6.12 UL distributed subcarrier permutation (PUSC) 422
6.13 DL distributed subcarrier permutation (FUSC) 424
6.14 OFDMA scalability parameters 426
6.15 Data Rates for SIMO/MIMO Configurations 440
6.16 The various information elements in the header of a generic MAC PDU and bandwidth request 450
header
6.17 the description and usage of subheaders and pay load types 452
7.1 C6000 family typical applications 487
7.2 CPLD USER_REG register. 496

XXXVIII
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.3 McBSP interfaces pins 502


7.4 TMS320DM64x™ peripherals 504
7.5 Branch Metrics for Rate ½ 541
7.6 Branch Metrics for Rate 1/3 542
7.7 Branch Metrics for Rate ¼ 542
7.8 Programmable VCP Parameters 543
7.9 Maximum Frame Length for Non-Sliding Window Processing 546
7.10 Hard Decisions and Soft Decisions with Mixed/Convergent Modes 547
7.11 Required EDMA Links Per User Channel 548
7.12 I-Q chnnels for some biary data 569

XXXIX
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

CHAPTER
ONE
Digital Modulation techniques
1.1 What Is The Modulation?
Modulation is the process of encoding information from a message source in a manner suitable
for transmission.
It is generally involves translating a baseband message signal (called the source) to a bandpass
signal at frequencies that are very high when compared to the base band frequency.
The bandpass signal is called the modulated signal, and the baseband message signal is called the
modulating signal.
Modulation may be done by varying the amplitude, phase or frequency of a high frequency
carrier in accordance with the amplitude of the message signal.
Demodulation is the process of extracting the baseband message from the carrier so that it may
be processed by the intended receiver.

1.1.1 Why we modulate signals?


 In order to ease propagation process and use an antenna of a suitable length. Since the
effective radiation of EM waves requires antenna dimensions comparable with the
wavelength:
eg. -Antenna for 3 kHz would be ~100 km long.
-Antenna for 3 GHz carrier is 10 cm long.
 Sharing the access to the telecommunication channel resources:
This is done by using FDM (Frequency division multiplexing) technique.
 In order to transmit larger power for wide area:
If we amplify the data power using power amplifiers, it will be distorted, so we perform
modulation and amplify the carrier power.
 In order to reduce noise effects in case of non-white Gaussian noise.

1.1.2 Why Digital? (Analog versus Digital):


Modern mobile communication systems use digital modulation techniques.
Advancements in very large-scale integration (VLSI) and digital signal processing (DSP)
technology have made digital modulation more cost effective than analog transmission systems.
Digital modulation offers many advantages over analog modulation. Some advantages include
greater noise immunity and robustness to channel impairments, easier multiplexing of various
forms of information (e.g., voice, data, and video), and greater security. Furthermore, digital

3
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

transmissions accommodate digital error-control codes which detect and/or correct transmission
errors, and support complex signal conditioning and processing techniques such as source
coding, encryption, and equalization to improve the performance of the overall communication
link. New multipurpose programmable digital signal processors have made it possible to
implement digital modulators and demodulators completely in software. Instead of having a
particular modem design permanently frozen as hardware, embedded software implementations
now allow alterations and improvements without having to redesign or replace the modem.
Table (1.1) shows a comparison between analog and digital modulation schemes to conclude the
assessment of both modulation schemes usage in Wireless communication systems

Analog Digital
Less bandwidth(Advantage) Large bandwidth(Disadvantage)

More accurate (Advantage) Less accurate due to the Quantization


error that can not be avoided or corrected.
(Disadvantage)
Low noise immunity (Disadvantage). High noise immunity as the amplitude of
the digital has two levels only and
channel coding (error correcting codes)
can be used. (Advantage)
Low level of security. (Disadvantage) High level of security as you can use
Encryption (Ciphering) and
Authentication. (Advantage)
No signal conditioning and processing are Support complex signal conditioning and
used (Disadvantage) processing techniques such as source
coding, encryption, and
equalization((Advantage)

Low QOS. (Disadvantage) High QOS. (Advantage)


You can use FDM only(Disadvantage) You can use FDM, TDM, CDM, OFDM
multiplexing techniques.
(Advantage)
In mobile communications, analog supports In mobile communications, digital
voice service only. (Disadvantage) supports voice, SMS, data (you can
access the internet), images and video
call. (Advantage)
More difficult to design than Digital. Easily designed using software
(Disadvantage) (Advantage).

Table (1.1) comparisons between analog and digital modulation schemes

4
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.1.3 Factors that influence the choice of digital modulation:


A desirable modulation scheme should provide:
Low bit error rates at low received signal to noise ratio.
 Performs well in multi-path and fading conditions, and in interference environment.
 Occupies a minimum bandwidth.
 Easy and cost-effective to implement.
 Cost and complexity of the receiver subscribers must be minimized.
 Modulation which is simple to detect is most attractive.
Note That: There is no modulation scheme that satisfies all these requirements, so trade-
offs are made when selecting a modulation scheme.

1.1.4 The performance of a modulation scheme:


The performance of the modulation scheme is measured by
 Power efficiency (ηP).
 Bandwidth efficiency(ηB).
 Power spectral density.
 System complexity.

1.1.4.1 Power efficiency ηP:


The power efficiency is defined as the required Eb/N o (Ratio of the signal energy per bit to noise
power spectral density) at the input of the receiver for a certain bit error probability P b over an
AWGN channel.
Power efficiency describes the ability of a modulation technique to preserve the bit error
probability of digital message at low power levels.
In digital modulation systems, in order to increase the noise immunity, it is necessary to increase
the signal power, so there is a trade-off between the signal power and the bit error probability.
The power efficiency is a measure of how favorably this trade-off is made.

1.1.4.2 Bandwidth efficiency (Spectral efficiency) ηB:


Bandwidth efficiency describes the ability of a modulation scheme to accommodate data within
a limited bandwidth.
As the data rate increases, pulse width of the digital symbols decreases and hence the bandwidth
increases.
𝑅𝑏
𝜂𝐵 = 𝑏𝑝𝑠/𝐻𝑧 Eqn (1.1)
𝐵𝑊
The system capacity of a digital mobile communication system is directly related to the
bandwidth efficiency for a modulation scheme.

5
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

So a modulation scheme with greater value of ηB will transmit more data in a given spectrum
allocation.
Note that the maximum possible bandwidth efficiency is limited by the noise in the channel
according to Shannon's Theorem as:
𝐶 𝑆
𝜂𝐵 𝑚𝑎𝑥 = = 𝑙𝑜𝑔2 1 + Eqn (1.2)
𝐵𝑊 𝑁
Where C is the channel capacity in bps , and S/N is the signal to noise ratio .

1.1.4.3 Bandwidth efficiency, Power efficiency Trade-off:


Adding error control coding to message increases the required bandwidth, then 𝜂𝐵 decreases, but
the required received power for a particular bit error rate decreases and hence 𝜂𝑃 increases.
On the other hand using high levels M'ary modulation schemes (except in M‘ary FSK
modulation which isn‘t bandwidth limited modulation scheme), decreases the bandwidth
occupancy, 𝜂𝐵 increases, but the required received power for a particular bit error rate increases
and hence 𝜂𝑃 decreases.

1.1.4.4 System Complexity


System complexity refers to the amount of circuits involved and the technical difficulty of the
system. Associated with the system complexity is the cost of manufacturing, which is of course a
major concern in choosing a modulation technique.
Usually the demodulator is more complex than the modulator. Coherent demodulator is much
more complex than no coherent demodulator since carrier recovery is required. For some
demodulation methods, sophisticated algorithms like the Viterbi algorithm are required.
Also note that, for all personal communication systems which serve a large user community, the
cost and complexity of the subscriber receiver must be minimized, and a modulation which is
simple to detection is most attractive
All these are basis for complexity comparison. Since power efficiency, bandwidth efficiency,
and system complexity are the main criteria of choosing a modulation technique, we will always
pay attention to them in the analysis of modulation techniques.

1.1.4.5 Other considerations


While power and bandwidth efficiency considerations are very important, other factors
also affect the choice of a digital modulation scheme. For example The performance of the
modulation scheme under various types of channel impairments such as Rayleigh and Rician
fading and multipath time dispersion, given a particular demodulator implementation, is another
key factor in selecting a modulation. In cellular systems where interference is a major issue, the
performance of a modulation scheme in an interference environment is extremely important.
Sensitivity to detection of timing jitter, caused by time-varying channels, is also an important
consideration in choosing a particular modulation scheme. In general, the modulation,
interference, and implementation of the time varying effects of the channel as well as the
performance of the specific demodulator are analyzed as a complete system using simulation to
determine relative performance and ultimate selection. 1.1.5 Hierarchy of Digital modulation
6
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

schemes. Digital modulation techniques may be classified into coherent and noncoherent
techniques depending on whether the receiver is equipped with a phase-recovery circuit or not.
The phase recovery circuit ensures that the oscillator supplying the locally generated carrier
wave in the receiver is synchronized (in both frequency and phase) to the transmitter oscillator.

Digital modulation schemes


(according to receiver)

coherent demodulation noncoherent demodulation


(All types of modulation ) (All types of modulation except
PSK)

Fig.(1.1) Digital modulation according to demodulation type


The modulation schemes listed in the fig. (1.2) and the tree are classified into two large
categories: constant envelope and nonconstant envelope. Under constant envelope class, there
are three subclasses: FSK and PSK. Under nonconstant envelope class, there are three
subclasses: ASK and QAM.
Digital
Modulation
schemes

constant nonconstant
Envelope envelope

FSK PSK ASK M'ary QAM

-BFSK -BPSK
-On-Off
-M'ary FSK -DPSK -Rectangular
keying. QAM.
-MSK -M'ary PSK. -M'ary ASK -circular QAM
-GMSK -QPSK.
-OQPSK.
π / 4–QPSK

Fig.(1.2) Digital modulation hierarchy

7
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.1.6 Types of modulation schemes in different advanced digital


communication systems:
Table (1.2) shows examples of the used modulation schemes in different wireless modern
communication systems
Communication system Used modulation scheme
GSM (Global System for Mobile GMSK
communications) 2G.
GPRS (General Packet Radio Service)
2.5G.
EDGE (Enhanced Data Rates for GSM 8PSK
Evolution) 2.75G.
CDMA 2000 (Code Division Multiple -QPSK in the forward channel (From BTS to
Access) MS).
-OQPSK in the reverse channel
UMTS (Universal Mobile QPSK
Telecommunications System) 3G
HSDPA (High-Speed Downlink Packet -Adaptive modulation: depending on signal
Access). 3.5G quality and cell usage.
- QPSK , data rate: 1.8 Mbit/s
- 16QAM, data rate: 3.6 Mbit/s in good radio
conditions.
Wi Fi (Wireless Fidelity) BPSK , QPSK , 16 QAM , 64 QAM
WiMAX (the Worldwide Interoperability Adaptive Modulation:
for Microwave Access) , Fixed and mobile QPSK, 16 QAM, 64 QAM

Table (1.2) Modulation schemes used in advanced communication systems

1.1.7 Geometric representation of Modulated signal (Constellation


diagram).
To proceed with the analysis of the digital modulation schemes, see the constellation diagram as
we can see the Digital modulation means choosing particular signals from a finite set of a
possible signal waveforms (symbols) based on the information bits applied to modulator.
If there are total of M possible signals
S= 𝑠1 , 𝑠2 , … … . , 𝑠𝑀
For binary information bit S will contain two signals and For signal size of MIt is possible
to transmit log2M bits to represent a symbol.(ex. M=83 bits/symbol)
Vector space analysis provides valuable insight into the performance of particular modulation
scheme. The idea is any realizable waveforms in a vector space can be expressed as a linear
combination of ―N‖ orthonormal waveforms (called a basis signal).Once the basis signal is
determined the signal can be expressed as a linear combination of them.

1.1.7.1 The Basis signal conditions


N
(1) Si t = j=1 sij ϕj (t) Eqn (1.3)

8
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

That means that any signal can be represented by linear combination of basis functions
(2) Basis signals are orthogonal to each other in time

ϕ t ϕj t dt = 0
−∞ i
i≠ j Eqn(1.4)
(3) Basis signals are normalized to unit energy

ϕ2 t dt = 1
−∞ i
Eqn (1.5)
i.e. basis signals forms a coordinate system for the vector space
Note that:
 no. of basis signals is less than or equal the signal set
 No of basis signals is called dimension

1.1.7.2 Constellation diagram interpretation


The constellation diagram provides graphical representation of the complex envelope of each
possible symbol state. The X-axis of the diagram is called in-phase component and the y-axis
represents the quadrature component.
The distance between signals on constellation diagram relates to how different the modulation
waveforms are and how well the receiver can differentiate between all possible symbols when
random noise is present.
Some of properties of the modulation scheme can be inferred from the constellation diagram:
 BW occupied by the modulation signals decreases as no. of points increases i.e. if
modulation scheme has a densely packed constellation it would be more bandwidth
efficient.
 Pe is proportional to the distance between the closest points in constellation 
densely packed modulation scheme is less energy efficient than the modulation
scheme that has sparse constellation

High Power efficiency


low Power efficiency
Low Bw efficiency
high BW efficiency
____________________________________________________________
Fig.(1.3) comparison between constellation diagram interpretation on power and BW
efficiencies.

9
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.1.7.3 Probability of error and constellation diagram


The constellation diagram can also be employed to find the upper bound for symbol error rate in
AWGN channel with PSD=No
Is

𝑑 𝑖𝑗
𝑃𝑠 (𝜀|𝑠𝑖 ) ≤ 𝑗 =1,𝑗 ≠𝑖 𝑄 Eqn (1.6)
2𝑁𝑜
Where the Q-function is
∞ 1
𝑄 𝑥 = 𝑥
(−𝑥 2 2) 𝑑𝑥
exp⁡ Eqn (1.7)
2𝜋

And dij is Euclidean distance between ith and the jth points.

1.2 LINE CODES


Line codes (Baseband modulation) is defined as a direct transmission without Frequency
transform. It is the technology of representing digital sequences by pulse waveforms suitable for
baseband transmission. A variety of waveforms have been proposed in an effort to find ones with
some desirable properties, such as good bandwidth and power efficiency, and adequate timing
information. These baseband modulation waveforms are variably called line codes, baseband
formats (or waveforms), PCM waveforms (or formats, or codes).
Any of several line codes can be used for the electrical representation of a binary data
stream. Fig (1.4) displays the waveforms of five important line codes for the example data
stream 01101001. Fig (1.5) displays their individual power spectra (for positive frequencies) for
randomly generated binary data,
Assuming that
 symbols 0 and 1 are equiprobable,
 the average power is normalized to unity, and
 The frequency f is normalized with respect to the bit rate 1/T b. The five line codes
illustrated in Fig (1.4) are described here:

1.2.1 Unipolar nonreturn-to-zero (NRZ) signaling


In this line code, symbol 1 is represented by transmitting a pulse A for the duration of the
symbol, and symbol 0 is represented by switching off the pulse, as in Fig (1.4) (a).This line code
is also referred to as on-off signaling.
Disadvantages of on-off signaling are the waste of power due to transmitted DC level and the
fact that the power spectrum of the transmitted signal does not approach zero at zero frequency.

10
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.2.2 Polar nonreturn-to-zero (NRZ) signaling


In this second line code, symbol 1 and 0 are represented by transmitting pulse of
amplitudes +A and –A, respectively, as illustrated in Fig (1.4) (b). This line code is relatively
easy to generate but disadvantage is that the power spectrum of the signal is large near zero
frequency.

1.2.3 Unipolar return-to-zero (RZ) signaling


In this other line code, symbol 1 is represented by a rectangular pulse of amplitude A and
half-symbol 0 width, and symbol 0 is represented by transmitting no pulse, as illustrated in Fig
(1.4) (c). An attractive feature of this line code is the presence of delta functions at f = 1/Tb in
the power spectrum of the transmitted signal, which can be used for bit-timing recovery at the
receiver. However, its disadvantage is that it requires 3db more power than polar return-to-zero
signaling for the same probability of symbol error.

____________________________________________________________________
Fig (1.4) Line codes for the electrical representation of binary data: (a) Unipolar NRZ signaling.
(b) Polar NRZ signaling. (c) Unipolar RZ signaling. (d) Bipolar RZ signaling. (e) Split-phase or
Manchester code.

11
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

_____________________________________________________________________
Fig(1.5) Power spectra of line codes: (a) Unipolar NRZ signal. (b) Polar NRZ signal. (c)
Unipolar RZ signal. (d) Bipolar RZ signal. (e) Manchester-encoded signal. The frequency is
normalized with respect to the bit rate 1/Tb and the average power is normalized to unity.

12
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.2.4 Bipolar return-to-zero (BRZ) signaling


This line code uses three amplitude levels as indicated in Fig (1.4) (d). Specifically, positive and
negative pulses of equal amplitude (i.e., +A and –A) are used alternately for symbol 1, with each
pulse having a half-symbol width; no pulse is always used for symbol 0. A useful property of the
BRZ signaling is that the power spectrum of the transmitted signal has no DC component and
relatively insignificant low-frequency components for the case when symbols 1 and 0 occur with
equal probability. This line code is also called alternate mark inversion (AMI) signaling

1.2.5 Split-phase (Manchester code)


In this method of signaling, which illustrated in Fig (1.4) (e). Symbol 1 is represented by a
positive pulse of amplitude A followed by a negative pulse of amplitude –A, with both pulses
being half-symbol wide. For symbol 0, the polarities of these two pulses are reversed. The
Manchester code suppresses the DC component and has relatively insignificant low-frequency
components, regardless of the signal statistics. This property is essential in some applications.

1.2.6 Differential encoding


This method is used to encode information in terms of signal transitions. In particular, a
transition is used to designate symbol 0 in the incoming binary data stream, while no transition is
used to designate symbol l, as illustrated in Fig (1.6). In Fig (1.6)(b).the differentially encoded
data stream is shown for the example data specified in Fig (1.6)(a) .The original binary data
stream used here is the same that used in Fig (1.4). The waveform of the differentially encoded
data is shown in Fig (1.6)(c)., assuming the use of unipolar nonreturn-to-zero signaling. From
Fig (1.6) it is apparent that a differentially encoded signal may be inverted without affecting its
interpretation. The original binary information is recovered simply by comparing the polarity of
adjacent binary symbols to establish whether or not a transition has occurred. Note that
differential encoding requires the use of a reference bit before initiating the encoding process. In
Fig (1.6), symbol 1 is used as the reference bit.

_____________________________________________________________________
Fig (1.6)(a) Original binary data. (b) Differentially encoded data, assuming reference bit 1. (c)
Waveform of differentially encoded data using unipolar NRZ signaling.

13
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Distorted Amplifie Decision Regenerate


PCM wave r- -making d PCM
equalizer device wave

Timing
circuit
_____________________________________________________________________
Fig (1.7). Block diagram of regenerative repeater.

1.3 PULSE SHAPING TECHNIQUES


When rectangular pulses are passed through a bandlimited channel, the pulses will spread in
time, and the pulse for each symbol will smear into the time intervals of succeeding symbols.
This causes intersymbol interference (ISI) and leads to an increased probability of the receiver
making an error in detecting a symbol. One obvious way to minimize intersymbol interference is
to increase the channel bandwidth. However, mobile communication systems operate with
minimal bandwidth, and techniques that reduce the modulation bandwidth and suppress out-of-
band radiation, while reducing intersymbol interference, are highly desirable. Out-of-band
radiation in the adjacent channel in a mobile radio system should generally be 40 dB to 80 dB
below that in the desired passband. Since it is difficult to directly manipulate the transmitter
spectrum at RF frequencies, spectral shaping is done through baseband or IF processing. There
are a number of well known pulse shaping techniques which are used to simultaneously reduce
the intersymbol effects and the spectral width of a modulated digital signal.

1.3.1 Intersymbol Interference (ISI)


Intersymbol interference (ISI) is a source of bit errors in a baseband-pulse transmission system.
It arises when the channel is dispersive.
Consider this baseband binary transmission system as shown in Fig

____________________________________________________________________
Fig (1.8) Baseband binary data transmission system
The output of the receiver would be
𝑦 𝑡 = 𝜇 𝑘 𝑎𝑘 𝑝 𝑡 − 𝑘𝑇𝑏 + 𝑛(𝑡) Eqn (1.8)
14
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Input binary data bk consists of symbols 1 and 0 each of duration T b. PAM modifies this binary
sequences into a new sequence of short pulses.
+1 if symbol bk is 1
ak = Eqn (1.9)
−1 if symbol bk is 0
s t = k ak g t − kTb Eqn(1.10)
y t = μ ak p t − kTb + n(t)
where  is a scaling factor and p(t) is to be defined and normalized i.e p(0) = 1
P(t) = g(t) * h(t) * c(t) Eqn (1.11)
* denotes convolution
Convolution in time domain  multiplication in (f) domain
P(f) = G(f) H(f) C(f) ) Eqn (1.12)
Receive filter output y(t) is sampled at time ti = iTb.
𝑦 𝑡𝑖 = 𝜇 ∞ 𝑘=−∞ 𝑎𝑘 𝑝 𝑖 − 𝑘 𝑇𝑏 + 𝑛 𝑡𝑖
= 𝜇 𝑎𝑖 + 𝜇 ∞ 𝑘=−∞ 𝑎𝑘 𝑖 − 𝑘 𝑇𝑏 + 𝑛 𝑡𝑖 Eqn(1.13)
𝑘≠𝑖
ai is the contribution of the ith transmitted bit
BUT Second term represents the ISI
[Residual effect due to the occurrence of pulse before and after the sampling time instant t i is
called ISI]
Note that:
 Under normal (ideal) conditions the ith transmitted bit is decoded correctly.
 ISI and noise in system introduce errors in decision device at the receiver.
 We want to minimize these effects to reach good decoding.
 We will neglect noise now to concentrate on ISI only.

1.3.2 Nyquist criterion for Distortion less Base Band Binary


Transmission
Typically the frequency response of the channel and the transmission pulse shape are specified,
the problem is to determine the frequency responses of the transmit and receive filters to
reconstruct the original binary data sequence (bk). Extraction involves sampling the o/p y(t) at
time t=iTb.
The decoding requires that the weighted pulse contribution ak P(iTb – kTb) for k=i be free from
ISI due to overlapping tails of all other weighted pulse contributions represented by ki
1 𝑖=𝑘
We control pulse p(t) such that 𝑝 𝑖𝑇𝑏 − 𝑘𝑇𝑏 = If p(t) satisfies this  ISI will
0 𝑖 ≠𝑘
vanish.
How to design this?
Converting to frequency domain considering that sampling process in time and frequency
domain and periodicity in (f) domain.
F.T of infinite periodic sequence of delta function of period T b whose individual areas are
weighted by the respective sample value of p(t) that is given P (f) is given by
15
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation


𝑃𝛿 𝑓 = 𝑅𝑏 𝑛 =−∞ 𝑃(𝑓 − 𝑛𝑅𝑏 )
∞ ∞
= −∞ 𝑚 =−∞
𝑝 𝑚𝑇𝑏 𝛿 𝑡 − 𝑚𝑇𝑏 𝑒 −𝑗2𝜋𝑓𝑡 𝑑𝑡 Eqn(1.14)
Let m = i – k  i = k corresponds to m = 0
 i  k corresponds to m  0

𝑝𝛿 𝑓 = −∞
𝑝 0 𝛿(𝑡) 𝑒 −𝑗2𝜋𝑓𝑡 𝑑𝑡 = 𝑝 0 = 1 Eqn(1.15)
 Condition of zero ISI is

𝑛=−∞ 𝑃 𝑓 − 𝑛𝑅𝑏 = 𝑇𝑏 Eqn(1.16)
Nyquist criterion for distortion less baseband transmission in the absence of noise
1 𝑓
Ideal Nyquist channel 𝑃 𝑓 = 𝑟𝑒𝑐𝑡
2𝑤 2𝑤
𝑅𝑏 1
𝑤= =
2 2𝑇𝑏
𝑝 𝑡 = 𝑠𝑖𝑛𝑐(2𝑤𝑡) Eqn(1.17)
Note:
Rb = 2w is called Nyquist rate.
W is called Nyquist bandwidth

_____________________________________________________________________
Fig (1.9) Nyquist criterion for ISI cancellation (ideal Nyquist channel)
(a) Ideal magnitude. (b) Ideal basic pulse shape
This transfer function corresponds to a rectangular "brick-wall" filter with absolute
bandwidth=Rb/2 where Rb is the bit rate. While this transfer function satisfies the zero ISI
criterion with a minimum of bandwidth, there are practical difficulties in implementing it, since
it corresponds to a noncausal system (h(t) exists for t< 0) and is thus difficult to approximate.
Also, the (sin t) /t pulse has a waveform slope that is 1/t at each zero crossing, and is zero
only at exact multiples of 7's, thus any error in the sampling time of zero-crossings will cause

16
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

significant ISI due to overlapping from adjacent symbols (A slope of 1/t2 or 1/t3 is more
desirable to minimize the ISI due to timing jitter in adjacent samples).

1.3.3 Raised Cosine Filter


To overcome the practical difficulties encountered with ideal Nyquist channel by
extending the B.W from the minimum value w = Rb/2 to an adjustable value between w and 2w
we use the overall frequency response p(f) to satisfy a condition more elaborate than that for the
ideal Nyquist channel
1
𝑝 𝑓 + 𝑝 𝑓 − 2𝑤 + 𝑝 𝑓 + 2𝑤 = −𝑤 ≤ 𝑓 ≤𝑤 Eqn(1.18)
2𝑤
1
0 ≤ 𝑓 ≤ 𝑓1
2𝑤
1 𝜋( 𝑓 −𝑤)
𝑝 𝑓 = 1 − 𝑠𝑖𝑛 𝑓1 ≤ 𝑓 ≤ 2𝑤 − 𝑓1 Eqn(1.19)
4𝑤 2𝑤−2𝑓1
0 𝑓 ≥ 2𝑤 − 𝑓1
f1
Where  = 1 −
w

  is called roll off factor which indicates the excess bandwidth over the ideal solution w.
 Transmission B.W BT = 2w – f1 = (1+) W.

This transfer function is plotted in Fig 1.10 for various values of a. When  = 0. the raised
cosine rolloff filter corresponds to a rectangular filter of minimum bandwidth. The
corresponding impulse response of the filter can be obtained by taking the inverse Fourier
transform of the transfer function, and is given by
cos 2παwt
p t = sinc 2wt Eqn (1.20)
1−16α2 w 2 t 2
Notice that the impulse response decays much faster at the zero-crossings (approximately
as 1/t3 for t>> when compared to the 'brick-wall" filter (=0). The rapid time rolloff allows it to
be truncated in time with little deviation in performance from theory. As seen from Fig 1.10, as
the rolloff factor a increases, the bandwidth of the filter also increases, and the time side lobe
levels decrease in adjacent symbol slots. This implies that increasing a decreases the sensitivity
to timing jitter, but increases the occupied bandwidth.
The spectral efficiency offered by a raised cosine filter only occurs if the exact pulse
shape is preserved at the carrier. This becomes difficult if nonlinear RF amplifiers are used.
Small distortions in the baseband pulse shape can dramatically change the spectral occupancy of
the transmitted signal. If not properly controlled, this can cause serious adjacent channel
interference in mobile communication systems. A dilemma for mobile, communication designers
is that the reduced bandwidth offered by Nyquist pulse shaping requires linear amplifiers which
are not power efficient. An obvious solution to this problem would be to develop linear
amplifiers which use real time feedback to offer more power efficiency, and this is currently an
active research thrust for mobile communications.

17
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

_______________________________________________________________
Fig (1.10) Responses for different rolloff factors of raised cosine filter.
(a) Frequency response. (b) Time response.

1.3.4 Gaussian Filter


It is also possible to use non-Nyquist techniques for pulse shaping. Prominent among
such techniques is the use of a Gaussian pulse-shaping filter which is particularly effective when
used in conjunction with Minimum Shift Keying (MSK) modulation, or other modulations which
are well suited for power efficient nonlinear amplifiers. Unlike Nyquist filters which have zero-
crossings at adjacent symbol peaks and a truncated transfer function, the Gaussian filter has a
smooth transfer function with no zero-crossings.

18
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The impulse response of the Gaussian filter gives rise to a transfer function that is highly
dependent upon the 3-dB bandwidth. The Gaussian Iowpass filter has a transfer function given
By
𝐻𝐺 𝑓 = exp⁡ (−𝛼 2 𝑓 2 ) Eqn(1.21)
The parameter α is related to bandwidth, the 3-dB bandwidth of the baseband Gaussian shaping
filter is given by,
0.5887
α= Eqn(1.22)
B
As a increases, the spectral occupancy of the Gaussian filter decreases and time dispersion of the
applied signal increases. The impulse response of the Gaussian filter is given by
π π2 2
hG t = exp − t Eqn(1.23)
α α2

Fig 1.11 shows the impulse response of the baseband Gaussian filter for various values of 3-dB
bandwidth-symbol time product (BTS). The Gaussian filter has a narrow absolute bandwidth
(although not as narrow as a raised cosine rolloff filter), and has sharp cut-off, low overshoot,
and pulse area preservation properties which make it very attractive for use in modulation
techniques that use nonlinear RF amplifiers and do not accurately preserve the transmitted pulse
shape .
It should be noted that since the Gaussian pulse-shaping filter does not satisfy the Nyquist
criterion for ISI cancellation, reducing the spectral occupancy creates degradation in
performance due to increased ISI. Thus, a trade-off is made between the desired RF bandwidth
and the irreducible error due to ISI of adjacent symbols when Gaussian pulse shaping is used.
Gaussian pulses are used when cost is a major factor and the bit error rates due to ISI are deemed
to be lower than what is nominally required.

Fig (1.11) impulse response of Gaussian shaping filter


1.4 AMPLITUDE-SHIFT KEYING (ASK) MODULATION
1.4.1 Introduction
Amplitude shift keying (ASK) is nonconstant modulation scheme where the amplitude of the
carrier frequency is changed with respect to the message signal. When the amplitude is altered
between ―A‖ and zero volt the modulation is considered on-off keying .Also the ASK

19
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

modulation can ne extended to M‘ary modulation scheme with Multi-level signal. The ASK can
be coherently or noncoherently demodulated.

1.4.2 Binary Amplitude-Shift Keying (BASK)


A binary amplitude-shift keying (BASK) signal can be defined by
s t = Am t cos 2πfc t 0 ≤t ≤T Eqn (1.23)
where A is a constant, m(t) = 1 or 0, fc is the carrier frequency, and T is the bit duration. It has a
A2
power P = , so that A = 2P . Thus equation (1) can be written as
2
s t = 2P cos 2πfc t , 0 ≤t ≤T
2
= PT cos 2πfc t , 0 ≤t ≤T
T
2
= E cos 2πfc t , 0 ≤t ≤T Eqn (1.24)
T
where E = P T is the energy contained in a bit duration.
2
If we take ∅1 t = cos2πfc t as the orthonormal basis function, the applicable signal space or
T
constellation diagram of the BASK signals is shown in Fig (1.11).

Fig (1.11) BASK signal constellation diagram.


Fig (1.12) shows the BASK signal sequence generated by the binary sequence 0 1 0 1 0 0 1. The
amplitude of a carrier is switched or keyed by the binary signal m(t). This is sometimes called
on-off keying (OOK).

___________________________________________________________________
Fig (1.12) (a) Binary modulating signal and (b) BASK signal
The Fourier transform of the BASK signal s(t) is
𝐴 ∞
𝑆 𝑓 = 𝑚 𝑡 𝑒 𝑗 2𝜋𝑓𝑐 𝑡 𝑒 −𝑗2𝜋𝑓𝑡 𝑑𝑡 +
2 −∞
𝐴 ∞
𝑚 𝑡 𝑒 −𝑗 2𝜋𝑓𝑐 𝑡 𝑒 −𝑗2𝜋𝑓𝑡 𝑑𝑡
2 −∞
𝐴 𝐴
𝑆 𝑓 = 𝑀 𝑓 − 𝑓𝑐 + 𝑀 𝑓 + 𝑓𝑐 Eqn (1.25)
2 2

20
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The effect of multiplication by the carrier signal Acos 2πfct is simply to shift the
spectrum of the modulating signal m (t) to fc. Fig 1.13 shows the amplitude spectrum of the
BASK signals when m(t) is a periodic pulse train. Since we define the bandwidth as the range
occupied by the baseband signal m(t) from 0 Hz to the first zero-crossing point, we have B Hz of
bandwidth for the baseband signal and 2B Hz for the BASK signal.

_____________________________________________________________________
Fig (1.13) (a) Modulating signal, (b) spectrum of (a), and (c) spectrum of BASK signals.

Fig (1.14) shows the modulator and a possible implementation of the coherent demodulator for
BASK signals.

_________________________________________________________________
Fig (1.14) (a) BASK modulator and (b) coherent demodulator.

1.4.3 M-ary Amplitude-Shift Keying (M-ASK)


An M-ary amplitude-shift keying (M-ASK) signal can be defined by
𝐴𝑖 𝑐𝑜𝑠2𝜋𝑓𝑐 𝑡 0 ≤𝑡 ≤𝑇
𝑠 𝑡 = Eqn (1.26)
0, 𝑒𝑙𝑠𝑒𝑤𝑕𝑒𝑟𝑒
where

21
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Ai = A[2i - (M - 1)] Eqn (1.27)


for i = 0, 1, ..., M - 1 and M > 4. Here, A is a constant, fc is the carrier frequency, and T is the
𝐴2
symbol duration. The signal has a power Pi = ,, so that Ai = 2𝑃𝑖 .
2
Thus equation (4) can be written as
𝑠 𝑡 = 2𝑃𝑖 cos 2𝜋𝑓𝑐 𝑡 , 0 ≤𝑡 ≤𝑇
2
= 𝑃𝑖 𝑇 cos 2𝜋𝑓𝑐 𝑡 , 0 ≤𝑡 ≤𝑇
𝑇

2
= 𝐸𝑖 cos 2𝜋𝑓𝑐 𝑡 , 0 ≤𝑡 ≤𝑇 Eqn(1.28)
𝑇

where Ei = PiT is the energy of s(t) contained in a symbol duration for i = 0, 1, ..., M -1.
Fig (1.15) shows the signal constellation diagrams of M-ASK and 4-ASK signals.

_____________________________________________________________________
Fig (1.15) (a) M-ASK and (b) 4-ASK signal constellation diagrams.
Fig (1.16) shows the 4-ASK signal sequence generated by the binary sequence 00 01 10 11.

____________________________________________________________________
Fig (1.16) 4-ASK modulation: (a) binary sequence, (b) 4-ary signal, and (b) 4-ASK signal.
Fig (1.17) shows the modulator and a possible implementation of the coherent demodulator for
M-ASK signals.

22
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

_____________________________________________________________________
Fig 1.17 (a) M-ASK modulator and (b) coherent demodulator.

1.4.4 Probability of error:


For binary ASK (or as special case OOK signal) the probability of error would be

𝐸𝑏
𝑃𝑒 = 𝑄 Eqn(1.29)
2𝑁0
And For M-ary ASK (MAM) the probability of error would be

2(𝑀−1) 6(𝑙𝑜𝑔 2 𝑀)𝐸𝑏 𝑎𝑣𝑔


𝑃𝑠 = 𝑄 Eqn(1.30)
𝑀 𝑀 2 −1 𝑁𝑜

1.5 PHASE SHIFT KEYING MODULATION TECHNIQUES


Phase shift keying is constant envelope modulation technique where the phase of the carrier is
switched according to the message signal and normally cannot be noncoherently demodulated .
This section is begun with binary PSK(BPSK) followed by the differential PSK (DPSK) as a
brilliant solution of noncoherent demodulation of the PSK, Then the M‘ary PSK followed by a
common and robust special case modulation scheme the later which is quadrature PSK (QPSK)
and its modified versions offset QPSK(OQPSK) and (π/4 QPSK)

23
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.5.1 Binary phase shift keying (BPSK):-


Here the phase of constant amplitude carrier signal is switched between two values
according to the possible signals m1, m2 which corresponds to 1, 0.
Normally m1, m2 phases are separated by 180 phase shift and amplitude of Ac and energy per
1
bit (Eb= A2c Tb)
2

1.5.1.1 BPSK Signal equation:


2𝐸𝑏
𝑆𝐵𝑃𝑆𝐾 = cos 2𝜋𝑓𝑐 𝑡 + 𝜃𝑐 0 ≤ 𝑡 ≤ 𝑇𝑏 (for binary 1) Eqn(1.31)
𝑇𝑏

OR: The signal is shifted by π when transmitting binary zero which means
2𝐸𝑏
𝑆𝐵𝑃𝑆𝐾 = − cos 2𝜋𝑓𝑐 𝑡 + 𝜃𝑐 0 ≤ 𝑡 ≤ 𝑇𝑏 (for binary 0) Eqn(1.32)
𝑇𝑏

These signals are referred to as antipodal signals and is normalized to unit energy
The reason that they are chosen is that they have a correlation coefficient of -1, which leads to
the minimum error probability for the same Eb/No, as we will see shortly.
If m(t) represents binary data which takes on one of two possible pulse shapes(1,-1) as general
case
2𝐸𝑏
𝑆𝐵𝑃𝑆𝐾 = 𝑚(𝑡) cos 2𝜋𝑓𝑐 𝑡 + 𝜃𝑐 0 ≤ 𝑡 ≤ 𝑇𝑏 Eqn(1.33)
𝑇𝑏

Therefore The BPSK signal is equivalent to a double sideband suppressed carrier amplitude
modulated waveform, where cos (2𝜋𝑓𝑐 𝑡) is applied as the carrier, and the data signal in m(t) is
applied as the modulating waveform. Hence a BPSK signal can be generated using a balanced
modulator.

1.5.1.2 Time domain


For the binary data {10110} the modulated carrier would be

Fig 1.18 BPSK signal in time domain

1.5.1.3 Spectrum & Bandwidth


The power spectral density (PSD) of the complex envelope can be shown to be:
𝑆𝐵 𝑓 = 2𝐸𝑏 𝑠𝑖𝑛𝑐 2 𝑇𝑏 𝑓 Eqn(1.34)

24
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Where Eb is bit energy and Tb is bit duration

That is equivalent to PSD at RF


𝐸𝑏 (𝜋(𝑓−𝑓𝑐 )𝑇𝑏 2
sin ⁡ (𝜋(−𝑓−𝑓𝑐 )𝑇𝑏 2
sin ⁡
𝑃𝑃𝑆𝐾 = + Eqn(1.35)
2 𝜋(𝑓−𝑓𝑐 )𝑇𝑏 𝜋(−𝑓−𝑓𝑐 )𝑇𝑏

Which result in Null to null BW=twice bit rate


𝑁𝑢𝑙𝑙 𝑡𝑜 𝑛𝑢𝑙𝑙 𝐵𝑊 = 2𝑅𝑏 Eqn(1.36)
From Fig (1.19) It can be concluded that 90% of BPSK energy is contained within an
approximately equal to 1.6 Rb and it can be found that with using a raised cosine filter
of roll of factor α = 0.5 all energy are contained within 1.5 Rb

Fig (1.19) BPSK spectrum with rectangular and raised cosine filter with roll of factor=0.5

1.5.1.4 Constellation diagram


2
Let, 𝜙1 = cos 2𝜋𝑓𝑐 𝑡 + 𝜃𝑐 is the basis signal then we will have two constellation points
𝑇𝑏

separated by 180 degree phase shift


Therefore A coherent binary PSK system is characterized by having a signal space that is one
dimensional (i.e. N=1), with a signal constellation consisting of two message points

25
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (1.20) BPSK constellation diagram

1.5.1.5 Modulator of BPSK


Using a balanced modulator after putting the binary data on the form of polar NRZ (non return to
zero) (-1,+1) we can generate the BPSK signal note that the carrier frequency 𝑓𝑐 must satisfy that
𝑓𝑐 = 𝑚𝑅𝑏 for satisfying synchronization i.e. ensure that each transmitted bit contains an integral
number of cycles of the carrier wave.

Fig (1.21) BPSK modulator

1.5.1.6 Demodulator of BPSK:-


As we pointed out before the PSK modulation must be coherently demodulated so a carrier
recovery circuit (Costas loop-phase locked loop) must be employed to obtain the carrier.
To detect the original binary sequence of 1‘s and zero‘s we apply the noisy PSK signal to a
correlator which is supplied with the locally generated carrier the correlator output is compared
with a threshold of zero volts if the output exceeds zero the receiver decides in favor of symbol 1
otherwise the receiver decides in favor of zero.

26
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (1.22) BPSK demodulator

2𝐸𝑏 2𝐸𝑏 1 1
𝑥0 𝑡 = 𝑚 𝑡 𝑐𝑜𝑠 2 2𝜋𝑓𝑐 𝑡 + 𝜃 = 𝑚 𝑡 + cos⁡
(2(2𝜋𝑓𝑐 𝑡 + 𝜃) Eqn(1.37)
𝑇𝑏 𝑇𝑏 2 2

When no pilot signal is transmitted a Costas loop or squaring loop may be used to synthesize the
carrier phase and frequency from the received BPSK signal. Fig (1.23) shows the block diagram
of a BPSK receiver along with the carrier recovery circuits.

Fig (1.23) shows the block diagram of a BPSK receiver along with the carrier recovery circuits.
The received signal is squared to generate a dc signal and an amplitude varying sinusoid at twice
the carrier frequency. The de signal is filtered out using a bandpass filter with center frequency
tuned to A frequency divider is then used to recreate the waveform.

27
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.5.1.7 Power sufficiency & bandwidth efficiency of BPSK:-


Since we have only two constellation points hence we have
 High power efficiency
 Low bandwidth efficiency: the symbol is represented by 1 bit
𝑅𝑏
𝜂= = 0.5 Eqn(1.38)
𝐵𝑊

1.5.1.8 Probability of error of BPSK:-


Since that Distance between constellation points =2 Eb .
Then the probability of error is derived from the general probability of error equation of the
matched filter (correlator) receiver
𝐸1 +𝐸2 −2𝜌12 𝐸1 𝐸2
𝑃𝑒 = 𝑄 Eqn(1.39)
2𝑁𝑜

With 𝜌 = −1 and E1=E2=Eb in the BPSK modulation therefore


2𝐸𝑏 1 𝐸𝑏
𝑃𝑒 = 𝑄 = 𝑒𝑟𝑓𝑐 Eqn(1.40)
𝑁𝑜 2 𝑁𝑜

1.5.2 Differential phase shift keying (DPSK):-


As we have seen in BPSK modulation that the demodulator must be coherent i.e. it needs a
reference signal to be demodulated which will increase the complexity of the demodulator by the
synchronization circuits and the reason of this that the demodulator must preserve the phase of
the carrier which includes the message. From here a noncoherent version of BPSK is needed.
the idea here is to equip the receiver with storage capability so as it can measure the relative
phase difference between the waveforms received during two successive bit intervals provided
that the unknown phase varies slowly (slow enough to be considered constant over the two bit
intervals)
That is we consider the differential PSK (DPSK) as Noncoherent form of PSK. which will result
in many advantages such as: no need for coherent reference signal and the receivers are cheap to
build.
This would be done by differential encoding i.e. The input binary sequence is first differentially
encoded & then modulated using BPSK modulator.

1.5.2.1 Differential encoding procedure:


Here The baseband data is encoded before modulating it onto carrier. The encoded output bit is
determined from the input bit and the previous output bit.
Let ak: original binary data. And
dk: encoded binary data sequence.
Encoding:
𝑑𝑘 = 𝑎𝑘 ⨁𝑑𝑘−1 Eqn(1.41)

28
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Decoding: 𝑎𝑘 = 𝑑𝑘 ⨁𝑑𝑘−1
Eqn(1.42)
The effect: to leave symbol dk unchanged from the previous symbol if ak=1 & toggle if else.
Example of differential encoding:

mk 1 0 0 1 0 1 1 0
dk-1 1 1 0 1 1 0 0 0
dk 1 1 0 1 1 0 0 0 1

Table (1.3) Example of differential encoding

1.5.2.2 Modulator of DPSK:

Fig (1.24) DPSK modulator


It consists of a one bit delay element and a logic circuit interconnected so as to generate the
differentially encoded sequence from the input binary sequence. The output is passed through a
product modulator to obtain the DPSK signal i.e. output bit is delayed by 1 bit duration and
XNORed with newer i/p bit, Then the o/p sequence is transformed to polar NRZ and then it will
be like BPSK.

1.5.2.3 Demodulator of DPSK:-


(1) Suboptimum receiver:
At the receiver, the original sequence is recovered from the demodulated differentially encoded
signal through a complementary process,

Fig (1.25) Suboptimum receiver of DPSK modulation

29
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

(2) Optimum receiver:


The demodulator does not require phase synchronization between the reference signals and
the received signal. But it does require the reference frequency be the same as the received
signal this can be maintained by using stable oscillators, such as crystal oscillators, in both
transmitter and receiver. However, in the case where Doppler shift exists in the carrier
frequency, such as in mobile communications, frequency tracking is needed to maintain the
same frequency Therefore the suboptimum receiver is more practical, and indeed it is the
usual-sense DBPSK receiver. Its error performance is slightly inferior to that of the optimum

Fig (1.26) Optimum receiver of DPSK modulation

1.5.2.4 Example:
A complete example of differential PSK (DPSK) is shown in Table (1.4)

Modulation ref
Message ak 1 0 1 1 0 0 0 1 1
Encoding 𝑑𝑘 = 𝑎𝑘 ⨁𝑑𝑘−1 1 1 0 0 0 1 0 1 1 1
Signal phase 𝜃 0 0 𝜋 𝜋 𝜋 0 𝜋 0 0 0
Demodulation
Output of correlator 1 -1 1 1 -1 -1 -1 1 1

Demodulator output 1 0 1 1 0 0 0 1 1
Table(1.4) DPSK example

1.5.2.5 Advantages & disadvantages:-


Advantage: reduce the receiver complexity.
Disadvantage: energy efficiency is less than coherent PSK by 3 dB

30
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.5.2.6 Power spectral density:


The same as BPSK Since the difference of differentially encoded BPSK from BPSK is
differential encoding, which always produces an asymptotically equally likely data sequence the
PSD of the differentially encoded BPSK is the same as BPSK which we assume is equally likel

1.5.2.7 Probability of error:-


1
𝑃𝑒 = 𝑒 −𝐸𝑏 /𝑁𝑜 Eqn (1.43)
2
This provides a gain of 3 dB over noncoherent FSK for same Eb/No

Fig (1.27) Performance comparison between coherent BPSK,coherent DPSK ,optimum and
suboptimum DPSK

1.5.3 M-ary phase shift keying (M’ary PSK/MPSK)


The motivation behind MPSK is to increase the bandwidth efficiency of the PSK modulation
schemes. In BPSK, a data bit is represented by a symbol. In MPSK, n = log2 M data bits are
represented by a symbol, thus the bandwidth efficiency is increased to n times. Among all MPSK
schemes, QPSK is the most-often-used scheme since it does not suffer from BER degradation
while the bandwidth efficiency is increased. This will be seen in Section 4.6. Other MPSK
schemes increase bandwidth efficiency at the expenses of BER performance. Here carrier phase
takes on one of M possible values namely
2(𝑖−1)𝜋
𝜃𝑖 = Eqn(1.44)
𝑀
Where i=1,2,3,….M

31
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.5.3.1 Signal Equation:-


2𝐸𝑠 2𝜋
𝑆𝑖 𝑡 = cos 2𝜋𝑓𝑐 𝑡 + 𝑖−1 0 ≤ 𝑡 ≤ 𝑇𝑠 Eqn (1.45)
𝑇𝑠 𝑀

i=1,2,…..,M &
Ts: is symbol time=(log2 M)Tb . And
Es=symbol energy=(log 2M)Eb

Using trigonometric identities:-


2𝐸𝑠 2𝜋 2𝜋
𝑆𝑖 𝑡 = [cos((𝑖 − 1) )cos⁡
(2𝜋𝑓𝑐 𝑡) − sin((𝑖 − 1) )sin⁡
(2𝜋𝑓𝑐 𝑡)] Eqn(1.46)
𝑇𝑠 𝑀 𝑀

2 2
Let 𝜙1 (𝑡) = cos 2𝜋𝑓𝑐 𝑡 , 𝜙2 (𝑡) = sin 2𝜋𝑓𝑐 𝑡 are the basis signals
𝑇𝑠 𝑇𝑠
2𝜋 2𝜋
𝑆𝑖 𝑡 = 𝐸𝑠 [cos((𝑖 − 1) )𝜙1 (𝑡) − sin((𝑖 − 1) )𝜙2(𝑡)] Eqn(1.47)
𝑀 𝑀

1.5.3.2 Constellation diagram:-


 Since we have two basis signals two dimensional diagram
 From equation the envelope is constant (when no pulse shaping is employed) while the
phase is varyingthat can be represented by equally spaced message points on a circle of
radius 𝐸𝑠
 Gray coding is usually used in signal assignment in MPSK to make only one bit
difference to two adjacent signals1 bit error

An example of 8-ary PSK with gray coding is as shown:-

Fig (1.28) 8PSK modulation with gray coding assignment

32
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.5.3.3 Probability of error:


From the geometry of the constellation we will find that the distance between adjacent symbols
𝜋
is equal to 2 𝐸𝑠 sin⁡
𝑀

Fig (1.29) Formulation of probability of error expression for MPSK signal

And hence using Eqn(1.39) we will find that average symbol error probability equal

2𝐸𝑏 𝑙𝑜𝑔 2 𝑀 𝜋
𝑃𝑒 ≤ 2𝑄 𝑠𝑖𝑛 Eqn(1.48)
𝑁𝑜 𝑀

& For M≥ 4:-


4𝐸𝑠 𝜋
𝑃𝑒 ≈ 2𝑄 𝑠𝑖𝑛 Eqn(1.49)
𝑁𝑜 2𝑀

1.5.3.4 Power spectra of M-ary PSK:-


The first null BW decrease as M increases while bit rate is held constant
𝑆𝐵 𝑓 = 2𝐸 𝑠𝑖𝑛𝑐 2 𝑇𝑓

= 2𝐸𝑏 𝑙𝑜𝑔2 𝑀 𝑠𝑖𝑛𝑐 2 (𝑇𝑏 𝑓𝑙𝑜𝑔2 𝑀 ) Eqn (1.50)

33
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (1.30) Spectrum and the bandwidth of MPSK signal

1.5.3.5 Power & BW efficiency:-


As the value of M increases, the bandwidth efficiency increases. That is, for fixed Rb, η
increases and Bandwidth decreases as M is increased.
At the same time, increasing M implies that the constellation is more densely packed, and hence
the power efficiency (noise tolerance) is decreased
so As M increases
(a) Bandwidth efficiency increases
(b) Power efficiency decreases.
Where
2 2𝑅𝑏
𝐵𝑊𝑚𝑎𝑖𝑛 𝑐𝑒𝑛𝑡𝑟𝑎𝑙 𝑙𝑜𝑏𝑒 = = Eqn(1.51)
𝑇𝑠 log 2 𝑀

Therefore,
log2 𝑀
𝜂 𝐵𝑊 𝑒𝑓𝑓𝑖𝑐𝑖𝑒𝑛𝑐𝑦 =
2
And To ensure that there is no degradation in error performance (BER) the ratio Eb /No must
increase.
Table (1.5) gives a values of both the bandwidth and power efficiencies of M-ary PSK signals
M 2 4 8 16 32 64
𝜼𝑩 = 𝑹𝒃/𝑩 0.5 1 1.5 2 2.5 3
-6
Eb/No for BER =10 10.5 10.5 14 18.5 23.4 28.5
Table (1.5) bandwidth and power efficiencies of M-ary PSK signals
The relation between symbol error & Eb/No is as following:

34
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig(1.31) symbol error rate versus signal to noise ratio for various modulation PSK
schemes

1.5.3.6 Modulator:-
 For M≥ 4we can use a quadrature modulator.
 The only difference for different values of M is the level generator
The level generator gives two signals corresponding to each n bits of the input
sequence(symbol) by changing the levels of these signals we can vary the phase.
 Note that the M-ary can be directly modulated or differentially encoded to provide
noncoherent detection

35
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (1.32) MPSK modulator

1.5.3.7 Demodulator:-

Fig (1.33) MPSK demodulator

1.5.4 Quadrature phase shift keying (QPSK)


QPSK has the twice bandwidth efficiency of BPSK, since 2 bits are transmitted in a
single modulation symbol.
The phase of the carrier takes on 1 of 4 equally spaced value such as 0, π/2, π, 3π/2,
where each value of phase corresponds to a unique pair of message bits.
For example:
Message Phase
00 0
01 π/2
11 π
10 3π/2
Table (1.6) QPSK output phases

36
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Note that : it is better to arrange the states with Gray Coding , this makes each adjacent symbol
only differs by one bit to minimize the bit error rate (BER).

1.5.4.1 Signal Equation


The QPSK signal for this set of symbol states may be defined as:
2𝐸𝑠 𝜋
𝑆𝑄𝑃𝑆𝐾 𝑡 = cos[2𝜋𝑓𝑐 𝑡 + 𝑖 − 1 ] 0 ≤ 𝑡 ≤ 𝑇𝑠 𝑖 = 1,2,3,4. Eqn (1.52)
𝑇𝑠 2

Where TS is the symbol duration and is equal to twice the bit period Tb.

Using trigonometric identities: cos(x+y) = cos x cos y – sin x sin y


2𝐸𝑠 𝜋 2𝐸𝑠 𝜋
𝑆𝑄𝑃𝑆𝐾 𝑡 = cos[ 𝑖 − 1 ] cos(2𝜋𝑓𝑐 𝑡) − sin[ 𝑖 − 1 ] sin(2𝜋𝑓𝑐 𝑡)
𝑇𝑠 2 𝑇𝑠 2
Eqn (1.53)
If the basis functions are:
2 2
𝜙1 𝑡 = cos(2𝜋𝑓𝑐 𝑡) , 𝜙2 𝑡 = sin(2𝜋𝑓𝑐 𝑡)
𝑇𝑠 𝑇𝑠

Then the 4 signals in the set can be expressed in the terms of the basis functions as:
𝜋 𝜋
𝑆𝑄𝑃𝑆𝐾 𝑡 = 𝐸𝑠 cos 𝑖 − 1 𝜙1 𝑡 – 𝐸𝑠 sin 𝑖 − 1 𝜙2 𝑡 Eqn (1.54)
2 2
𝑖 = 1,2,3,4

1.5.4.2 Constellation Diagram and probability of error


Based on this representation the QPSK signal can be depicted using a two dimensional
constellation diagram with four points as shown:

Fig (1.34) (a) QPSK constellation where the carrier phases are 0, π/2 , π,3π/2
(b) QPSK constellation where the carrier phases are π/4, 3π/4 ,5π/4,7π/4

37
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

From the constellation diagram, it can be seen that the distance between two adjacent points in
the constellation is 2𝐸𝑆 .
Since each symbol corresponds to two bits, then E s=2Eb, then the distance between two adjacent
points in the constellation is 2 𝐸𝑏 .
Then the average probability of bit error in AWGN channel:
2𝐸𝑏 1 𝐸𝑏
𝑃𝑒 = 𝑄 = 𝑒𝑟𝑓𝑐 Eqn (1.55)
𝑁𝑜 2 𝑁𝑜

Note that
 QPSK has the same probability of bit error as BPSK, but twice as much data can be sent
in the same bandwidth.
 Thus compared to BPSK, QPSK provides twice the spectral efficiency with exactly the
same power efficiency.
 Similar to BPSK, QPSK can also be differentially encoded to allow non-coherent
detection.

1.5.4.3 Spectrum and bandwidth of QPSK signal:


The Null to null RF bandwidth is equal to the bit rate.
BW of QPSK= Rb =Half BW of BPSK

Fig (1.35) QPSK spectrum and bandwidth

38
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.5.4.4 QPSK Transmitter:

Fig (1.36) QPSK modulator


 The unipolar binary message stream has bit rate Rb and is first converted into a bipolar
non return to zero (NRZ) sequence using a unipolar to bipolar converter.
 The data sequence is separated by the serial-to-parallel converter (S/P) to form the odd
numbered bit sequence for I-channel (cosine) and the even numbered bit sequence for Q-
channel (sine).
 Next the odd-numbered-bit pulse train is multiplied to cos 2π fc t and the even-numbered-
bit pulse train is multiplied to sin 2π fct.
 It is clear that the I-channel and Q-channel signals are BPSK signals with symbol
duration of 2Tb. Finally a summer adds these two waveforms together to produce the final
QPSK signal.
 The BPF at the output of the modulator confines the power spectrum of the QPSK signal
within the allocated band, this prevents spill-over of signal energy into adjacent channels.

1.5.4.5 QPSK Receiver:

Fig (1.37) QPSK demodulator

39
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The frontend bandpass filter removes out -of -band noise and adjacent channel
interference.
 The filtered output is split into two parts , each part is coherently demodulated using the
in-phase and quadrature carriers which are recovered from the received signal using
carrier recovery circuit.
 The outputs of the demodulators are passed through decision circuits which generate the
in-phase and quadrature binary streams.
 The two components are then multiplexed to reproduce the original binary sequence.

1.5.5 Offset Quadrature phase shift keying (OQPSK)


Offset Quadrature phase-shift keying (OQPSK) is a variant of phase-shift keying
modulation using 4 different values of the phase to transmit as QPSK.
Taking four values of the phase (two bits) at a time to construct a QPSK symbol can allow
the phase of the signal to jump by as much as 180° at a time.
The amplitude of a QPSK signal is ideally constant. However, when QPSK signals are pulse
shaped, they lose the constant envelope property. The occasional phase shift of π radians can
cause the signal envelope to pass through zero for just an instant. Any kind of hard limiting
or nonlinear amplification of the zero-crossings brings back the filtered side lobes since the
fidelity of the signal at small voltage levels is lost in transmission. This prevent the
regeneration of side lobes and spectral widening; it is imperative that QPSK signals be
amplified only using linear amplifiers, which are less efficient. A modified form of QPSK,
called offset QPSK (OQPSK) or staggered QPSK is less susceptible to these deleterious
effects and supports more efficient amplification.
By offsetting the timing of the odd and even bits by one bit-period, or half a symbol-period,
the in-phase and quadrature components will never change at the same time.
This will limit the phase-shift to no more than 90° at a time; this yields much lower
amplitude fluctuations than non-offset QPSK and is sometimes preferred in practice.

Fig (1.38) QPSK and OQPSK phase transitions

40
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The above Fig shows the difference in the behavior of the phase between ordinary QPSK
and OQPSK. It can be seen that in the first plot (ordinary QPSK) the phase can change by
180° at once, while in OQPSK the changes are never greater than 90°. The following Fig
shows the even and odd bit streams, m I (t) and mQ (t) and the offset in their relative alignment
by one bit period (half-symbol period):

Fig (1.39) OQPSK generation

Due to the time alignment of m I (t) and mQ (t) in standard QPSK, phase transitions occur
only once every Ts = 2Tb s, and will be a maximum of 180 degree if there is a change in the
value of both mI (t) and mQ (t) However, in OQPSK signaling, bit transitions (and hence
phase transitions) occur every Tb s.
Since the transitions instants of mI (t) and mQ (t) are offset, at any given time only one of the
two bit streams can change values. This implies that the maximum phase shift of the
transmitted signal at any given time is limited to ±90°.
Hence by switching phases more frequently (i.e., every Tb s instead of 2Tbs) OQPSK
signaling eliminates 180° phase transitions.
Since 180° phase transitions have been eliminated, bandlimiting of (i.e., pulse shaping)
OQPSK signals does not cause the signal envelope to go to zero. Obviously, there will be
some amount of ISI caused by the bandlimiting process, especially at the 90 degree phase
transition points. But the envelope variations are considerably less, and hence hard limiting
or nonlinear amplification of OQPSK signals does not regenerate the high frequency side
lobes as much as in QPSK. Thus, spectral occupancy is significantly reduced, while
permitting more efficient RF amplification.

41
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The modulated signal is shown in the Fig below for a short segment of a random binary data-
stream:

Fig (1.40) OQPSK modulated signal

Note that half symbol-period offset between the two component waves.
The spectrum of an OQPSK signal is identical to that of a QPSK signal,
hence both signals occupy the same bandwidth. The staggered alignment of the
even and odd bit streams does not change the nature of the spectrum. OQPSK retains its band
limited nature even after nonlinear amplification, and therefore is very attractive for mobile
communication systems where bandwidth efficiency and efficient nonlinear amplifiers are
critical for low power drain. Further, OQPSK signals also appear to perform better than
QPSK in the presence of phase jitter due to noisy reference signals at the receiver

1.5.6 π / 4–QPSK
The π/4 shifted QPSK modulation is a quadrature phase shift keying technique which offers a
compromise between OQPSK and QPSK in terms of the allowed maximum phase
transitions. It may be demodulated in a coherent or noncoherent fashion. In π/4 QPSK, the
maximum phase change is limited to ± 135° as compared to 180° for QPSK and 90o for
OQPSK. Hence, the bandlimited π/4 QPSK signal preserves the constant envelope property
better than bandlimited QPSK, but is more susceptible to envelope variations than OQPSK.
An extremely attractive feature of π/4 QPSK is that it can be noncoherently detected, which
greatly simplifies receiver design. Further, it has been found that in the presence of in
multipath spread and fading, π/4 QPSK performs better that OQPSK. Very often, π/4 QPSK
signals are differentially encoded to facilitate easier implementation of differential detection
or coherent demodulation with phase ambiguity in the recovered carrier when differentially
encoded π/4 QPSK is called π/4 DQPSK.
π / 4–QPSK uses two identical constellations which are rotated by 45° (π / 4 radians, hence
the name) with respect to one another. Usually, either the even or odd data bits are used to

42
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

select points from one of the constellations or the other bits select points from the other
constellation. This also reduces the phase-shifts from a maximum of 180°, but only to a
maximum of 135° and so the amplitude fluctuations of π / 4–QPSK are between OQPSK and
non-offset QPSK.One property this modulation scheme possesses is that if the modulated
signal is represented in the complex domain, it does not have any paths through the origin. In
other words, the signal does not pass through the origin. This lowers the dynamical range of
fluctuations in the signal which is desirable in communications.
π/4 QPSK modulator, signaling points of the modulated signal are selected from two QPSK
constellations which are shifted by π/4 with respect to each other. The Fig shows the two
constellations along with the combined constellation where the links between two signal
points indicate the possible phase transitions. Switching between two constellations, every
successive bit ensures that there is at least a phase shift which is an integer multiple of π/4
radians between successive symbols. This ensures that there is a phase transition for every
symbol, which enables a receiver to perform timing recovery and synchronization.
Information bits mI, mQ Phase
11 π/4
01 3π/4
00 -3π/4
10 -π/4
Table (1.7): Carrier phase shifts corresponding to various input bit pairs.

_____________________________________________________________________
Fig (1.41) Constellation diagram of π/4 QPSK signal (a) possible states of θk wken θk−1 =
nπ/4 (b) possible states when θk−1 = nπ/2 (c) all possible states

43
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.5.6.1 Example
Sketch the modulated symbols for the input bit stream: 11000110

_____________________________________________________________________
Fig (1.42) constellation diagram of π/4 QPSK

The modulated signal is shown below for a short segment of a random binary data-stream:

Fig (1.43) modulated signal when 11000110 is transmitted

Note that: Successive symbols are taken from the two constellations shown in the diagram.
Thus, the first symbol (1 1) is taken from the 'blue' constellation and the second symbol (0 0)
is taken from the 'green' constellation.

1.5.6.2 π/4 QPSK Transmission Techniques


A block diagram of a generic π/4 QPSK transmitter is shown in Fig(1.44).

Fig (1.44) π/4 QPSK transmitter

44
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The input bit stream is partitioned by a serial-to-parallel (S/P) converter into two parallel
data streams mIk and mQk each with a symbol rate equal to half that of the incoming bit rate.
The Kth in-phase and quadrature pulses, Ik and Qk are produced at the output of the signal
mapping circuit over time kT ≤ t ≤ (k + 1)T and are determined by their previous values, I k
-1 and Qk -1 as well as θk which itself is a function of ϕk which is a function of the current
input symbols mIk and mQk . Ik and Qk represent rectangular pulses over one symbol duration
having amplitudes given by:

𝐼𝑘 = cos 𝜃𝑘 = 𝐼𝑘−1 cos 𝜙𝑘 − 𝑄𝑘−1 sin 𝜙𝑘 Eqn (1.56)

𝑄𝑘 = sin 𝜃𝑘 = 𝐼𝑘−1 sin 𝜙𝑘 + 𝑄𝑘 −1 cos 𝜙𝑘 Eqn (1.57)

Where 𝜃𝑘 = 𝜃𝑘−1 + 𝜙𝑘 Eqn(1.58)

Just as in a QPSK modulator, the in-phase and quadrature bit streams Ik and Qk are then
separately modulated by two carriers which are in quadrature with one another, to produce
the π/4 QPSK waveform given by:

𝑆𝜋 −𝑄𝑃𝑆𝐾 𝑡 = 𝐼 𝑡 cos 𝜔𝑐 𝑡 − 𝑄(𝑡) sin 𝜔𝑐 𝑡


4
Where
𝑁−1 𝑇𝑠 𝑁−1 𝑇𝑠
𝐼 𝑡 = 𝑘=0 𝐼𝑘 𝑃 𝑡 − 𝐾𝑇𝑠 − = 𝑘 =0 cos 𝜃𝑘 𝑃 𝑡 − 𝐾𝑇𝑠 − Eqn(1.59)
2 2
𝑁−1 𝑇𝑠 𝑁−1 𝑇𝑠
𝑄 𝑡 = 𝑘=0 𝑄𝑘 𝑃 𝑡 − 𝐾𝑇𝑠 − = 𝑘=0 sin 𝜃𝑘 𝑃 𝑡 − 𝐾𝑇𝑠 − Eqn(1.60)
2 2

Both Ik and Qk are usually passed through raised cosine roll off pulse shaping filters before
modulation, in order to reduce the bandwidth occupancy. The function P(t) in equations
(1.59),(1.60) corresponds to the pulse shape, and Ts is the symbol period. Pulse shaping also
reduces the spectral restoration problem which may be significant in fully saturated,
nonlinear amplified systems.
It should be noted that the values of Ik and Qk and the peak amplitude of
the waveforms I(t) and Q(t) can take one of the five possible values 0, +1, -1,
+1/ 2 , -1/ 2 .

From the above discussion it is clear that the information in a π/4 QPSK signal is completely
contained in the phase difference θk of the carrier between two adjacent symbols. Since the
information is completely contained in the phase difference, it is possible to use noncoherent
differential detection even in the absence of differential encoding.

45
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.5.6.3 π/4 QPSK Detection Techniques


Due to ease of hardware implementation, differential detection is often employed to
demodulate π/4 QPSK signals. In an AWGN channel, the BER performance of a
differentially detected π/4 QPSK is about 3 dB inferior to QPSK, while coherently detected
π/4 QPSK has the same error performance as QPSK.
In low bit rate, fast Rayleigh fading channels, differential detection offers a
lower error floor since it does not rely on phase synchronization.
There are various types of detection techniques that are used for the detection of π/4QPSK
signals. They include baseband differential detection, IF differential detection, and FM
discriminator detection. While both the baseband and IF differential detector determines the
cosine and sine functions of the phase difference, and then decides on the phase difference
accordingly, the FM discriminator detects the phase difference directly in a noncoherent
manner. Interestingly, simulations have shown that all 3 receiver structures offer very similar
bit error rate performances, although there are implementation issues which are specific to
each technique.

1.5.6.3.1 Baseband Differential Detection


Fig (1.45) shows a block diagram of a baseband differential detector. The
Incoming π/4 QPSK signal is quadrature demodulated using two local oscillators
signals that have the same frequency as the unmodulated carrier at the transmitter, but not
Qk
necessarily the same phase ϕk = tan−1 is the phase of the carrier due to the kth data bit,
Ik
the output wk and zk from the two low pass filters in the in-phase and quadrature arms of the
demodulator can be expressed as:
𝑊𝑘 = cos 𝜙𝑘 − 𝛾 Eqn (1.61)

𝑧𝑘 = sin 𝜙𝑘 − 𝛾 Eqn(1.62)

Fig (1.45) Block diagram of a baseband differential detector.

46
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

where γ is a phase shift due to noise, propagation, and interference. The phase γ is assumed to
change much slower than θk so it is essentially a constant. The two sequences wk and zk are
passed through a differential decoder which operates on the following rule:
𝑥𝑘 = 𝑊𝑘 𝑊𝑘 −1 + 𝑧𝑘 𝑧𝑘−1 Eqn(1.63)
𝑦𝑘 = 𝑧𝑘 𝑊𝑘 −1 + 𝑤𝑘 𝑧𝑘−1 Eqn(1.64)

The output of the differential decoder can be expressed as


𝑥𝑘 = cos 𝜙𝑘 − 𝛾 cos 𝜙𝑘−1 − 𝛾 + sin 𝜙𝑘 − 𝛾 sin 𝜙𝑘−1 − 𝛾 =cos 𝜙𝑘 − 𝜙𝑘−1
𝑦𝑘 = sin 𝜙𝑘 − 𝛾 cos 𝜙𝑘−1 − 𝛾 + cos 𝜙𝑘 − 𝛾 sin 𝜙𝑘−1 − 𝛾 =sin 𝜙𝑘 − 𝜙𝑘−1
Eqn (1.65)

The output of the differential decoder is applied to the decision circuit, which uses Table (1.7)
to determine:
𝑆𝐼 = 1, 𝑖𝑓 𝑥𝑘 > 0 𝑜𝑟 𝑆𝐼 = 0, 𝑖𝑓 𝑥𝑘 < 0
𝑆𝑄 = 1, 𝑖𝑓 𝑦𝑘 > 0 𝑜𝑟 𝑆𝑄 = 0, 𝑖𝑓 𝑦𝑘 < 0
Where SI and SQ are the detected bits in the in-phase and quadrature arms, respectively.

1.5.6.3.2 IF Differential Detector


The IF differential detector which is shown in Fig (1.46) avoids the need for a local
oscillator by using a delay line and two phase detectors. The received signal is converted to
IF and is bandpass filtered. The bandpass filter is designed to match the transmitted pulse
shape, so that the carrier phase is preserved and noise power is minimized. To minimize the
effect of ISI and noise, the bandwidth of the filters are chosen to be 0.57/ Ts .The received IF
signal is differentially decoded using a delay line and two mixers. The bandwidth of the
signal at the output of the differential detector is twice that of the baseband signal at the
transmitter end.

Fig (1.46) Block diagram of an IF differential detector for π/4 QPSK.

47
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.5.6.3.3 FM Discriminator
Fig (1.47) shows a block diagram of an FM discriminator detector for π/4QPSK. The input
signal is first filtered using a bandpass filter that is matched to the transmitted signal. The
filtered signal is then hard limited to remove any envelope fluctuations. Hard limiting
preserves the phase changes in the input signal and hence no information is lost. The FM
discriminator extracts the instantaneous frequency deviation of the received signal which,
when integrated over each symbol period gives the phase difference between two sampling
instants. The phase difference is then detected by a four level threshold comparator to obtain
the original signal. The phase difference can also be detected using a modulo-2π phase
detector. The modulo-2π phase detector improves the BER performance and reduces the
effect of click noise.

Fig(1.47) FM discriminator detector for π/4 DQPSK demodulation.

1.6 FREQUENCY SHIFT KEYING FSK


FSK (Frequency Shift Keying) is also known as frequency shift modulation and
frequency shift signaling. Frequency Shift Keying is a data signal converted into a specific
frequency or tone in order to transmit it over wire, cable, optical fiber or wireless media to a
destination point.
The history of FSK dates back to the early 1900s, when this technique was discovered
and then used to work alongside teleprinters to transmit messages by radio (RTTY).
But FSK, with some modifications, is still effective in many instances including the digital world
where it is commonly used in conjunction with computers and low speed modems.
In fact, the contributions of FSK are much more far reaching. For example, the principle of FSK
has laid the path to the development of other similar techniques such as the Audio Frequency
Shift Keying (AFSK) and Multiple Frequency Shift Keying (MFSK) just to name a few.
In Frequency Shift Keying, the modulating signals shift the output frequency between
predetermined levels.
Technically FSK has two classifications, the non-coherent and coherent FSK. In non-
coherent FSK, the instantaneous frequency is shifted between two discrete values named mark
and space frequency, respectively. On the other hand, in coherent Frequency Shift Keying or
binary FSK, there is no phase discontinuity in the output signal.

48
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

In this digital era, the modulation of signals are carried out by a computer, which
converts the binary data to FSK signals for transmission, and in turn receives the incoming FSK
signals and converts it to corresponding digital low and high, the language the computer
understands best.
The basic principle of Frequency Shift Keying is at least a century old. Despite its age,
FSK has successfully maintained its use during more modern times and has adapted well to the
digital domain, and continues to serve those that need to transfer data via computer, cable, or
wire. There is no doubt that FSK will be around as long as there is a need to transmit information
in a highly effective and affordable manner.

1.6.1 Binary frequency shift keying (BFSK)


In binary frequency shift keying (BFSK), the frequency of a constant amplitude carrier
signal is switched between two values according to the two possible message states (High and
Low), corresponding to a binary 1 or 0.
A 0 is transmitted by a pulse of frequency 𝜔𝑐 + 𝛥𝜔/2 , and 1 is transmitted by a pulse of
frequency 𝜔𝑐 − 𝛥𝜔/2 such a waveform may be considered to be two interleaved ASK waves.
An FSK signal described as mentioned may be represented as:

2𝐸𝑏 𝛥ω
𝑠0 𝑡 = cos ωc + 𝑡 0 ≤ 𝑡 ≤ 𝑇𝑏 (𝑏𝑖𝑛𝑎𝑟𝑦 0) Eqn(1.66)
𝑇𝑏 2
2𝐸𝑏 𝛥ω
𝑠1 𝑡 = cos⁡
(ω c − )𝑡 0 ≤ 𝑡 ≤ 𝑇𝑏 (𝑏𝑖𝑛𝑎𝑟𝑦 1) Eqn(1.67)
𝑇𝑏 2

Where Δω is a constant offset from the nominal carrier frequency.


The most important factor to keep in mind when designing FSK is to keep the frequency of the
different symbols orthogonal to minimize the correlation between the two symbols to the zero
assuming perfect synchronization of receiver oscillators.
To achieve this we must do the correlation function between to transmitted symbols and get the
conditions to achieve the orthogonality
𝑇𝑏
𝐸= 𝑠0 𝑡 𝑠1 𝑡 𝑑𝑡
0
2𝐸𝑏 𝑇𝑏 𝛥ω 𝛥ω
= cos ωc + 𝑡 cos ωc − 𝑡 𝑑𝑡
𝑇𝑏 0 2 2
𝑇𝑏
𝐸𝑏 𝑇𝑏
= cos 𝛥ωt 𝑑𝑡 + cos 2ωc t 𝑑𝑡
𝑇𝑏 0 0
𝑠𝑖𝑛 𝛥𝜔 𝑇𝑏 𝑠𝑖𝑛 2𝜔𝑐 𝑇𝑏
= 𝐸𝑏 +
𝛥𝜔 𝑇𝑏 2𝜔𝑐 𝑇𝑏
Eqn (1.68)
In practice 𝜔𝑐 𝑇𝑏 ≪ 1, and the second term on the right hand side can be ignored therefore

𝐸 = 𝐸𝑏 𝑠𝑖𝑛𝑐 𝛥𝜔 𝑇𝑏 Eqn(1.69)

49
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

in order for E = 0 from the previous equation:


Δf = n/2Tb Eqn(1.70)
where n is any integer.

Larger Δf means wider separation between signaling frequencies.


Thus binary FSK system is characterized by having a signal space that is two dimensional with
two message point as shown in Fig (1.48)
Forward hint
We can think in the different
orthogonal carriers of the FSK signal
as a multidimensional system with
each carrier represents an axis in this
system.
In binary FSK we only have two
dimensions.
The M-ary FSK is built on this idea

1.6.1.1 Binary FSK Modulator

To generate a binary FSK signal we may use the scheme shown in fig 1.49.the input
binary sequence is represented in its on-off form, with symbol 1 represented by constant
amplitude of Eb volts and symbol 0 represented by zero volts. By using an inverter in the lower
channel in fig 1.49, we in fact make sure that when we have symbol 1 at the input, the oscillator
with frequency 𝑓1 in the upper channel is switched on while the oscillator with frequency 𝑓2 in the
lower channel is switched off, with the result that frequency 𝑓1 is transmitted. Conversely, when
we have symbol 0 at the input, the oscillator in the upper channel is switched off, and the
oscillator in the lower channel is switched on, with the result that frequency 𝑓2 is transmitted. The
two frequencies 𝑓1 and 𝑓2 are chosen integer multiple of the bit rate 1/𝑇𝑏 which we previously
proved to be orthogonal.
In this transmitter we assume that the two oscillators are synchronized, so that their
outputs satisfy the requirements of the two orthogonal basis functions𝑠1 𝑡 & 𝑠0 𝑡 . We may use
a single keyed (voltage controlled) oscillator. In either case, the frequency of the modulated
wave is shifted with a continues phase, in accordance with the input binary wave that is to say,
phase continuity is always maintained, including the inter-bit switching time. We refer to this
digital modulation as continues-phase frequency-shift keying (CPFSK

50
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 1.49 Block diagram for binary FSK transmitter

Fig (1.50) shows generating a FSK signal, there are four signals first the binary one second the 1
signal with lower frequency third the 0 signal with the higher frequency and last the final FSK
signal.

Fig 1.50 FSK signal

51
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.6.1.2 Power Spectral Density

Now we proceed to find the power spectrum of the FSK signal. We expand the FSK signal as
following:
1
𝑠 𝑡 = 𝐴 cos 2𝜋 𝑓𝑐 + 𝑎𝑘 𝑡
2𝑇
𝜋𝑡 𝜋𝑡
= 𝐴 cos 𝑎𝑘 cos 2𝜋𝑓𝑐 𝑡 − 𝐴 sin 𝑎𝑘 sin 2𝜋𝑓𝑐 𝑡
𝑇 𝑇
𝜋𝑡 𝜋𝑡
= 𝐴 cos( ) cos 2𝜋𝑓𝑐 𝑡 − 𝐴 𝑎𝑘 sin( ) sin 2𝜋𝑓𝑐 𝑡 Eqn(1.71)
𝑇 𝑇

Where the last expression is derived using the fact that ak = ±1. The in phase component
πt πt
A cos( ) is independent of the data. The quadrature component A ak sin ( ) is directly related to
T T
data. The in phase and quadrature components are independent of each other.

𝑆 𝑓 = 𝐼 𝑓 + 𝑄(𝑓) Eqn(1.72)

Where I f is the in phase component and Q f is the quadrature phase component.


S f can be found easily since the in phase component is independent of data. It is defined on the
entire time axis. Thus:

𝜋𝑡 2 1 1
𝐼 𝑓 = ℱ 𝐴 𝑐𝑜𝑠( ) = 𝐴2 𝛿 𝑓 − + 𝛿(𝑓 + ) Eqn(1.73)
𝑇 2𝑇 2𝑇

Where stands for Fourier transform. It is seen that the spectrum of the in phase part of the FSK
signal are two delta functions.

2
1 𝜋𝑡
𝑄 𝑓 = ℱ 𝐴 𝑠𝑖𝑛( ) 0≤𝑡≤𝑇
2 𝑇

1 2𝐴𝑇 cos 𝜋𝑇𝑓 2


= 2
Eqn (1.74)
𝑇 𝜋 1− 2𝑇𝑓

The complete baseband PSD of the binary FSK signal is the sum of I(f) & Q(f) :

1 1 1 2𝐴𝑇 cos 𝜋𝑇𝑓 2


𝑆(𝑓) = 𝐴2 𝛿 𝑓 − + 𝛿(𝑓 + ) + Eqn(1.75)
2𝑇 2𝑇 𝑇 𝜋 1− 2𝑇𝑓 2

1.6.1.3 Coherent demodulation and error performance


In order to detect the original binary sequence given the noisy received wave x(t), we may use
the receiver shown in Fig 1.5.5, it consist of two correlators with common input, which are

52
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

supplies with local generated coherent reference signal Φ1 t & Φ2 t . The correlator outputs are
then subtracted, one from the other, and the resulting difference, l, is compared with a threshold
of zero volts. If l > 0, the receiver decides in favor of 1. On the other hand, if l < 0, it decides in
favor of 0.

To study the coherent demodulator error performance of the transmitted FSK signal we need to
look at fig 1.51 where the distance between the two message points is equal to 2Eb and the
error probelity is driven from the relation
𝑃𝑒 = 𝑃 𝑙 > 0 𝑠𝑦𝑚𝑏𝑜𝑙 0 𝑤𝑎𝑠 𝑠𝑒𝑛𝑡) + 𝑃 𝑙 < 0 𝑠𝑦𝑚𝑏𝑜𝑙 1 𝑤𝑎𝑠 𝑠𝑒𝑛𝑡)
We can drive that Pe 0 & Pe (1) have the same value and it is equal to :
1 𝐸𝑏
𝑃𝑒 (0 1 = 𝑒𝑟𝑓𝑐( ) Eqn(1.76)
2 2 𝑁0
Averaging Pe 0 & Pe (1), we find that the average probability of symbol error for coherent
binary FSK is:
1 𝐸𝑏
𝑃𝑒 = 𝑒𝑟𝑓𝑐 Eqn (1.77)
2 2 𝑁0

1.6.1.4 Noncoherent demodulation and error performance


For the noncoherant detection, the receiver consists of a pair of matched filters followed by
envelope detectors, as in Fig 1.52 the filter in the upper path of the receiver is matched to the
first symbol signal with frequency 𝑓1 and the filter in the upper path of the receiver is matched to
the first symbol signal with frequency𝑓2 . The resulting envelope detector outputs are sampled at
𝑡 = 𝑇𝑏 and their values are compared. The envelope sample of the upper and lower paths are
shown as 𝑙1 & 𝑙2 respectively; then, if 𝑙1 > 𝑙2 , the receiver decides in favor of symbol 1, and
if 𝑙1 < 𝑙2 , the receiver decides in favor of symbol 0.

53
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The noncoherant binary FSK described is a special case of noncoherant orthogonal modulation
with:
𝑇 = 𝑇𝑏
And
𝐸 = 𝐸𝑏
Where Tb is the bit duration and Eb is the signal energy per bit. Hence, the Pe can be proven to be
1 𝐸
𝑃𝑒 = exp⁡ (− 𝑏 ) Eqn(1.78)
2 2𝑁0

1.6.2 M-ARY FSK


In an M-ary FSK scheme, the transmitted signals are defined by
2𝐸 𝜋
𝑠𝑖 𝑡 = cos 𝑛𝑐 + 𝑖 𝑡 𝑜≤𝑡≤𝑇 Eqn(1.79)
𝑇 𝑇
Where i = 1,2, … , Mand the carrier frequency fc = nc /2T for some fixed integer nc . The
transmitted signals are equal duration T and have equal energy E. Since the individual signal
frequencies are separated by 1/2T hertz, the signals describes above are as proved orthogonal,
that is:
𝑇
0 𝑖
𝑠 𝑡 𝑠𝑗 𝑡 𝑑𝑡 = 0 𝑖≠𝑗 Eqn(1.80)
For coherent M-ary FSK, the optimum receiver consists of band of M correlators or matched
filters, with the wanted signals providing the pertinent references. At the sampling times t=kT,
the receiver makes decisions based on the largest matched filter output.

The M-ary FSK can be considered multidimensional system with M orthogonal axis.
Giving the probability of error parameters of the M-ary FSK as following:

Average symbol error probability:

Eqn(1.81)
Average bit error probability:

54
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Eqn(1.82)
Asymptotic power efficiency:

Eqn(1.83)

Shannon bandwidth:

Eqn(1.84)
Bandwidth efficiency:

Eqn(1.86)

The bit error rate of different M‘s for the M-ary FSK
Fig is shown in Fig 1.53, it can be shown from
1.53
the Fig that the more M the lower level of probability of error for the same SNR
Coherent detection of M-ary FSK requires the use of exact phase references, the provision for
which at the receiver can be costly and difficult to maintain. We may avoid the need for such a
provision by using noncoherant detection, which result in a slightly inferior performance. In a
noncoherant receiver, the individual matched filters are followed by envelope detectors that
destroy the phase information.
The probability of symbol error of the noncoherant detection of M-ary FSK:

55
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑀−1 𝐸
𝑃𝑒 = exp⁡(− ) Eqn(1.86)
2 2𝑁0

1.6.3 Minimum shift keying MSK


In the coherent detection of binary FSK signal described before, the phase information contained
in the receiver signal was not fully exploited, other than to provide for synchronization of the
receiver to the transmitter. We now show that by proper utilization of the phase when performing
detection, it is possible to improve the noise performance of the receiver significantly. This
improvement is, however, achieved at the expense of increasing receiver complexity.
Consider a continues-phase frequency-shift keying (CPFSK) signal, which is defined for interval
0 ≤ t ≤ Tb , as follows:

2𝐸𝑏
cos 2𝜋𝑓1 𝑡 + 𝜃 0 𝑓𝑜𝑟 𝑠𝑦𝑚𝑜𝑙 1
𝑇𝑏
𝑠 𝑡 = Eqn(1.87)
2𝐸𝑏
cos 2𝜋𝑓2 𝑡 + 𝜃 0 𝑓𝑜𝑟 𝑠𝑦𝑚𝑜𝑙 0
𝑇𝑏
Where Eb is the transmitted signal energy per bit, and Tb is the bit duration. The phaseθ(0),
denoting the value of phase at timet = 0, depends on the past history of the modulation process.
The frequency f1 & f2 are sent in response to binary symbol 1 and 0 appearing at the modulation
input, respectively.
Another useful way of representing the CPFSK signal s(t) is to express it in the conventional
form of an angle-modulation waves follows

2𝐸𝑏
𝑠 𝑡 = cos 2𝜋𝑓𝑐 𝑡 + 𝜃 𝑡 Eqn(1.88)
𝑇𝑏

The phase θ t is a continues function of time, this leads to the modulated wave s(t) itself to be
continues all the time including the inter-bit switching times.
1
𝑓𝑐 = (𝑓1 + 𝑓2 ) Eqn(1.89)
2
The phase θ t of CPFSK signal increases or decreases linearly with time during each bit period
of Tb seconds, as shown by:

πh
θ t =θ 0 ± t 0 ≤ t ≤ Tb Eqn(1.90)
Tb
Where the plus sign corresponds to sending symbol 1, and mines sign corresponds to sending
symbol 0. The parameter h is defined by:

𝑕 = 𝑇𝑏 (𝑓1 − 𝑓2 ) Eqn(1.91)
We refer to h as the deviation ratio, measured with respect to the bit rate 1/𝑇𝑏 . At time t = 𝑇𝑏

𝜋𝑕 𝑓𝑜𝑟 𝑠𝑦𝑚𝑏𝑜𝑙 1
𝜃 𝑇𝑏 − 𝜃 0 = Eqn(1.92)
−𝜋𝑕 𝑓𝑜𝑟 𝑠𝑦𝑚𝑏𝑜𝑙 0

56
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

That is to say, the sending of symbol 1 increases the phase of CPFSK s(t) by πh , whereas the
sending of symbol 0 reduces it by an equal amount
This can be cleared using the phase trellis method

Fig 1.54

Using some mathematical operations we can express the CPFSK s(t) in terms of its in-phase and
quadrature components as follows:

Eqn(1.93)
So we have the following four cases:

1. The phase 𝜃 0 = 0 and 𝜃 𝑇𝑏 = π/2, corresponding to transmission of symbol 1.


2. The phase 𝜃 0 = 0 and 𝜃 𝑇𝑏 = π/2, corresponding to transmission of symbol 0.
3. The phase 𝜃 0 = 0 and 𝜃 𝑇𝑏 = -π/2 (or, equivalently, 3 π /2, modulo 2 π),
corresponding to transmission of symbol 1.
4. The phase 𝜃 0 = 0 and 𝜃 𝑇𝑏 = -π/2 (or, equivalently, 3 π /2, modulo 2 π),
corresponding to transmission of symbol 0.
5. This in turn means that the MSK signal itself can take one of four possible forms,
depending on the values 𝜃 0 and 𝜃 𝑇𝑏
This, in turn, means that the MSK signal itself may assume any one of four possible forms,
depending on the value of 𝜃 0 & 𝜃 𝑇𝑏
The appropriate form for the orthogonal basis function ∅1 (𝑡) and ∅2 (𝑡):
2 𝜋
∅1 𝑡 = cos 𝑡 cos 2𝜋𝑓𝑐 𝑡 − 𝑇𝑏 ≤ 𝑡 ≤ 𝑇𝑏
Tb 2𝑇𝑏

2 𝜋
∅2 𝑡 = sin 𝑡 sin 2𝜋𝑓𝑐 𝑡 0 ≤ 𝑡 ≤ 2𝑇𝑏
𝑇𝑏 2𝑇𝑏

57
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Correspondingly, we may express the MSK signal in the form

𝑠 𝑡 = 𝑠1 ∅1 𝑡 + 𝑠2 ∅2 𝑡 0 ≤ 𝑡 ≤ 𝑇𝑏
Accordingly, the signal constellation for an MSK signal is two-dimensional, with four message
points, as shown in Fig 1.5.9

Fig (1.55) Constellation diagram for MSK signal If we made a comparison between
the constellation of MSK and the QPSK signals we would notice that they have identical format.
Note, however, that the coordinates of the message points for the QPSK signal are expressed in
terms of signal energy per symbol, E, whereas for the MSK signal they are expressed in terms of
the signal energy per bit.
The basic difference between QPSK & MSK signals is in the choice of orthogonal signals ∅1 (𝑡)
and ∅2 (𝑡). For QPSK ∅1 (𝑡) and ∅2 (𝑡) are represented by a pair of quadrature carriers, whereas
for an MSK signal, they are represented by a pair of sinusoidally modulated quadrature carriers.

58
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 1.56 Sequence and waveforms for MSK signal


To generate the signal described before of MSK we can use the following MSK transmitter
shown in Fig 1.57, the advantage of this modulator is that the signal coherence and deviation
ratio are largely unaffected by variation in the input data rate.

Fig 1.57 MSK modulator

Fig 1.58 shows the block diagram of typical MSK receiver.

59
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 1.58 MSK Demodulator


Earlier we remarked that the MSK and QPSK signals have similar signal space diagram. It
follows, therefore, that for the case of AWGN channel, they have the same forela for their
average probability of error:
𝐸𝑏
𝑃𝑒 = 𝑒𝑟𝑓𝑐 ( ) Eqn(1.94)
𝑁0

This is much better than the ordinary FSK.

1.6.4 Gaussian minimum shift keying GMSK


Gaussian Minimum Shift Keying (GMSK) is a modification of MSK (i.e. CPFSK with h = 1/2).
A filter used to reduce the bandwidth of a baseband pulse train prior to modulation is called a
pre-modulation filter. The Gaussian pre-modulation filter smoothes the phase trajectory of the
MSK signal thus limiting the instantaneous frequency variations. The result is an FM modulated
signal with a much narrower bandwidth. This bandwidth reduction does not come for free since
the pre-modulation filter smears the individual pulses in pulse train. As a consequence of this
smearing in time, adjacent pulses interfere with each other generating what is commonly called
inter-symbol interference or ISI. In the applications where GMSK is used, the trade-off between
power efficiency and bandwidth efficiency is well worth the cost. There are two methods to
generate GMSK, one is frequency shift keyed modulation, the other is quadrature phase shift
keyed modulation.

60
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 1.59 GMSK implemented by Frequency Shift keying


modulation with FM-VCO.

Fig 1.60 GMSK implemented by a quadrature baseband


The shaded areas in the two above method.modulation with FM-VCO.
Figs have the same function. The GMSK VCO-modulator
architecture as shown in the first is simple but is not however, suitable for coherent demodulation
due to component tolerance problems. This method requires that the frequency deviation factor
of the VCO exactly equals 0.5, but the modulation index of conventional VCO based transmitter
drifts over time and temperature.
The implementation in the second employs a quadrature baseband process followed by a
quadrature modulator. With this implementation, the modulation index can be maintained at
exactly 0.5. This method is also cheaper to implement. Both methods lead to the same GMSK
modulated signal.
We are going to be looking at the second of these two methods that is we shall be looking at a
quadrature baseband processor followed by a quadrature modulator as shown in the second.
The Gaussian low-pass filter has an impulse response given by the following equation
T T
1 t−2 t+2
g t = Q 2πBb − Q 2πBb Eqn(1.95)
2T ln 2 ln 2

For 0 ≤ Bb 𝑇 ≤ ∞

61
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 1.61 The truncated and scaled impulse response of the Gaussian low-pass
Recall the probability of error for plain MSK is givenwith
filter.method.modulation by FM-VCO.
2𝐸𝑏
𝑃𝑒 = 𝑄( ) Eqn(1.96)
𝑁0

By comparing we can conclude that Pe GMSK > Pe FSK this arises from the trade off between power
and bandwidth efficient: GMSK achieves better bandwidth efficiency than MSK at the expense
of power efficiency.

62
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.7 QUADRATURE AMPLITUDE MODULATION (QAM)


M-Ary PSK systems are consisted of fixed step phase shifts with constant envelope. In a
try to increase such system capacity, the constellation points will get closer to each other
increasing the bit error rate. A simple solution is to increase the radius of the constellation points,
but of course it‘ll also increase the power used.
A new technique was developed to overcome that problem by making use of available
space inside the constellation circle.

(a (b
) )
Fig (1.62) showing 16-Ary PSK (a) crowded on the constellation circle and equivalent
average power 16-Ary QAM (b) with constellation points distributed to make use of the
same space
This technique is called quadrature amplitude modulation as it combines with or make use of
both ASK and PSK.
As in Fig(1.62)-b is a constellation diagram showing 16-Ary QAM, it can be represented
as two quadrature carriers each is modulated with 4-level ASK.

1.7.1 Types of QAM:


1.7.1.1 Circular QAM:
Simply this type of QAM is considered as multi-level PSK, with phase off-set to
maximize the minimum Euclidian distance to obtain minimum average energy per symbol.
2𝐸0
𝑠𝑖 𝑡 = 𝑎𝑖 . 𝒆j(2𝜋𝑓𝑐 𝑡+𝜃 𝑗 ) , 0 ≤ t ≤ Tb Eqn (1.97)
𝑇
Where 𝑎𝑖 is the normalized level, 𝜃𝑗 is the symbol‘s phase.

Fig (1.63) circular QAM

63
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.7.1.2 Rectangular QAM:


The general form of M-Ary QAM is defined by the transmitted signal:
2𝐸0 2𝐸0
𝑠𝑖 𝑡 = 𝑎𝑖 cos 2𝜋𝑓𝑐 𝑡 + 𝑏𝑖 sin 2𝜋𝑓𝑐 𝑡 , 0 ≤ t ≤ Tb Eqn(1.98)
𝑇 𝑇
E0 is the energy of the signal with the lowest amplitude, a i and bi are a pair of independent
integers chosen to specify a certain constellation point, i є [-L+1 L-1], where 𝐿 = 𝑀
(−𝐿 + 1, 𝐿 − 1) (−𝐿 + 3, 𝐿 − 1) (𝐿 − 1, 𝐿 − 1)

(−𝐿 + 1, 𝐿 − 3) (−𝐿 + 3, 𝐿 − 3) (𝐿 − 1, 𝐿 − 3)
𝑎𝑖 , 𝑏𝑖 = Eqn (1.99)
⋮ ⋱ ⋮
(−𝐿 + 1, −𝐿 + 1) (−𝐿 + 3, −𝐿 + 1) ⋯ (𝐿 − 1, −𝐿 + 1)
The rectangular QAM signal could be represented in terms of 2 independent basis
functions:
2
𝜑1 𝑡 = cos 2𝜋𝑓𝑐 𝑡 0≤𝑡≤𝑇 Eqn(1.100)
𝑇

2
, 𝜑2 𝑡 = sin 2𝜋𝑓𝑐 𝑡 0≤𝑡≤ 𝑇 Eqn(1.101)
𝑇

The coordinates of the ith message point are 𝑎𝑖 𝐸0 and 𝑏𝑖 𝐸0 ,

for example, for the 16-QAM with L = 4:


−3, +3 −1, +3 +1, +3 +3, +3
−3, +1 −1, +1 +1, +1 +3, +1
𝑎𝑖 , 𝑏𝑖 = Eqn (1.102)
−3, −1 −1, −1 +1, −1 +3, −1
−3, −3 −1, −3 +1, −3 +3, −3

The first rectangular QAM constellation usually encountered is 16-QAM, the


constellation diagram for which is shown here. A Gray coded bit-assignment is also given. The
reason that 16-QAM is usually the first is that a brief consideration reveals that 2-QAM and 4-
QAM are in fact binary phase-shift keying (BPSK) and quadrature phase-shift keying (QPSK),
respectively. Also, the error-rate performance of 8-QAM is close to that of 16-QAM (only about
0.5dB better), but its data rate is only three-quarters that of 16-QAM.

1.7.1.3 Circular or Rectangular 8-QAM?


When dealing with 8-Ary constellation, APK is preferable as it is space efficient rather
than QAM. In 16-Ary constellation, it‘s more advisable to go to QAM constellation as its more
energy efficient, even some standards actually uses 16 APK like V29.bis telephone standard to
maximize the phase difference between points having the same energy in the expense of
increasing the amplitude levels. Also the symmetry of rectangular QAM sometimes doesn‘t suit
the channel characteristics or the detection process, and more, the designer wishes to put the
constellation points anywhere, from here came the APK.

64
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

_____________________________________________________________________
Fig (1.64) rectangular QAM versus APK

1.7.2 Probability of symbol error calculations:


As both in-phase and quadrature components are independent, probability of correct
detection is:
𝑃𝑐 = (1 − 𝑃𝑒′ )2 Eqn(1.103)

, where 𝑃𝑒 is the probability of symbol error for one of the components.
Referring to PAM symbol error Eqn, 𝑃𝑒′ could be written as:
1 𝐸0
𝑃𝑒′ = 1 − 𝑒𝑟𝑓𝑐( ) Eqn(1.104)
𝐿 𝑁0

The probability of symbol error for QAM is:


𝑃𝑒 = 1 − 𝑃𝑐 = 1 − (1 − 𝑃𝑒′ )2 ≅ 2𝑃𝑒′
1 𝐸0 2(𝑀−1)𝐸0
, so 𝑃𝑒 = 2 1 − 𝑒𝑟𝑓𝑐 , but 𝐸𝑎𝑣 =
𝑀 𝑁0 3
So finally

1 3𝐸𝑎𝑣
𝑃𝑒 = 2 1 − 𝑒𝑟𝑓𝑐 Eqn(1.105)
𝑀 2(𝑀−1)𝑁0

APK (amplitude-phase keying): its constellation is simply multi level of amplitudes. 8-


QAM is considered the optimal constellation as it requires least mean
energy.
2
𝑑 𝑚𝑖𝑛
𝑃𝑠 < 𝑀 − 1 𝑄( ) Eqn(1.106)
2𝑁0
The separation between each point having the same
magnitude is 45 degrees.

Fig (1.65) formulation of


Probability of symbol error incircular QAM

65
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.7.3 QAM modulation

___________________________________________________________
Fig (1.66) M-Ary QAM Modulator
Binary data are split into 2 parallel paths, in each path a number of bits L = M is amplitude
shift keyed to L levels then phase shift keyed using the 2 independent carriers. Then the paths are
combined again to form the M-Ary QAM signal.
1.7.4 QAM demodulation:
In QAM modulation, coherent and differentially coherent detection could be used as for PSK
systems, we shall concern with coherent detection.

Fig (1.67) M-Ary QAM Demodulator

66
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

As in PSK, the i/p signal is multiplicated by both in-phase and quadrature carriers then integrated
over the symbol period to get a multi-level baseband symbol set. The decision circuit translates
those levels to bits which are then combined using the S/P converter to get the modulated binary
data.
Example for 16-Ary QAM symbols

amp=4.2426, ph=-135 amp=3.1623, ph=-161.5651 amp=3.1623, ph=161.5651 amp=4.2426, ph=135


5 5 5 5

0 0 0 0

-5 -5 -5 -5
0 50 100 0 50 100 0 50 100 0 50 100
amp=3.1623, ph=-108.4349 amp=1.4142, ph=-135 amp=1.4142, ph=135 amp=3.1623, ph=108.4349
5 5 5 5

0 0 0 0

-5 -5 -5 -5
0 50 100 0 50 100 0 50 100 0 50 100
amp=3.1623, ph=-71.5651 amp=1.4142, ph=-45 amp=1.4142, ph=45 amp=3.1623, ph=71.5651
5 5 5 5

0 0 0 0

-5 -5 -5 -5
0 50 100 0 50 100 0 50 100 0 50 100
amp=4.2426, ph=-45 amp=3.1623, ph=-18.4349 amp=3.1623, ph=18.4349 amp=4.2426, ph=45
5 5 5 5

0 0 0 0

-5 -5 -5 -5
0 50 100 0 50 100 0 50 100 0 50 100

_____________________________________________________________________
Fig (1.68) All possible QAM signals

1.7.5 BW efficiency:
It‘s identical to M-Ary PSK where
𝑅𝑏 𝑙𝑜𝑔 2 𝑀
𝜌= = Eqn (1.107)
𝐵𝑊 2

1.8 SYNCHRONIZATION
The coherent detection of a digitally modulated signal, irrespective of its form, requires
that the receiver be synchronous to the transmitter. We say that two sequences of events
(representing a transmitter and a receiver) are synchronous relative to each other when the events
in one sequence and the corresponding in the other occur simultaneously. The process of making
situation synchronous and maintaining in this situation is called synchronization.
From the discussion presented on the operation of digital modulation techniques, we
recognize the need for two basic modes of synchronization:
When coherent detection is used , knowledge of both the frequency and the phase of the carrier is
necessary. The estimation of the carrier phase and frequency is called carrier recovery or carrier
synchronization.
To perform demodulation, the receiver has to know the instants of time at which the modulation
can change its state. That is, it has to know the starting and finishing times of individual symbols,

67
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

so that it may determine when to sample and when to quench the product-integrators. The
estimation of these times is called clock recovery or symbol synchronization.
We have observed that in a digital communication system, the output of the
Demodulator must be sampled periodically, once per symbol interval, in order to recover the
transmitted information. Since the propagation delay from the transmitter to the receiver is
generally unknown at the receiver, symbol timing must be derived from the received signal in
order to synchronously sample the output of the demodulator.
The propagation delay in the transmitted signal also results in a carrier offset, which must be
estimated at the receiver if the detector is phase coherent.

1.8.1 Carrier Recovery and Symbol Synchronization in Signal


Demodulation
Symbol synchronization is required in every digital communication system
,which transmits information synchronously. Carrier recovery is required if the
signal is detected coherently.
Fig (1.69) illustrates the block diagram of a binary PSK (or binary PAM) signal demodulator
and detector. As shown, the carrier phase estimate ϕ is used in generating the reference signal
g t cos 2πfc t + ϕ for the correlator.
The symbol synchronizer controls the sampler and the output of the signal pulse generator. If the
signal pulse is rectangular then the signal generator can
be eliminated.

Fig (1.69) Block digram of binary PSK receiver


The block diagram of an M-ary PSK demodulator is shown in Fig(1.70). In
this case, two correlators (or matched filters) are required to correlate the received signal with the
two quadrature carrier signals g t cos 2πfc t + ϕ and
g t sin 2πfc t + ϕ . where ϕ is the carrier phase estimate. The detector is now
a phase detector, which compares the received signal phases with the possible
transmitted signal phases.

68
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig(1.70) Block diagram of M-ary PSK receiver

Finally, we illustrate the block diagram of a QAM demodulator in Fig(1.71).. An AGC is


required to maintain a constant average power signal at the input to the demodulator. We observe
that the demodulator is similar to a PSK demodulator, in that both generate in-phase and
quadrature signal samples (X, Y) for the detector. In the case of QAM the detector computes the
Euclidean distance between the received noise corrupted signal point and the M possible
transmitted points, and selects the
signal closest to the received point.

Fig (1.71) Block diagram of QAM receiver.

69
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.8.2 Carrier Recovery:


The PSK signals have no spectral line at carrier frequency. Therefore a device is needed in
the carrier recovery circuit to generate such a line spectrum. There are two main types of carrier
synchronizers, the Mth power loop, and the Costas loop.
Fig (1.71 ) is the Mth power loop for carrier recovery for M-ary PSK. For BPSK (or
DBPSK), M = 2, thus it is a squaring loop. For QPSK (or OQPSK,DQPSK), M = 4, it is a
quadrupling loop, and so on. It is the Mth power device that produces the spectral line at Mfc.
The phase lock loop consisting of the phase detector, the LPF, and the VCO, tracks and locks
onto the frequency and phase of the M fc component. The divide-by-M device divides the
frequency of this component to produce the desired carrier at frequency fc and with almost the
same phase of the received signal. Before locking, there is a phase difference in the received
signal relative to the VCO output signal. We denote the phase of the received signal as θ and the
phase of the VCO output as Mθ.

Fig(1.71) Mth power synchronizer for carrier recovery.

A difficulty in circuit implementation of the Mth power loop is the Mth power device,
especially at high frequencies. Costas loop design avoids this device.
Fig (1.72) is the Costas loop for carrier recovery for BPSK. Initially the VCO generates a
sinusoid with a frequency close to the carrier frequency fc and some initial phase. The frequency
difference and the initial phase are accounted for by the phase θ. The multipliers in the I and Q-
channels produce 2 fc terms and zero frequency terms. The LPFs attenuate the 2fc terms and
their outputs are proportional to a t cos θ − θ or a t sin θ − θ . Then these two terms
1
multiply again to give the term a2 t sin 2 θ − θ which is low-pass filtered one more time to
2
get rid of any amplitude fluctuation in a2 t , thus the control signal to the VCO is proportional to

70
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

sin 2 θ − θ which drives the VCO such that the difference θ − θ becomes smaller and smaller.
For sufficiently small θ − θ, the I-channel output is the demodulated signal.

Fig(1.72) Costas loop for carrier recovery for BPSK.


The Costas loop for QPSK is shown in Fig (1.73). The Fig is self-explanatory and its
working principle is similar to that of BPSK. The limiters are bipolar, which are used to control
the amplitude of the two channels' signal to maintain balance.
When the phase difference ϕ = θ − θ is sufficiently small, the I- and Q-channel
outputs are the demodulated signals.
A difficulty in Costas loop implementation is to maintain the balance between
the I- and Q-channel. The two multipliers and low-pass filters in these two channels
must be perfectly matched in order to achieve the theoretical performance.
Although the appearance of the Mth power loop and the Costas loop are quite different, their
performance can be shown to be the same.

Fig(1.73) Costas loop for carrier recovery for QPSK.

71
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.8.3 Clock Recovery


The clock or symbol timing recovery can be classified into two basic groups. One group is
the open loop synchronizer which uses nonlinear devices. These circuits recover the clock signal
directly from the data stream by nonlinear operations on the received data stream. Another group
is the closed-loop synchronizers which attempt to lock a local clock signal onto the received data
stream by use of comparative measurements on the local and received signals.
Two examples of the open-loop synchronizer are shown in Fig (1.74). The data stream that we
use in the phase shift keying modulation is NRZ waveform.
We know that this waveform has no spectral energy at the clock Frequency. Thus in the open-
loop synchronizers in Fig (1.74), the first thing that one needs to do is to create spectral energy
at the clock frequency. In the first example, a Fourier component at the data clock frequency is
generated by the delay-and-multiply operation on the demodulated signal m(t).
This frequency component is then extracted by the BPF that follows and shaped into square
wave by the final stage. The second example generates the clock frequency component by using
the differentiator-rectifier combination. The differentiator is very sensitive to wideband noise,
therefore a low-pass filter is placed in the front end of the synchronizer.

Fig(1.74 ) Two types of open-loop symbol synchronizers.


An early/late-gate circuit shown in Fig (1.75) is an example of the class of closed-loop
synchronizers. The working principle is easily understood by referencing Fig (1.75). The time
zero point is set by the square wave clock locally generated by the VCO. If the VCO square
wave clock is in perfect synchronism with the demodulated signal m(t), the early-gate integrator
and the late-gate integrator will accumulate the same amount of signal energy so that the error
signal e = 0. If the VCO frequency is higher than that of m(t),then m(t) is late by Δ < d, relative
to the VCO clock. Thus the integration time in the early-gate integrator will be T - d - Δ, while
the integration time in the late-gate integrator is still the entire T - d. The error signal will be
proportional to - Δ. This error signal will reduce the VCO frequency and retard the VCO timing
to bring it back toward the timing of m(t). If the VCO frequency had been lower and the timing

72
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

had been late, the error signal would be proportional to +Δ, and the reverse process would
happen, that is, the VCO frequency would be increased and its timing would be advanced toward
that of the incoming signal.

Fig(1.75) Early/late-gate clock synchronizer.

Fig(1.76) Early-late-gate timing illustration.

73
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.9 COMPARISON BETWEEN DIGITAL MODULATION


SCHEMES
As the main digital modulation schemes have been introduced in the previous section a
comparison between them will be introduced as a conclusion.
Table (1.8) presents a comparison between the previously introduced modulation schemes from
the probability of error point of view
Modulation scheme Probability of error
ASK 𝐸𝑏
𝑃𝑒 = 𝑄
2𝑁0

M ary ASK 2(𝑀−1) 6(𝑙𝑜𝑔 2 𝑀)𝐸𝑏 𝑎𝑣𝑔


𝑃𝑠 = 𝑄
𝑀 𝑀 2 −1 𝑁𝑜

BFSK 1 𝐸𝑏
𝑃𝑒 = 𝑒𝑟𝑓𝑐
2 2 𝑁0

M-ary FSK

BPSK 2𝐸𝑏
𝑃𝑒 = 𝑄
𝑁𝑜

DPSK 1
𝑃𝑒 = 𝑒 −𝐸𝑏 /𝑁𝑜
2
M-ary PSK 2𝐸𝑏 𝑙𝑜𝑔 2 𝑀 𝜋
𝑃𝑒 ≤ 2𝑄 𝑠𝑖𝑛
𝑁𝑜 𝑀

QPSK 2𝐸𝑏
𝑃𝑒 = 𝑄
𝑁𝑜

MSK 𝐸𝑏
𝑃𝑒 = 𝑒𝑟𝑓𝑐( )
𝑁0
GMSK 2𝛾𝐸𝑏
𝑃𝑒 = 𝑒𝑟𝑓𝑐( )
𝑁0
QAM 1 3𝐸𝑎𝑣
𝑃𝑒 = 2 1 − 𝑒𝑟𝑓𝑐
𝑀 2(𝑀−1)𝑁0
Table (1.8) Error probabilities for various modulation schemes

Table (1.8) introduces a comparison between relevant modulation schemes from the Bandwidth
efficiency and power efficiency and the error free Eb/No.

74
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Table(1.8) Error free Eb/No for relevant modulation schemes

1.9 DISCUSSION OF THE ABOVE MODULATION SCHEMES:


 Coherent reception provides better performance than differential, but requires a more
complex receiver.
 The above table shows that bandwidth efficiency is traded off against power efficiency.
 MFSK is power efficient, but not bandwidth efficient (because the probability of
error decreases by increasing M; however that would increase the transmission
bandwidth).
 MPSK and QAM are bandwidth efficient but not power efficient.
 Mobile radio systems are bandwidth limited, therefore PSK is more suited.
 Phase Shift Keying is often used, as it provides a highly bandwidth efficient
modulation scheme.

 The constant envelope class is generally suitable for communication systems whose
power amplifiers must operate in the nonlinear region of the input-output characteristic in
order to achieve maximum amplifier efficiency. An example is the TWTA (traveling
wave tube amplifier) in satellite communications.
 QPSK, modulation is very robust, but requires some form of linear amplification.
OQPSK and π/4-QPSK can be implemented, and reduce the envelope variations
of the signal.
 The π/4-QPSK is worth special attention due to its ability to avoid 180o abrupt
phase shift and to enable differential demodulation. It has been used in digital
mobile cellular systems, such as the United States digital cellular (USDC) system.
 The PSK schemes have constant envelope but discontinuous phase transitions
from symbol to symbol. The CPM schemes have not only constant envelope, but
also continuous phase transitions. Thus they have less side lobe energy in their

75
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

spectra in comparison with the PSK schemes. The CPM class includes, GMSK,
and MSK.
 MSK is a special case of CPFSK, but it also can be derived from OQPSK with
extra sinusoidal pulse-shaping. MSK has excellent power and bandwidth
efficiency. Its modulator and demodulator are also not too complex. MSK has
been used in NASA's Advanced Communication Technology Satellite (ACTS).
 GMSK has a Gaussian frequency pulse. Thus it can achieve even better
bandwidth efficiency than MSK. GMSK is used in the US cellular digital packet
data (CDPD) system and European GSM (global system for mobile
communication) system.
 Constant envelope schemes (such as GMSK) can be employed since an efficient,
non-linear amplifier can be used.

 The generic nonconstant envelope schemes, such as ASK and QAM, are generally not
suitable for systems with nonlinear power amplifiers. However QAM, with a large signal
constellation, can achieve extremely high bandwidth efficiency. QAM has been widely
used in modems used in telephone networks, such as computer modems. QAM can even
be considered for satellite systems. In this case, however, back-off in TWWs input and
output power must be provided to ensure the linearity of the power amplifier.
 High level M-ary schemes (such as 64-QAM) are very bandwidth efficient, but more
susceptible to noise and require linear amplification.

1.10 SIMULATION RESULTS USING MATLAB:


Let us simulate and assess the above introduced modulation schemes. It was used in simulation
four methods which are:
 BER tool of communication blockset.
 SIMULINK models.
 M-file commands.
 And GUI(graphical user interface) of our own.

1.10.1 BER tool of communication blockset:


 Using the Bit error rate tool located in the communication toolbox.
 The tool gives the capability of:
 Managing a series of simulations with different values of Eb/N0.
 Collecting the results of bit error rate & importing to workspace.
 Creating a plot & Produce a comparison curves between different types of
modulation.
 The simulation can be theoretical, semi-analytic or Monte carlo analysis.
 The simulation can be achieved in AWGN & Rayleigh fading channel.
76
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The tool supports:


 Channel coded sequence(convolution & block)
 Coherent and noncoherent detection
 Differential encoded sequence.
 Synchronization errors addition.

Fig (1.77) BER tool


By using the above tool in the theoretical mode the next results are obtained:

77
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.10.1.1 Phase shift keying (PSK) modulation scheme simulation

Fig (1.78) Simulation of PSK modulation schemes

By simulating PSK modulation schemes from BPSK to 64 PSK in the signal to noise ratio range
of 0-20 dB(for 32PSK and 64PSK the range is extended to 30 dB) we will find that:
 BPSK and QPSK have the same probability of error but QPSK has higher spectral
efficiency.
 As M increases the probability of error increases which represents a power /bandwidth
efficiency trade off.
 From the Fig it is deducted that the QPSK is robust modulation scheme that we can
employ in noisy channels in WiMAX
 From the Fig the power efficiency and spectral efficiency was concluded
BPSK QPSK 8PSK 16PSK 64PSK
Spectral efficiency (log 2 M/2) 0.5 1 1.5 2 3
Power efficiency (for BER=10 -6) 10.5dB 10.5dB 18.5dB 23.2dB 28.5dB

Table (1.9) PSK power and spectral efficiencies simulation results

78
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (1.79) QPSK, OQPSK, DQPSK simulation

Fig (1.79) shows a simulation for QPSK, OQPSK and DQPSK simulation from 0:20 dB
It is found that
 OQPSK and QPSK has the same BER but with less phase abrupt changes
 DQPSK is inferior to both by 3dB.

Fig (1.80) shows a simulation for BPSK versus DPSK simulation in the range of 0 -15 dB
It was found that DPSK is slightly inferior to BPSK but in the expense on increasing the
complexity of the coherent demodulator employed to demodulate PSK signal

79
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (1.80) BPSK and DPSK simulation

1.10.1.2 Frequency shift keying simulation (FSK) simulation


Fig (1.81) shows the effect of increasing the order of FSK modulation from the BFSK into
32FSK

Fig (1.81) M-ary FSK simulation


From the Fig it is concluded that:

80
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 As the order of modulation increases (M increases) the BER decreases.


 That increase in power efficiency is traded off by the required transmission bandwidth
Table (1.10) shows the power efficiencies of M-ary FSK
BFSK 4FSK 8FSK 16FSK 32PSK
-6
Power efficiency (for BER=10 ) 13.5 dB 10.7dB 9.2 dB 8.2 dB 7.5 dB
Table(1.10) M-ary FSK power efficiency

Fig (1.82) BFSK, MSK simulation


Fig (1.82) shows a simulation of BFSK and MSK and it is can be assessed that the MSK has a
better BER performance than BFSK and hence better more power efficient.

81
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (1.83) coherent and noncoherent FSK


Fig (1.83) shows that noncoherent FSK is inferior to coherent FSK

1.10.1.3 QAM simulation

Fig (1.84) QAM simulation


Fig (1.84) shows QAM simulation for various modulation order (16,32, 64,128,256,512,1024)
in the signal to noise ratio of 0-30 dB and it was found that:
82
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 As M increases the BER increases.


 2QAM and 4QAM are BPSK and QPSK which are previously simulated
 As M increases the spectral efficiency increases.
 16QAM and 64QAM are suitable modulation scheme to be employed in good channel
conditions in WiMAX
 Table (1.11) shows the power efficiencies /bandwidth efficiency of QAM modulation
QAM order 8 16 32 64 128 256 512 1024
Spectral efficiency (log2 M/2) 1.5 2 2.5 3 3.5 4 4.5 5
Power efficiency (for BER=10 -6) in dB 13.5 14.5 17.5 18.7 22 23.5 27 28.5
Table (1.9) QAM power and spectral efficiencies simulation results

1.10.1.4 Comparative simulation of various modulation schemes

Fig (1.85) modulation schemes used in WiMAX simulation


Fig (1.85) shows the three modulation schemes used in WiMAX system, the results instruct an
adaptive modulation to be employed in WiMAX system according to channel conditions (signal
to noise ratio)

83
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (1.86) comparative simulation for 16FSK, 16PSK, 16QAM


Fig (1.86) shows a comparative simulation between different modulation schemes each having
same modulation order 16 so it is found that:
 16 FSK is the best modulation scheme which is pointed out before (since it trades the
better performance by the excessive transmission bandwidth).
 16 QAM is better than 16PSK (since the symbols in 16QAM cover all the spaces in the
constellation diagram and not confined to a densely packed circle).
 Hence when it is required to achieve same spectral efficiency square QAM is used
instead PSK. However PSK is used when the linear amplification is considered.

Fig (1.87) shows a comparative simulation between all introduced modulation schemes

84
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (1.87) Comparative simulation between all modulation schemes


As it was found from Fig the modulation schemes can be arranged descendingly from the most
power efficient scheme to the least as following:
32FSK16FSK8FSKBPSK/QPSK4FSKBFSK8PSK16QAM 32
QAM16PSK64QAM256QAM.

1.10.2 SIMULINK simulation & constellation diagram


 The simulink library has several of uesful blocks that can be used in the performance
analysis of modulation schemes such that:
 Binary generators for creating a random sequence of bits.
 Channels (AWGN-Rayleigh fading).
 Scatter plot scope and eye diagram scope.

85
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Dynamic error rate calculation.


 Various modulation schemes.

1.10.2.1 The SIMULINK model used in evaluating BER


Fig (1.88) shows the SIMULINK model used for simulation and evaluating BER The model
includes:
 Bernoulli generator for generating bits.
 Block of desired modulation & demodulation technique.
 Channel (ex:AWGN).
 Scatter plot for plotting the constellation diagram.
 Error rate calculator to calculate BER.
NOTE: by changing the blocks of modulation and demodulation different schemes.

Fig (1.89) BER calculation using SIMULINK

86
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.10.3.2 Sample runs with different Signal to noise ratios


(a) With SNR=5dB.

Fig (1.90) Simulation results with SNR=5dB.


Fig (1.90) shows a simulation results when the signal to noise ratio is very low (5 dB) it can be
seen that:
 The constellation points moves away from its designated points due to large noise power.
 The receiver cannot differentiate between symbols correctly and hence that will lead to
0.004 bit error rate.
(b) with SNR=10dB

Fig(1.91) Simulation results with 10


dB

87
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

As shown in Fig (1.91) that although the constellation points moves away around its transmitted
places but the movement is confined to the decision region and hence no error occurs
(c) With SNR=100dB(no noise approximately)

_____________________________________________________________________
Fig (1.92) Simulation results when SNR=100dB
In Fig (1.92) it can be seen that when no noise is added (approximately) the constellation points
will lay in its correct places.

1.10.4 M-files commands


The communication toolbox presents a rich library of commands that cover all modulation
schemes and will lead to similar results that is obtained before and here the results is presented
without displaying the output which was introduced before
• For generating the random bits:
• randint(n,1).
• Converting to symbols:
• xsym = bi2de(reshape(x,k,length(x)/k).','left-msb');
• Channels:
• y = awgn(x,snr)
• Constellation:
• h = scatterplot(yrx(1:nsamp*5e3),nsamp,0,'g.');
• Bit error rate:
• [number_of_errors,bit_error_rate] = biterr(x,z)
And the modulation commands:

88
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (1.93) Modulation commands in MATLAB

And also MODEM objects:

Fig (1.94) MODEM objects in MATLAB

89
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1.10.3 Our GUI for modulation:


Last it is introduced a GUI for modulation. The program was designed to illustrate different
modulation schemes in time and frequency domain

The program asks the user for the message bits and the frequency of the carrier and the user can
choose the appropriate modulation scheme from the set {ASK,BFSK,BPSK,QPSK} and the
program will draw the modulated signal in time and frequency domain.

Sample Run:
QPSK of {1 0 0 1 1 1 0 1 1 0 1 0 0 0 0 1 1 0 1 1 1 0 1 0 1 0}

90
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

And in the frequency domain:

91
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

92
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

93
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

CHAPTER
TWO
Channel Problems and Modeling for Wireless Communication
Systems
2.1 Introduction
2.1.1 Noise in the wireless channel
 Noise is un wanted signal which could be arise from a variety of sources, including
automobile ignitions and lightning, or thermal noise in the receiver itself.
 Thermal noise can be modeled as ―Additive White Gaussian Noise ―(AWGN).
 ―Additive White Gaussian Noise ― (AWGN) channel model is one in which the only
impairment is the linear addition of white noise with a constant spectral density and a
Gaussian distribution of amplitude
 ―Additive White Gaussian Noise―(AWGN) is the statistically random radio noise
characterized by a wide frequency range with regards to a signal in a communications
channel. Shown in Fig (2.1).
 The ratio of the signal strength to the noise level is called the signal-to-noise ratio
(SNR).
 If SNR is high (i.e. the signal power is much greater than the noise) few errors will
occur. As the SNR reduces, the noise may cause errors
 The bit error rate (BER) of a system indicates the quality of the link.
i. A BER of 10-3 for voice.
ii. A BER of 10-9 for a data link.
 E.g. a coherent QPSK system requires a SNR of greater than approximately 12dB and
for a BER of better than 10-3.

Fig (2.1) Noise Power Spectral


Density.

94
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.1.2 Interference in the wireless channel


 Interference is the addition of two or more waves that result in a new wave pattern.
 There are two types of Interference:
i. Adjacent channel Interference.
ii. Co-channel Interference.
 Adjacent channel Interference occurs when energy from a carrier spills over into
adjacent channels.
 Co-channel Interference occurs when another transmission on the same carrier
frequency affects the receiver (e.g. another cell).
 The ratio of the carrier to the interference (from both sources) is called the carrier-to-
interference ratio (C/I).
 Increasing in Carrier to the interference ratio reduce the effect of the interference
 But, increasing the carrier power at the receiver will increase the interference for other
mobiles in the network (Trade off).

2.1.3 Dispersion in the wireless channel


 Dispersion of waves may be take place due to interference between two (or more) waves
which come from the same source (multipath fading). Shown in Fig (2.2).

Fig (2.2) Dispersion in the wireless


channel.
2.1.4 Path Loss
 Path loss is caused by
dissipation of the power radiated by the transmitter.
 We can study the path loss effect using Maxwell equation, but due to difficulty of
evaluation we will use simplest models to approximate the wave propagation.
 Path loss models:
i. Free-Space Path Loss
ii. Ray Tracing  Two Ray, Ten Ray, General Ray
iii. Simplified Path Loss Model
 Empirical Path Loss Model:
i. Okumura‘s Model
ii. Hata Model
iii. COST231 to Hata Model
iv. Walfisch / Bertoni Model
v. Piecewise Linear (multi-slope) Model
vi. Indoor Propagation Models

2.1.5 Shadowing
 Shadowing is random variation in received signal power.

95
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Shadowing is due to blockage from objects in the signal path.


 The most common model for shadowing is log-normal shadowing.
 Log-normal shadowing has been confirmed empirically to accurately model the
variation in path loss or received power in both outdoor and indoor radio propagation
environments.
 Combined Path Loss and Shadowing.
 Outage Probability under Path Loss and Shadowing.
 Doppler Shift
 Cell Coverage Area in case of:
i. Path Loss only.
ii. Combined Path Loss and Shadowing.

2.2 Large Scale Fading


2.2.1 Introduction
Path loss is caused by dissipation of the power radiated by the transmitter as well as effects of
the propagation channel.
Shadowing is caused by obstacles between the transmitter and receiver that absorb power.
Since variations due to path loss and shadowing occur over relatively large distances this
variation is referred to as large-scale propagation effects or local mean attenuation.

2.2.2 Path loss


We define the path loss of the channel as the dB value of the linear path loss or, equivalently,
the difference in dB between the transmitted and received signal power:
𝑃𝑡
𝑃𝑙 𝑑𝐵 = 10𝑙𝑜𝑔10 (2.1)
𝑃𝑟
In general path loss is a nonnegative number since the channel does not contain active
elements, and thus can only attenuate the signal.

2.2.2.1Free-Space Path Loss


The free space propagation model is used to predict the received signal strength when TX and
RX have a clear unobstructed line-of-sight path "LOS" between them and the received signal
will be:

(2.2)
Where Gl is the product of transmit and
receive antenna field radiation patterns in the LOS direction as G l = Gt x Gr. The phase shift is
due to the distance d the wave travels. However, directional antennas can be designed so that
receive power is an increasing function of frequency for highly directional links. The received
power can be expressed in dBm as
(2.3)

96
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑷𝒓 𝒅𝑩𝒎 = 𝑷𝒕 𝒅𝑩𝒎 + 𝟏𝟎𝒍𝒐𝒈𝟏𝟎 𝑮𝒍 + 𝟐𝟎𝒍𝒐𝒈𝟏𝟎 𝝀 − 𝟐𝟎𝒍𝒐𝒈𝟏𝟎 𝟒𝝅 − 𝟐𝟎𝒍𝒐𝒈𝟏𝟎 (𝒅)


So we can get the ratio between Pr and Pt as:
2
𝑃𝑟 𝐺𝑙 𝜆
= (2.4)
𝑃𝑡 4𝜋𝑑
Thus, the received signal power falls off inversely proportional to the square of the distance d
between transmit and receive antennas and the path loss will be:
𝑃𝑡 𝐺𝑙 𝜆 2
𝑃𝐿 𝑑𝐵 = 10𝑙𝑜𝑔10 = 10𝑙𝑜𝑔10 (2.5)
𝑃𝑟 (4𝜋𝑑 )2
Note: (Friis free space eqn.)
In the previous case we assumed that there is no losses in the system hardware but generally
we must take it into consider so the relation will be:
𝑃𝑡 𝐺𝑡 𝐺𝑟 𝜆 2
𝑃𝑟 𝑑 = (2.6)
(4𝜋)2 𝑑 2 𝐿
As L here is the system loss factor and not related to the propagation and its value (L more than
or equal to 1), and L=1 means that no loss in the system hardware.

Example 2.1: Consider an indoor wireless LAN with fc = 900 MHz, cells of radius 100 m, and
non-directional antennas. Under the free-space path loss model, what transmit power is required
at the access point such that all terminals within the cell receive a minimum power of 10 μW.
How does this change if the system frequency is 5 GHz?
Solution: We must find the transmit power such that the terminals at the cell boundary receive
the minimum required power. We obtain a formula for the required transmit power by inverting
(2.4) to obtain:
2
4𝜋𝑑
𝑃𝑡 = 𝑃𝑟
𝐺𝑙 𝜆
Substituting in Gl = 1 (non-directional antennas), λ = c/fc = 0.33 m, d = 10 m, and Pr = 10μW
yields Pt = 1.45W = 1.61 dBW (Recall that P Watts equals 10 log10 [P] dBW, dB relative to
one Watt, and 10 log10 [P/.001] dBm, dB relative to one milliwatt). At 5 GHz only λ = 0.06 m
changes, so Pt = 43.9 KW = 16.42 dBW.

2.2.2.2 Ray tracing


Due to the objects in the environment between the TX and the RX produce reflected, diffracted
or scattered copies of the transmitted signal, this copies added to the LOS signal at the receiver,
due to the time delay, the phase and/or frequency shift and the attenuation in power of this copies
there is a distortion happened to the received signal relative to the transmitted one.

97
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (2.3), Reflected, Diffracted, and Scattered


Wave Components.

In ray tracing we assume a finite number of reflectors with known location and dielectric
properties and in Ray tracing techniques approximate the propagation of electromagnetic waves
by representing the waves as simple particles. Thus, the reflection, diffraction, and scattering
effects on the wave are approximated using simple geometric equations instead of Maxwell‘s
more complex wave equations.
Note: We must know the geometry and dielectric properties of the obstacles to use the ray
tracing models , if the number of the reflectors is large or we do not know the geometry and
dielectric properties of the obstacles we will use statistical models instead of ray tracing models.
Computer programs based on ray tracing such as:
i. Lucent‘s Wireless Systems Engineering software (WiSE)
ii. Wireless Valley‘s SitePlannerR
iii. Marconi‘s PlanetR EV
In these programs computer graphics are Combined with aerial photographs or architectural
drawings to obtain a 3D geometric picture of the environment.
We have:
I. Two-Ray model.
II. Ten-Ray model.
III. General Ray tracing.
I. Two-Ray model
Two-ray model predicts signal variation resulting from a ground reflection interfering with the
LOS path. This model characterizes signal propagation in isolated areas with few reflectors, such
as rural roads or highways.

98
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (2.4) Two-Ray Model.

The received signal from the two-ray model is:

(2.7)

There is a delay in time between the two signals as 𝝉 = (𝒙 + 𝒙` − 𝒍)/𝒄 and a path difference
also will cause a phase difference 𝚫𝝓 = 𝟐𝝅(𝒙 + 𝒙` − 𝒍)/𝝀
If the transmitted signal is narrowband relative to the delay spread thus, the received power of
the two-ray model for narrowband transmission is:
𝟐
𝝀 𝟐 𝑮𝒍 𝑹 𝑮𝒓 𝒆−𝒋𝚫𝝓
𝑷𝒓 = 𝑷𝒕 + (2.8)
𝟒𝝅 𝒍 𝒙+𝒙`
When d is very large compared to ht + hr we can use a Taylor series approximation to get:
𝟐𝝅(𝒙+𝒙`−𝒍) 𝟒𝝅𝒉𝒕𝒉𝒓
𝚫𝝓 = ≈ (2.9)
𝝀 𝝀𝒅
We see from Fig (2.5) and (2.15) that for asymptotically large d, 𝒙 + 𝒙` ≈ 𝒍 ≈ 𝒅, 𝜽 ≈ 𝟎, 𝑮𝒍 ≈
𝑮𝒓 , 𝒂𝒏𝒅 𝑹 ≈ −𝟏. Substituting these approximations into the last equation yields that, in this
asymptotic limit, the received signal power is approximately
𝟐 𝟐
𝝀 𝑮𝒍 𝟒𝝅𝒉𝒕𝒉𝒓 𝟐 𝑮𝒍 𝒉 𝒕 𝒉 𝒓
𝑷𝒓 ≈ 𝑷𝒕 = 𝑷𝒕 (2.10)
𝟒𝝅𝒅 𝝀𝒅 𝒅𝟐
or, in dB, we have
𝑷𝒓 𝐝𝐁𝐦 = 𝑷𝒕 𝒅𝑩𝒎 + 𝟏𝟎𝒍𝒐𝒈𝟏𝟎 𝑮𝒍 + 𝟐𝟎𝒍𝒐𝒈𝟏𝟎 𝒉𝒕 𝒉𝒓 − 𝟒𝟎𝒍𝒐𝒈𝟏𝟎 (𝒅) (2.11)

99
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Thus, in large d, the received power falls off inversely with the fourth power of d and is
independent of the wavelength λ. The received signal becomes independent of λ since the
cancellation of the two multipath rays changes the effective area of the receive antenna.
To see the variation of the received power in (dB) with the separation distance between the TX
and RX (log d) we will assume that f = 900MHz, R = -1, ht = 50m, hr = 2m, Gl = 1, Gr = 1 the
result is:

ht dc

Fig (2.5) Received Power versus Distance for Two-Ray Model.

 We can see that the plot can be separated to three regions :


a. In the first region the path loss is roughly flat till the distance is (d < ht).
b. In the second region ( ht < d < d c ) for distances bigger than ht and up to a certain
critical distance dc, the wave experiences constructive and destructive interference of
the two rays, resulting in a wave pattern with a sequence of maxima and minima. These
maxima and minima are also referred to as small-scale or multipath fading.

100
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

c. In the third region (d > dc) at the critical distance dc the final maximum is reached, after
which the signal power falls off proportionally to 𝒅−𝟒.

 An approximation for dc can be obtained by setting 𝚫𝝓 = 𝝅 in obtaining 𝒅𝒄 = 𝟒𝒉𝒕𝒉𝒓 /𝝀


 For a piecewise linear approximation we can get :
a. For d < ht the average power falloff with distance is constant. ―The signal power is
independent from the distance‖
b. For ht < d < dc the average power falloff with distance corresponds to free space where
power falls off at power falls off at -20 dB/decade, ―The signal power falls off
proportionally to 𝒅−𝟐‖
c. For d > dc, the power falls off at -40 dB/decade. ―The signal power falls off
proportionally to 𝒅−𝟒‖

 Conclusion:
The critical distance d c can be used for system design. For example, if propagation in a cellular
system obeys the two-ray model then the critical distance would be a natural size for the cell
radius, since the path loss associated with interference outside the cell would be much larger than
path loss for desired signals inside the cell. However, setting the cell radius to dc could result in
very large cells, as illustrated in Fig (2.5) and in the next example. Since smaller cells are more
desirable, both to increase capacity and reduce transmit power, cell radii are typically much
smaller than d c. Thus, with a two-ray propagation model, power falloff within these relatively
small cells goes as distance squared. Moreover, propagation in cellular systems rarely follows a
two-ray model, since cancellation by reflected rays rarely occurs in all directions.

Example 2.2: Determine the critical distance for the two-ray model in an urban microcell
(ht = 10 m, hr = 3 m) and an indoor microcell (ht = 3 m, hr = 2 m) for fc = 2 GHz.
Solution: 𝒅𝒄 = 𝟒𝒉𝒕𝒉𝒓 /𝝀 = 𝟖𝟎𝟎 𝐦𝐞𝐭𝐞𝐫𝐬 for the urban microcell and 160 meters for the
indoor system. A cell radius of 800 m in an urban microcell system is a bit large: urban
microcells today are on the order of 100 m to maintain large capacity. However, if we used a cell
size of 800 m under these system parameters, signal power would fall off as d2 inside the cell,
and interference from neighboring cells would fall off as d4, and thus would be greatly reduced.
Similarly, 160 m is quite large for the cell radius of an indoor system, as there would typically be
many walls the signal would have to go through for an indoor cell radius of that size. So an
indoor system would typically have a smaller cell radius, on the order of 10-20 m.

II. Ten-ray model (dielectric canyon)


Ten-ray reflection model predicts the variation of a signal propagating along a straight street
or hallway, this model assumes rectilinear streets with buildings along both sides of the street
and transmitter and receiver antenna heights that are well below the tops of the buildings. The
building-lined streets act as a dielectric canyon to the propagating signal.

101
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Theoretically, an infinite number of rays can be reflected off the building fronts to arrive at the
receiver but we will ignore the rays which reflected more than three times.
So that the rays will be the LOS, the ground reflected (GR), the single-wall (SW) reflected, the
double-wall (DW) reflected, the triple-wall (TW) reflected, the wall-ground (WG) reflected and
the ground-wall (GW) reflected paths. There is two of each type of wall-reflected path, one for
each side of the street so that the total number is ten rays. An overhead view of the ten-ray model
is shown in Fig (2.6).

Fig (2.6) Overhead View of the Ten-Ray Model.

For the ten-ray model, the received signal is given by

(2.12)

the received power corresponding to the equation above is:

𝟗 𝟐
𝟐
𝝀 𝑮𝒍 𝑹𝒊 𝑮𝒙𝒊 𝒆−𝒋𝚫𝝓𝒊 (2.13)
𝑷𝒓 = 𝑷𝒕 +
𝟒𝝅 𝒍 𝒙𝒊
𝒊=𝟏

where Δ𝜙𝑖 = 2𝜋(𝑥𝑖 − 𝑙)/𝜆.


Power falloff with distance in both the ten-ray model (2.20) and urban empirical data for
transmit antennas both above and below the building skyline is typically proportional to d−2,
even at relatively large distances. Moreover, this falloff exponent is relatively insensitive to the
transmitter height. This falloff with distance squared is due to the dominance of the multipath
rays which decay as d−2, over the combination of the LOS and ground-reflected rays (the two-ray
model), which decays as d−4.
Other empirical studies have obtained power falloff with distance proportional to 𝒅−𝜸, where γ
lies anywhere between two and six.
III. General Ray Tracing
General Ray Tracing (GRT) can be used to predict field strength and delay spread for any
building configuration and antenna placement ,For this model, the building database (height,

102
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

location, and dielectric properties) and the transmitter and receiver locations relative to the
buildings must be specified exactly.
Due to the required information about the objects in the environment so that the GRT model is
not used to obtain general theories about system performance and layout; rather, it explains the
basic mechanism of urban propagation, and can be used to obtain delay and signal strength
information for a particular transmitter and receiver configuration.
In this model we will take in to consider the effects of the diffraction and scattering beside the
reflection so we need to know the definition of each term of these terms.

 Reflection
Occurs when the propagation electromagnetic wave hit an object which has very large
dimensions comparing to the wavelength of propagation wave like the surface of the earth,
buildings and walls, and we saw the effect of the reflection in both two ray and ten ray models.
 Diffraction
Occurs when the radio path is obstructed by a surface has sharp edges, from many phenomena,
including the curved surface of the earth, hilly or irregular terrain and building edges.
Diffraction can be accurately characterized using the geometrical theory of diffraction (GTD)
but it is complex so we do not use it in wireless communication so we will search for a
simplified method for GTD and it is wedge diffraction method but it still requires a numerical
solution for path loss and thus is not commonly used so we will modeling the diffraction using
Fresnel knife- edge diffraction model due to its simplicity.

Fig (2.7), Knife-Edge Diffraction.


The geometry of Fig (2.7) indicates that for h small relative to d and d`, the signal must travel
an additional distance relative to the LOS path of approximately:
𝒉𝟐 𝒅+𝒅`
𝚫𝒅 = (2.14)
𝟐 𝒅 𝒅`
And the corresponding phase shift relative to the LOS path is approximately:
𝟐𝝅𝚫𝒅 𝝅
𝚫𝝓 = = 𝝂𝟐 (2.15)
𝝀 𝟐
where
𝟐(𝒅+𝒅′ )
𝛎=𝒉
𝝀 𝒅 𝒅′
(2.16)

103
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝛎 is called the Fresnel-Kirchoff diffraction parameter. The path loss associated with knife-edge
diffraction is generally a function of v and Approximations for knife-edge diffraction path loss
(in dB) relative to LOS path loss are given by Lee as:
𝟐𝟎𝒍𝒐𝒈𝟏𝟎 𝟎. 𝟓 − 𝟎. 𝟔𝟐𝝂 − 𝟎. 𝟖 ≤ 𝝂 < 0
𝟐𝟎𝒍𝒐𝒈𝟏𝟎 𝟎. 𝟓𝒆−𝟎.𝟗𝟓𝝂 𝟎≤𝝂<1
𝑳 𝝂 𝒅𝑩 =
𝟐𝟎𝒍𝒐𝒈𝟏𝟎 𝟎. 𝟒 − 𝟎. 𝟏𝟏𝟖𝟒 − (𝟎. 𝟑𝟖 − 𝟎. 𝟏𝝂)𝟐 𝟏 ≤ 𝝂 < 2.4
𝟐𝟎𝒍𝒐𝒈𝟏𝟎 𝟎. 𝟐𝟐𝟓/𝝂 𝝂 > 2.4

(2.17)
This diagram shows us the knife-edge diffraction gain relative to Fresnel diffraction parameter:

Fig (2.8), Knife-edge diffraction gain as a function of Fresnel diffraction parameter ν

The knife-edge diffraction model yields the following formula for the received diffracted
signal

(2.18)

 Scattering:

104
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Occurs when the medium consists of objects with dimensions small compared to the
wavelength and when the number of obstacles per unit volume is large.

Fig (2.9) Scattering

The received signal due to a scattered ray is given by the bistatic radar equation:

(2.19)

As ζ (in m2) is the radar cross section of the scattering object, which depends on the roughness,
size, and shape of the scattered, and it define as (the ratio of the power density of signal scattered
in direction of the RX to the power density of the radio wave incident upon the scattering object),
and its value for medium and large size buildings located 5-10 Km away is (14.1-55.7 dB.m2).
The total received signal (General Equation) due to the reflection, diffraction, scattering and
LOS signal will be:

(2.20)

Any of these multipath components may have an additional attenuation factor if its propagation
path is blocked by buildings or other objects. In this case, the attenuation factor of the
obstructing object multiplies the component‘s path loss term in the General Equation (2.20).

105
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.2.2.3 Simplified Path Loss Model


The complexity of signal propagation makes it difficult to obtain a single model that
characterizes path loss accurately across a range of different environments. Accurate path loss
models can be obtained from complex analytical models or empirical measurements when tight
system specifications must be met or the best locations for base stations or access point layouts
must be determined.
For general tradeoff analysis of various system designs it is sometimes best to use a simple
model that captures the essence of signal propagation without resorting to complicated path loss
models, which are only approximations to the real channel anyway.
Thus, the following simplified model for path loss as a function of distance is commonly used
for system design:
𝒅𝟎 𝜸
𝑷𝒓 = 𝑷𝒕 𝑲 (2.21)
𝒅
The dB attenuation is thus
𝒅 (2.22)
𝑷𝒓 𝒅𝑩𝒎 = 𝑷𝒕 𝒅𝑩𝒎 + 𝑲 𝒅𝑩 − 𝟏𝟎𝜸 𝒍𝒐𝒈𝟏𝟎
𝒅𝟎
In this approximation, K is a unit less constant which depends on the antenna characteristics
and the average channel attenuation, d0 is a reference distance for the antenna far-field, and γ is
the path loss exponent. Due to scattering phenomena in the antenna near-field, the model is
generally only valid at transmission distances d > d0, where d0 is typically assumed to be 1-10 m
indoors and 10-100 m outdoors. The value of K <1 is sometimes set to the free space path loss at
distance d0: (2.23)
𝑲 𝒅𝑩 = −𝟐𝟎 𝒍𝒐𝒈𝟏𝟎 (𝟒𝝅𝒅𝟎/𝝀)
This assumption is supported by empirical data for free-space path loss at a transmission
distance of 100 m. alternatively; K can be determined by measurement at d0 or optimized (alone
or together with γ) to minimize the mean square error (MSE) between the model and empirical
measurements.

The value of γ depends on the propagation environment: for propagation that approximately
follows a free-space or two-ray model γ is set to 2 or 4, respectively. The value of γ for more
complex environments can be obtained via a minimum mean square error (MMSE) fit to
empirical measurements, as illustrated in the example below. Alternatively γ can be obtained
from an empirically-based model that takes into account frequency and antenna height. A table
summarizing γ values for different indoor and outdoor environments and antenna heights at 900
MHz and 1.9 GHz is given below. Path loss exponents at higher frequencies tend to be higher
while path loss exponents at higher antenna heights tend to be lower. Note that the wide range of
empirical path loss exponents for indoor propagation may be due to attenuation caused by floors,
objects, and partitions.These effects are discussed in more detail later.

106
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Environment γ range
Free Space 2
Urban macrocells 3.7 – 6.5
Urban microcells 2.7 – 3.5
Office Building (same floor) 1.6 – 3.5
Office Building (multiple 2– 6
floors)
Store 1.8 – 2.2
Factory 1.6 – 3.3
Home 3

Table 2.1: Typical Path Loss Exponents

Example 2.3: Consider the set of empirical measurements of Pr / Pt given in the table below for
an indoor system at 2 GHz. Find the path loss exponent γ that minimizes the MSE between the
simplified model and the empirical dB power measurements, assuming that d0 = 1 m and K is
determined from the free space path loss formula at this d0. Find the received power at 150 m for
the simplified path loss model with this path loss exponent and a transmit power of 1 mW (0
dBm).
Distance from Transmitter M = Pr / Pt
10 m -70 dB
20 m -75 dB
50 m -90 dB
100 m -110 dB
300 m -125 dB

Table 2.2: Path Loss Measurements

Solution: We first set up the MMSE error equation for the dB power measurements as

𝑭 𝜸 = 𝑴𝒎𝒆𝒂𝒔𝒖𝒓𝒆𝒅 𝒅𝒊 − 𝑴𝒎𝒐𝒅𝒆𝒍 𝒅𝒊 𝟐

𝒊=𝟏
Where Mmeasured(di) is the path loss measurement in Table 2.2 at distance di and
Mmodel(di) =K − 10γlog10(d) is the path loss based on (2.22) at di. Using the free space path loss
formula, K =−20 log10 (4π)/.3333 = −31.54 dB. Thus
𝑭 𝜸 = 𝟐𝟏𝟔𝟕𝟔. 𝟑 − 𝟏𝟏𝟔𝟓𝟒𝜸 + 𝟏𝟓𝟕𝟏. 𝟒𝟕𝜸𝟐
Differentiating F(γ) relative to γ and setting it to zero yields
𝜕𝐹(𝛾 )
= −11654.9 + 3142.9𝛾 = 0 γ = 3.71
𝜕𝛾
To find the received power at 150 m under the simplified path loss model with K = −31.54, γ =
3.71, and Pt = 0 dBm, we have, 𝑃𝑟 (𝑑𝐵𝑚) = 𝑃𝑡 (𝑑𝐵𝑚) + 𝐾(𝑑𝐵) − 10𝛾𝑙𝑜𝑔10 (𝑑/𝑑0 ) = 0 −
31.54 − 10 𝑥 3.71 𝑙𝑜𝑔10 (150) = −112.27 𝑑𝐵𝑚
Clearly the measurements deviate from the simplified path loss model: this variation can be
attributed to shadow fading, described later.

107
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.2.3 Empirical Path Loss Models


Most mobile communication systems operate in complex propagation environments that
cannot be accurately modeled by free-space path loss, ray tracing, or the simplified model. A
number of path loss models have been developed over the years to predict path loss in typical
wireless environments such as large urban macro cells, urban microcells, and, more recently,
inside buildings. These models are mainly based on empirical measurements over a given
distance in a given frequency range and a particular geographical area or building. However,
applications of these models are not always restricted to environments in which the empirical
measurements were made, which make the accuracy of such empirically-based models applied to
more general environments somewhat questionable. Nevertheless, many wireless systems use
these models as a basis for performance analysis. In our discussion below we will begin with
common models for urban macro cells, and then describe more recent models for outdoor
microcells and indoor propagation.

2.2.3.1 Okumura’s Model


One of the most common models for signal prediction in large urban macro cells is Okumura‘s
model. This model is applicable over distances of 1-100 Km and frequency ranges of 150-1500
MHz. Okumura used extensive measurements of base station-to-mobile signal attenuation
throughout Tokyo to develop a set of curves giving median attenuation relative to free space of
signal propagation in irregular terrain. The base station heights for these measurements were 30 -
100 m, the upper end of which is higher than typical base stations today. The path loss formula
of Okumura is given by
(2.24)
𝑳𝟓𝟎 𝒅𝑩 = 𝑳𝒇 + 𝑨𝒎𝒖 𝒇, 𝒅 − 𝑮 𝒉𝒕 − 𝑮 𝒉𝒓 − 𝑮𝑨𝑹𝑬𝑨
where d is the distance between transmitter and receiver, L50 is the median (50th percentile) value
of propagation path loss, Lf is free space path loss, Amu is the median attenuation in addition to
free space path loss across all environments, G(ht) is the base station antenna height gain factor,
G(hr) is the mobile antenna height gain factor, and G AREA is the gain due to the type of
environment. The values of Amu and G AREA are obtained from Okumura‘s empirical plots.
Okumura derived empirical formulas for G(ht) and G(hr) as

𝑮 𝒉𝒕 = 𝟐𝟎𝒍𝒐𝒈𝟏𝟎 (𝒉𝒕/𝟐𝟎𝟎) 𝟑𝟎 𝒎 < 𝒉𝒕 < 1000 𝑚 (2.25)


𝟏𝟎𝒍𝒐𝒈𝟏𝟎 (𝒉𝒓 /𝟑) 𝒉𝒓 ≤ 𝟑 𝒎
𝑮 𝒉𝒓 = (2.26)
𝟐𝟎𝒍𝒐𝒈𝟏𝟎 (𝒉𝒓 /𝟑) 𝟑 𝒎 < 𝒉𝒓 < 10 𝑚

2.2.3.2 Hata Model


The Hata model is an empirical formulation of the graphical path loss data provided by
Okumura and is valid over roughly the same range of frequencies, 150-1500 MHz. This
empirical model simplifies calculation of path loss since it is a closed-form formula and is not
based on empirical curves for the different parameters. The standard formula for median path
loss in urban areas under the Hata model is

𝑃𝐿50,𝑈𝑟𝑏𝑎𝑛 𝑑𝐵 = 69.55 + 26.16𝑙𝑜𝑔10 𝑓𝑐 − 13.82𝑙𝑜𝑔10 𝑕𝑡 − 𝑎 𝑕𝑟 (2.27)


+ 44.9 − 6.55𝑙𝑜𝑔10 𝑕𝑡 𝑙𝑜𝑔10 (𝑑)

108
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The parameters in this model are the same as under the Okumura model, and a (hr) is a
correction factor for the mobile antenna height based on the size of the coverage area. For small
to medium sized cities, this factor is given by
𝑎 𝑕𝑟 = 1.1𝑙𝑜𝑔10 𝑓𝑐 − 0.7 𝑕𝑟 − 1.56𝑙𝑜𝑔10 𝑓𝑐 − 0.8 𝑑𝐵 (2.28)

And for larger cities at frequencies fc > 300 MHz by


2
𝑎 𝑕𝑟 = 3.2 𝑙𝑜𝑔10 11.75𝑕𝑟 − 4.97 𝑑𝐵 (2.29)
Corrections to the urban model are made for suburban and rural propagation, so that these
models are, respectively,
2
𝑃𝐿50,𝑠𝑢𝑏𝑢𝑟𝑏𝑎𝑛 𝑑𝐵 = 𝑃𝐿50,𝑢 𝑟𝑏𝑎𝑛 𝑑𝐵 − 2 𝑙𝑜𝑔10 (𝑓𝑐 /28) − 5.4 (2.30)

𝑃𝐿50,𝑟𝑢𝑟𝑎𝑙 𝑑𝐵 = 𝑃𝐿50 ,𝑢𝑟𝑏𝑎𝑛 𝑑𝐵 − 4.78 𝑙𝑜𝑔10 (𝑓𝑐 ) 2 − 18.33𝑙𝑜𝑔10 𝑓𝑐 − 𝐾 (2.31)

Where K ranges from 35.94 (countryside) to 40.94 (desert). Hata‘s model does not provide for
any path specific correction factors, as is available in the Okumura model. The Hata model well-
approximates the Okumura model for distances d > 1 Km. Thus, it is a good model for first
generation cellular systems, but does not model propagation well in current cellular systems with
smaller cell sizes and higher frequencies. Indoor environments are also not captured with the
Hata model.

2.2.3.3 COST-231 Extension to Hata Model

The Hata model was extended by the European cooperative for scientific and technical
research (EUROCOST) to 2 GHz as follows

𝑃𝐿50,𝑈𝑟𝑏𝑎𝑛 𝑑𝐵 = 46.3 + 33.9𝑙𝑜𝑔10 𝑓𝑐 − 13.82𝑙𝑜𝑔10 𝑕𝑡 − 𝑎 𝑕𝑟 (2.32)


+ 44.9 − 6.55𝑙𝑜𝑔10 𝑕𝑡 𝑙𝑜𝑔10 𝑑 + 𝐶𝑀

where a(hr) is the same correction factor as before and CM is 0 dB for medium sized cities and
suburbs, and 3 dB for metropolitan areas. This model is referred to as the COST-231 extension to
the Hata model, and is restricted to the following range of parameters: 1.5GHz < fc < 2 GHz,
30m < ht < 200 m, 1m < hr < 10 m, 1Km < d < 20 Km.

2.2.3.4 Walfisch/Bertoni Model

The COST extension to the Hata model does not consider the impact of diffraction from
rooftops and buildings. A model for these effects was developed by Walfisch and Bertoni. This
model uses diffraction to predict average signal strength at street level. The model considers the
path loss to be the product of three factors:
(2.33)
𝐿 = 𝑃0 𝑄2 𝑃𝑙

109
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Where P0 is the free space path loss for omnidirectional antennas, Q2 reflects the signal power
reduction due to buildings that block the receiver at street level, and Pl is based on the signal loss
from the rooftop to the street due to diffraction. The model has been adopted for the IMT -2000
standard.

2.2.3.5 Piecewise Linear (Multi-Slope) Model


A common method for modeling path loss in outdoor microcells and indoor channels is a
piecewise linear model of dB loss versus log-distance. This approximation is illustrated in Fig
(2.10) for dB attenuation versus log-distance, where the dots represent hypothetical empirical
measurements and the piecewise linear model represents an approximation to these
measurements. A piecewise linear model with N segments must specify N − 1 breakpoints d1, . . .
, dN-1 and the slopes corresponding to each segment S1, . . . , SN. Different methods can be used to
determine the number and location of breakpoints to be used in the model. Once these are fixed,
the slopes corresponding to each segment can be obtained by linear regression. The piecewise
linear model has been used to model path loss for outdoor channels and for indoor channels.
A special case of the piecewise model is the dual-slope model. The dual slope model is
characterized by a constant path loss factor K and a path loss exponent γ 1 above some reference
distance d0 up to some critical distance d c, after which point power falls off with path loss
exponent γ2 :

Fig (2.10), Piecewise Linear Model for Path Loss

𝑃𝑡 + 𝐾 − 10𝛾1 𝑙𝑜𝑔10 (𝑑/𝑑0 ) 𝑑0 ≤ 𝑑 ≤ 𝑑𝑐


𝑃𝑟 𝑑𝐵 = (2.34)
𝑃𝑡 + 𝐾 − 10𝛾1 𝑙𝑜𝑔10 (𝑑𝑐 /𝑑0 ) − 10𝛾2 𝑙𝑜𝑔10 (𝑑/𝑑𝑐 ) 𝑑 > 𝑑𝑐

The path loss exponents, K, and dc are typically obtained via a regression fit to empirical data.
The two-ray model described in Section (2.2.2.2.I) for d > ht can be approximated with the dual-
slope model, with one breakpoint at the critical distance dc and attenuation slope S1 = 20
dB/decade and S2 = 40 dB/decade.
The multiple equations in the dual-slope model can be captured with the following dual-slope
approximation
𝑃𝑡 𝐾 (2.35)
𝑃𝑟 =
𝐿(𝑑)

110
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝛾1 𝛾1 −𝛾2 𝑞
𝑑 𝑞
𝑑
𝐿 𝑑 ≜ 1+ (2.36)
𝑑0 𝑑𝑐

Where in this expression, q is a parameter that determines the smoothness of the path loss at
the transition region close to the breakpoint distance dc. This model can be extended to more than
two regions.

2.2.3.6 Indoor Propagation Models


Indoor environments differ widely in the materials used for walls and floors, the layout of
rooms, hallways, windows, and open areas, the location and material in obstructing objects, and
the size of each room and the number of floors. All of these factors have a significant impact on
path loss in an indoor environment. Thus, it is difficult to find generic models that can be
accurately applied to determine path loss in a specific indoor setting.
Indoor path loss models must accurately capture the effects of attenuation across floors due to
partitions, as well as between floors. Measurements across a wide range of building
characteristics and signal frequencies indicate that the attenuation per floor is greatest for the first
floor that is passed through and decreases with each subsequent floor passed through.
Specifically, some measurements indicate that at 900 MHz the attenuation when the transmitter
and receiver are separated by a single floor ranges from 10-20 dB, while subsequent floor
attenuation is 6-10 dB per floor for the next three floors, and then a few dB per floor for more
than four floors. At higher frequencies the attenuation loss per floor is typically larger. The
attenuation per floor is thought to decrease as the number of attenuating floors increases due to
the scattering up the side of the building and reflections from adjacent buildings. Partition
materials and dielectric properties vary widely, and thus so do partition losses. Table 2.3
indicates a few examples of partition losses measured at 900 - 1300 MHz from this data. The
partition loss obtained by different researchers for the same partition type at the same frequency
often varies widely, making it difficult to make generalizations about partition loss from a
specific data set.

Partition Type Partition Loss in dB


Cloth Partition 1.4
Double Plasterboard Wall 3.4
Foil Insulation 3.9
Concrete wall 13
Aluminum Sideing 20.4
All Metal 26

Table 2.3: Typical Partition Losses

The experimental data for floor and partition loss can be incorporated into the simple path loss
model as

111
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑑 𝑁𝑓 𝑁𝑝
𝑃𝑟 = 𝑃𝑡 + 𝐾 − 10𝛾𝑙𝑜𝑔10 − 𝑖=1
𝐹𝐴𝐹𝑖 − 𝑖=1
𝑃𝐴𝐹𝑖
𝑑0 (2.37)
Where γ is obtained from the path loss for a same floor measurement (e.g. from Table
2.1), FAFi represents the floor attenuation factor (FAF) for the ith floor traversed by the signal,
and PAFi represents the partition attenuation factor (PAF) associated with the ith partition
traversed by the signal. The number of floors and partitions traversed by the signal are NF and
NP, respectively.
Another important factor for indoor systems where the transmitter is located outside the
building is the building penetration loss. Measurements indicate that building penetration loss is
a function of frequency, height, and the building materials. Building penetration loss on the
ground floor typically ranges from 8-20 dB for 900 MHz to 2 GHz. The penetration loss
decreases slightly as frequency increases, and also decreases by about 1.4 dB per floor at floors
above the ground floor. This increase is typically due to reduced clutter at higher floors and the
higher likelihood of a line-of-sight path. The type and numbers of windows in a building also
have a significant impact on penetration loss. Measurements made behind windows have about 6
dB less penetration loss than measurements made behind exterior walls. Moreover, plate glass
has an attenuation of around 6 dB, whereas lead-lined glass has attenuation between 3 and 30 dB.

2.2.4 Shadow Fading


In addition to path loss, a signal will typically experience random variation due to blockage
from objects in the signal path, giving rise to a random variation about the path loss at a given
distance. In addition, changes in reflecting surfaces and scattering objects can also cause random
variation about the path loss. Thus, a model for the random attenuation due to these effects is
also needed. Since the location, size, and dielectric properties of the blocking objects as well as
the changes in reflecting surfaces and scattering objects that cause the random attenuation are
generally unknown, statistical models are widely used to characterize this attenuation. The most
common model for this additional attenuation is log-normal shadowing. This model has been
confirmed empirically to accurately model the variation in path loss or received power in both
outdoor and indoor radio propagation environments.
In the log-normal shadowing model the path loss 𝝍 is assumed random with a log-normal
distribution given by

(2.38)

Where 𝝃 = 𝟏𝟎/𝒍𝒏𝟏𝟎, 𝝁𝝍 dB is the mean of 𝝍𝒅𝑩 = 𝟏𝟎𝒍𝒐𝒈𝟏𝟎 𝝍 in dB and 𝝇𝝍 dB is the


standard deviation of 𝝍 𝒅𝑩. Note that if the path loss is log-normal, then the received power and
receiver SNR will also be log-normal since these are just constant multiples of 𝝍. The mean of 𝝍
(the linear average path loss) can be obtained as

(2.39)

112
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The conversion from the linear mean (in dB) to the log mean (in dB) is derived from (2.39) as

2
𝜍𝜓𝑑𝐵
10𝑙𝑜𝑔10 𝜇𝜓 = 𝜇𝜓𝑑𝐵 + (2.40)
2𝜉

Performance in log-normal shadowing is typically parameterized by the log mean μψ dB, which
is referred to as the average dB path loss and is in units of dB. The linear mean path loss in dB,
10 log10μψ , is referred to as the average path loss.
With a change of variables we see that the distribution of the dB value of ψ is Gaussian with
mean μψ dB and standard deviation ζψ dB:

𝟐
𝟏 𝝍𝒅𝑩 − 𝝁𝝍𝒅𝑩
𝒑 𝝍𝒅𝑩 = 𝒆𝒙𝒑 − (2.41)
𝟐𝝅𝝇𝝍𝒅𝑩 𝟐𝝇𝟐𝝍𝒅𝑩

The log-normal distribution is defined by two parameters: μ ψ dB and ζψ dB . Since blocking


objects cause signal attenuation, μψ dB is always nonnegative. However, in some cases the
average attenuation due to both path loss and shadowing is incorporated into the path loss model.
For example, piecewise linear path loss models based on empirical data will incorporate the
average shadowing associated with the measurements into the path loss model. In this case the
shadowing model superimposed on the simplified path loss model should have μ ψ dB = 0.
However, if the path loss model does not incorporate average attenuation due to shadowing or if
the shadowing model incorporates path loss via its mean, then μ ψ dB as well as ζψ dB will be
positive, and must be obtained from an analytical model, simulation, or empirical measurements.
If the mean and standard deviation for the shadowing model are based on empirical
measurements then the question arises as to whether they should be obtained by taking averages
of the linear or dB values of the empirical measurements. Specifically, given empirical (linear)
path loss measurements {pi}i=1:N should the mean path loss be determined as
𝟏 𝑵
𝝁𝝍 = 𝒊=𝟏 𝒑𝒊 (2.42)
𝑵
or as
𝟏 𝑵
𝝁𝝍𝒅𝑩 = 𝒊=𝟏 𝟏𝟎𝒍𝒐𝒈𝟏𝟎 𝒑𝒊 (2.43)
𝑵
A similar question arises for computing the empirical variance. In practice it is more common
to determine mean path loss and variance based on averaging the dB values of the empirical
measurements for several reasons. First, as we will see below, the mathematical justification for
the log-normal model is based on dB measurements. In addition, the literature shows that
obtaining empirical averages based on dB path loss measurements leads to a smaller estimation
error. Finally, as we saw in Section (2.2.3.5.), power falloff with distance models are often
obtained by a piece-wise linear approximation to empirical measurements of dB power versus
the log of distance.

113
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Most empirical studies for outdoor channels support a standard deviation ζ ψ dB ranging from
five to twelve dB in macro cells and four to thirteen dB in microcells. The mean power μψ dB
depends on the path loss and building properties in the area under consideration. The mean
power μψ dB varies with distance due to path loss and the fact that average attenuation from
objects increases with distance due to the potential for a larger number of attenuating objects.
The Gaussian model for the distribution of the mean received signal in dB can be justified by
the following attenuation model when shadowing is dominated by the attenuation from blocking
objects. The attenuation of a signal as it travels through an object of depth d is approximately
equal to (2.44)
s(d) = ce-αd
Where c is an adjustment constant and α is an attenuation constant that depends on the object‘s
materials and dielectric properties. If we assume that α is approximately equal for all blocking
objects, and that the ith blocking object has depth di, then the attenuation of a signal as it
propagates through this region is
(2.45)
𝑠 𝑑𝑡 = 𝑐𝑒 −𝛼 𝑖 𝑑 𝑖 = 𝑐𝑒 −𝛼𝑑 𝑡

Where dt = ∑i di is the sum of the object depths through which the signal travels. If there are
many objects between the transmitter and receiver, then we can approximate dt by a Gaussian
random variable.
Thus, log s(dt) = logc − αdt will have a Gaussian distribution with mean μ and standard
deviation ζ. The value of ζ will depend on the environment and, as mentioned earlier, empirical
measurements for ζ range between four and twelve dB.

Example 2.4: In Example 2.3 we found that the exponent for the simplified path loss model that
best fit the measurements in Table 2.2 was γ = 3.71. Assuming the simplified path loss model
with this exponent and the same K = −31.54 dB, find ζ 2ψ dB, the variance of log-normal
shadowing about the mean path loss based on these empirical measurements.

Solution: The sample variance relative to the simplified path loss model with γ = 3.71 is

5
2
1 2
𝜍𝜓𝑑𝐵 = 𝑀𝑚𝑒𝑎𝑠𝑢𝑟𝑒𝑑 𝑑𝑖 − 𝑀𝑚𝑜𝑑𝑒𝑙 (𝑑𝑖 )
5
𝑖=1

Where Mmeasured (di) is the path loss measurement in Table 2.2 at distance di and Mmodel(di) = K
− 35.6 log10(d). Thus
1
ζ2ψ dB = [(−70 − 31.54 + 37.1)2 + (−75 − 31.54 + 48.27)2 + (−90 − 31.54 + 63.03)2 + (−110 −
5
31.54 + 74.2)2+ (−125 − 31.54 + 91.90)2] = 13.29
Thus, the standard deviation of shadow fading on this path is ζ ψ dB = 3.65 dB. Note that the
bracketed term in the above expression equals the MMSE formula from Example 2.3 with γ =
3.71.
Extensive measurements have been taken to characterize the empirical autocorrelation of
shadowing for different environments at different frequencies. The most common analytical

114

(2.46)
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

model for autocorrelation, first proposed by Gudmundson based on empirical measurements,


assumes the shadowing ψ(d) is a first-order autoregressive process where the autocorrelation
between shadow fading at two points separated by distance δ is given by
𝜹/𝑫
𝑨 𝜹 = 𝑬 𝝍𝒅𝑩 𝒅 − 𝝁𝝍𝒅𝑩 𝝍𝒅𝑩 𝒅 + 𝜹 − 𝝁𝝍𝒅𝑩 = 𝝇𝟐𝝍𝒅𝑩𝝆𝑫

Where ρD is the correlation between two points separated by a fixed distance D. This
correlation must be obtained empirically, and varies with the propagation environment and
carrier frequency. Measurements indicate that for suburban macro cells with fc = 900 MHz, ρD =
.82 for D = 100 m and for urban macro cells with 𝒇𝒄 ≈ 𝟐 𝑮𝑯𝒛, ρD = .3 for D = 10 m. This
model can be simplified and its empirical dependence removed by setting ρD = 1/e for distance D
= Xc, which yields
(2.47)
𝑨 𝜹 = 𝝇𝟐𝝍𝒅𝑩𝒆−𝜹/𝑿𝒄
The decorrelation distance Xc in this model is the distance at which the signal autocorrelation
equals 1/e of its maximum value and is on the order of the size of the blocking objects or clusters
of these objects. For outdoor systems Xc typically ranges from 50 to 100 m. For users moving at
velocity υ, the shadowing decorrelation in time η is obtained by substituting υη = δ in (2.46) or
(2.47). The first-order autoregressive correlation model (2.46) and its simplified form (2.47) are
easy to analyze and to simulate. Specifically, one can simulate ψdB by first generating a white
noise process and then passing it through a first order filter with a pole at ρD-δ/D for
autocorrelation (2.46) or at e-δ/Xc for autocorrelation (2.47). The filter output will produce a
shadowing random process with the desired autocorrelation properties.

2.2.5 Combined Path Loss and Shadowing


Models for path loss and shadowing are typically superimposed to capture power falloff versus
distance along with the random attenuation about this path loss from shadowing. In this
combined model, average path loss (μ dB) is characterized by the path loss model and shadow
fading, with a mean of 0 dB, creates variations about this path loss, as illustrated by the path loss
and shadowing curve in Fig (2.11).

Specifically, this curve plots the


Fig combination of Shadowing
(2.11), Path Loss, the simplified path loss
and Multipath model
versus (2.21) and the
Distance.
log-normal shadowing random process defined by (2.41) and (2.47).

115
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝒅𝟎 𝜸
𝑷𝒓 = 𝑷𝒕 𝑲 (2.21)
𝒅
𝟐
𝟏 𝝍𝒅𝑩 −𝝁𝝍𝒅𝑩
𝒑 𝝍𝒅𝑩 = 𝒆𝒙𝒑 −
𝟐𝝅𝝇𝝍𝒅𝑩 𝟐𝝇𝟐𝝍𝒅𝑩 (2.41)

𝑨 𝜹 = 𝝇𝟐𝝍𝒅𝑩𝒆−𝜹/𝑿𝒄 (2.47)
For this combined model the ratio of received to transmitted power in dB is given by:

𝑷𝒓 𝒅
𝒅𝑩 = 𝟏𝟎𝒍𝒐𝒈𝟏𝟎 𝑲 − 𝟏𝟎𝜸𝒍𝒐𝒈𝟏𝟎 + 𝝍𝒅𝑩 (2.48)
𝑷𝒕 𝒅𝟎
Where 𝝍 is a Gauss-distributed random variable with mean zero and variance 𝝇𝟐𝝍𝒅𝑩. The path
loss decreases linearly relative to 𝒍𝒐𝒈𝟏𝟎 𝒅 with a slope of 𝟏𝟎𝜸 dB/decade, where γ is the path
loss exponent. The variations due to shadowing change more rapidly, on the order of the
decorrelation distance Xc.
The prior examples 2.3 and 2.4 illustrate the combined model for path loss and log-normal
shadowing based on the measurements in Table 2.2, where path loss obeys the simplified path
loss model with K = −31.54 dB and path loss exponent γ = 3.71 and shadowing obeys the log
normal model with mean given by the path loss model and standard deviation 𝝇𝝍𝒅𝑩 = 3.65 dB.
An example of how the path loss exponent is determined from measured data follows. Fig
(2.12) illustrates actual measured data in several cellular radio systems and demonstrates the
random variations about the mean path loss (in dB) due to shadowing at specific transmitter-
receiver (T-R) separation.
Note: in the Fig 𝒏 = 𝜸 = 𝒑𝒂𝒕𝒉 𝒍𝒐𝒔𝒔 𝒆𝒙𝒑𝒐𝒏𝒆𝒏𝒕 , 𝝇 = 𝒔𝒕𝒂𝒏𝒅𝒂𝒓𝒅 𝒅𝒆𝒗𝒊𝒂𝒕𝒊𝒐𝒏.

Fig (2.12) Scatter plot of measured data and corresponding


MMSE path loss model for many cities in Germany. For this data,
n = 2.7 and y = 11.8 dB
116
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.2.6 Outage Probability under Path Loss & Shadowing


The combined effects of path loss and shadowing have important implications for wireless
system design. In wireless systems there is typically a target minimum received power level Pmin
below which performance becomes unacceptable (e.g. the voice quality in a cellular system is
too poor to understand). However, with shadowing the received power at any given distance
from the transmitter is log-normally distributed with some probability of falling below Pmin . We
define outage probability pout (Pmin, d) under path loss and shadowing to be the probability that
the received power at a given distance d, Pr(d), falls below Pmin : pout(Pmin , d) = p(Pr (d) < Pmin).
For the combined path loss and shadowing model becomes:

𝑷𝒎𝒊𝒏 − 𝑷𝒕 + 𝟏𝟎𝒍𝒐𝒈𝟏𝟎 𝑲 − 𝟏𝟎𝜸𝒍𝒐𝒈𝟏𝟎 (𝒅/𝒅𝟎 ) (2.49)


𝒑 𝑷𝒓 (𝒅) ≤ 𝑷𝒎𝒊𝒏 = 𝟏 − 𝑸
𝝇𝝍𝒅𝑩
Where the Q function is defined as the probability that a Gaussian random variable x with
mean zero and variance one is bigger than z:

∞ 𝟏 𝟐 /𝟐
𝑸 𝒙 ≜𝒑 𝒙>𝑧 = 𝒆−𝒚 𝒅𝒚 (2.50)
𝒛 𝟐𝝅
The conversion between the Q function and complementary error function is:
𝟏 𝒛
𝑸 𝒛 = 𝒆𝒓𝒇𝒄 (2.51)
𝟐 𝟐

Example 2.5: Find the outage probability at 150 m for a channel based on the combined path
loss and shadowing models of examples 2.3 and 2.4, assuming a transmit power of Pt = 10 mW
and minimum power requirement Pmin = −110.5 dBm.
Solution: We have Pt = 10 mW = 10 dBm.

𝑷𝒐𝒖𝒕 = −𝟏𝟏𝟎. 𝟓𝒅𝑩𝒎, 𝟏𝟓𝟎𝒎 = 𝒑 𝑷𝒓 𝟏𝟓𝟎𝒎 < −110.5𝑑𝐵𝑚


𝑷𝒎𝒊𝒏 − 𝑷𝒕 + 𝟏𝟎𝒍𝒐𝒈𝟏𝟎 𝑲 − 𝟏𝟎𝜸𝒍𝒐𝒈𝟏𝟎 (𝒅/𝒅𝟎 )
𝑷𝒐𝒖𝒕 = −𝟏𝟏𝟎. 𝟓𝒅𝑩𝒎, 𝟏𝟓𝟎𝒎 = 𝟏 − 𝑸
𝝇𝝍𝒅𝑩
−𝟏𝟏𝟎. 𝟓 − 𝟏𝟎 − 𝟑𝟏. 𝟓𝟒 − 𝟑𝟕. 𝟏𝒍𝒐𝒈𝟏𝟎 (𝟏𝟓𝟎)
𝑷𝒐𝒖𝒕 = −𝟏𝟏𝟎. 𝟓𝒅𝑩𝒎, 𝟏𝟓𝟎𝒎 = 𝟏 − 𝑸
𝟑. 𝟔𝟓
𝑷𝒐𝒖𝒕 = −𝟏𝟏𝟎. 𝟓𝒅𝑩𝒎, 𝟏𝟓𝟎𝒎 = 𝟎. 𝟎𝟏𝟐𝟏

An outage probabilities of 1% is a typical target in wireless system designs.

117
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.2.7 Cell Coverage Area


The cell coverage area in a cellular system is defined as the percentage of area within a cell
that has received power above a given minimum. Consider a base station inside a circular cell of
a given radius R. All mobiles within the cell require some minimum received SNR for acceptable
performance. Assuming some reasonable noise and interference model, the SNR requirement
translates to a minimum received power Pmin throughout the cell. The transmit power at the base
station is designed for an average received power at the cell boundary of 𝑷𝑹 , where the average
is computed based on path loss alone.
However, random shadowing will cause some locations within the cell to have received power
below𝑷𝑹 , and others will have received power exceeding 𝑷𝑹 , This is illustrated in Fig (2.13) ,
where we show contours of constant received power based on a fixed transmit power at the base
station for path loss alone and for combined path loss and shadowing. For path loss alone
constant power contours form a circle around the base station, since path loss is the same at a
uniform distance from the base station. For combined path loss and shadowing the contours form
an amoeba-like shape due to the random variations about the circular path loss contour caused by
shadowing. The constant power contours for combined path loss and shadowing indicate the
challenge shadowing poses in cellular system design. Specifically, it is not possible for all users
at the cell boundary to receive the same power level. Thus, the base station must either transmit
extra power to insure users affected by shadowing receive their minimum required power Pmin ,
which causes excessive interference to neighboring cells, or some users within the cell will not
meet their minimum received power
requirement .

Fig (2.13) Contours of Constant Received Power.


We now compute cell coverage area under path loss and shadowing. The percentage of area
within a cell where the received power exceeds the minimum required power Pmin is obtained by
taking an incremental area dA at radius r from the base station (BS) in the cell, as shown in Fig
(2.13).Let Pr (r) be the received power in dA from combined path loss and shadowing, and let
PA = p (Pr (r) > Pmin) in dA. Then the cell coverage area is given by:

118
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝟏 𝟏 𝟐𝝅 𝑹
𝑪= 𝒄𝒆𝒍𝒍 𝒂𝒓𝒆𝒂
𝑷𝑨 𝒅𝑨 = 𝟎 𝟎
𝑷𝑨 𝒓 𝒅𝒓 𝒅𝜽 (2.52)
𝝅𝑹𝟐 𝝅𝑹𝟐

Note: The outage probability of the cell is defined as the percentage of area within the cell that
does not meet its minimum power requirement Pmin, i.e. 𝒑𝒄𝒆𝒍𝒍
𝒐𝒖𝒕 = 𝟏 − 𝑪
Given the log-normal distribution for the shadowing,

𝑷𝒎𝒊𝒏 − 𝑷𝒕 + 𝟏𝟎𝒍𝒐𝒈𝟏𝟎 𝑲 − 𝟏𝟎𝜸𝒍𝒐𝒈𝟏𝟎 (𝒓/𝒅𝟎 )


𝒑 𝑷𝑹 (𝒓) ≥ 𝑷𝒎𝒊𝒏 = 𝑸 = 𝟏 − 𝒑𝒐𝒖𝒕 𝑷𝒎𝒊𝒏 , 𝒓 (2.53)
𝝇𝝍𝒅𝑩
Then the cell coverage area will be:

𝟐 𝑹 𝒓 (2.54) 𝑷𝒎𝒊𝒏 −𝑷𝒓 (𝑹) 𝟏𝟎𝜸 𝐥𝐨𝐠 𝟏𝟎 (𝒆)


𝑪= 𝒓𝑸 𝒂 + 𝒃 𝐥𝐧 𝒅𝒓 𝒂= 𝒃= (2.55)
𝑹𝟐 𝟎 𝑹 𝝇𝝍𝒅𝑩 𝝇𝝍𝒅𝑩

𝟐 = 𝟐𝒂𝒃 𝟐 − 𝒂𝒃
𝑪 = 𝑸 𝒂 + 𝐞𝐱𝐩 𝟐
𝑸 (2.56)
𝒃 𝒃

If the target minimum received power equals the average power at the cell boundary: Pmin =
Pr (R), then a = 0 and the coverage area simplifies to:
𝟏 𝟐 𝟐
𝑪 = + 𝐞𝐱𝐩 𝑸 (2.57)
𝟐 𝒃𝟐 𝒃

Note that with this simplification C depends only on the ratio 𝜸/𝝇𝝍𝒅𝑩 .
Example 2.6: Find the coverage area for a cell with the combined path loss and shadowing
models of examples 2.3 and 2.4, a cell radius of 600 m, a base station transmit power of Pt = 100
mW = 20 dBm, and a minimum received power requirement of Pmin = −110 dBm and of Pmin =
−120 dBm.
Solution: We first consider Pmin = −110 and check if a = 0 to determine whether to use the full
formula (2.56) or the simplified formula (2.57). We have𝑃𝑟 𝑅 = 𝑃𝑡 + 𝑘 − 10𝛾 log10 600 =
20 − 31.54 − 37.1 log 600 = −114.6 𝑑𝐵𝑚 ≠ −110 𝑑𝐵𝑚, so we use (2.56). Evaluating a
and b from (2.55) yields a = (−110 + 114.6)/3.65 = 1.26 and b = 37.1 * 0.434/3.65 = 4.41.
Substituting these into (2.60) yields

2 − 2 1.26 ∗ 4.41 2 − 1.26 (4.41)


𝐶 = 𝑄 1.26 + exp 𝑄 = 0.59
4.412 4.41

Which would be a very low coverage value for an operational cellular system (lots of unhappy
customers). Now considering the less stringent received power requirement Pmin = −120 dBm
yields a = (−120+114.9)/3.65 = −1.479 and the same b = 4.41. Substituting these values into
(2.56) yields C = .988, a much more acceptable value for coverage area.

119
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.3 Small Scale Fading


2.3.1 Introduction
Small scale fading or fading -as it is common- is one of the most dangerous problems that face
the wireless communications. Generally, it is dangerous as when the signal is in fade; its level
may be below the minimum allowable threshold of the receiver, so it may not detect the signal,
so a loss in the sent information may happen which considered a great problem for any
communication system.
So, when a reliable communication system is designed, precautions are taken to eliminate,
mitigate, or exploit the existence of fading. From here, it so important to investigate the fading
causes, effects, its modeling methods, how we deal with it, and how the channels are classified
according the fade that they suffer from. That is the goal of this chapter, to completely
understand fading.

2.3.2 Small Scale Fading Concepts


2.3.2.1 Definitions
In language fading means: to gradually disappear or become weaker. It is so near to what we
mean with fading in communication. In communication fading is used to describe the rapid
fluctuations of the amplitude of a radio signal over a short period of time or travel distance. From
this definition we see that fading is concerned with:
1. The changes in the amplitude of the signal.
2. This change is observed over short time.

2.3.2.2 How fading happens


Due to the existence of scatterers and obstacles in the communication channel, the sent signal
takes many paths to go from the transmitter to the receiver as it hits the scatterers and the
obstacles as it is obvious from the Fig (2.14) .from here emerge the word ―multipath
transmission‖.

Fig (2.14) Multipath propagation


transmission

120
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Due to this, the receiver receives many versions of the same sent signal that come from
different paths, these paths are different in length, so these copies come to the receiver in slightly
different times -come from different directions with different propagation delays- with different
phases.
When the receiver combines those copies together which are different in phases, the combined
waves may be in phase so we have a peak and may also be out of phase so we have a null this
change in the level of the combined signal happens over short time so we call it fading.
So briefly it is stated that the causes of fading are:
 The presence of reflecting objects and scatterers in the channel.
 The heights of the mobile antennas are well below the height of surrounding structures.
 Reflection from the ground.
 Reflection from surrounding structure.
 The passing vehicles or even people walking in the vicinity of the mobile.

The resultant combined signal varies widely in amplitude and phase depending on:
 Distribution of the intensity.
 Relative propagation time of the waves.
 The bandwidth of the transmitted signal.
In the Fig (2.15) it shows the effect of multipath fading on the received signal power

Fig (2.15) effect of small scale fading on the received


signal power.

121
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.3.2.3 Factors influencing small scale fading


A) Multipath propagation Happens due to:
The existence of reflecting objects and scattereres in the channel.
Causes:
 Creates a constantly changing environment that dissipates the signal energy in
amplitude, phase, and time.
 Result in multiple versions of the transmitted signal that arrive at the receiving
antenna, displaced with respect to one another in time and spatial orientation.
 The random phase and amplitudes of the different multipath components cause
fluctuations in signal strength.
 Increasing the time required for the baseband portion of the signal to reach the
receiver which can cause intersymbol interference.
B) Different Doppler shifts Happens due to:
The speed of the mobile and the relative motion between the base station and the mobile
Causes:
 Random frequency modulation on each of the multipath components.
 Doppler shift will be positive or negative depending on whether the mobile receiver
is moving toward or away from the base station.
C) The transmission bandwidth of the signal
As we will indicate later, the relation between the coherent bandwidth of the channel and
the bandwidth of the transmitted signal defines the type of fading that the signal will
suffer from when it passes through the channel.
So briefly it is stated that the Effects of Small Scale fading are:
 Dissipates the signal energy in amplitude, phase and time.
 Rapid changes in the signal strength (level or amplitude) over a small travel distance or
time interval.
 Random frequency modulation due to varying Doppler shifts on different multipath
signals.
 Time dispersion (echoes) caused by multipath propagation delays.
 Multipath propagation often lengths the time required for the baseband portion of the
signal to reach the receiver which can cause signal smearing due to intersymbol
interference.
As the first factor is covered above, the second factors of small scale fading will be
investigated here, and the last factor will be will be covered in the channel parameters and
classifications.

2.3.2.4 Doppler shift


Doppler shift is a slight change in the signal frequency due to the speed of the receiver. As
seen in the Fig (2.16):, consider a mobile moving at a constant velocity v, along a path segment

122
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

having length d between points X and Y, while it receives signals from a remote source S. The
difference in path lengths traveled by the wave from the source S to the mobile at points X and Y
is
∆𝒍 = 𝒅 𝐜𝐨𝐬 𝜽 = 𝒗 ∆𝒕 𝐜𝐨𝐬 𝜽 (2.58)
Where
 ∆t is the time required for the mobile to travel from X to Y.
 θ is the spatial angle between the direction of motion of the mobile and the direction of
arrival of the wave and assumed to be the same at points X and Y since the source is
assumed to be very far away.

Fig (2.16) illustration of Doppler shift effects


The phase change in the received signal due to the difference in path lengths is given by:
2𝜋∆𝑙 2𝜋𝑣∆𝑡
∆𝜙 = = cos 𝜃 (2.59)
𝜆 𝜆
Hence, the apparent change in frequency or Doppler shift is given by fd where
1 ∆𝜙 𝑣
𝑓𝑑 = = cos 𝜃 (2.60)
2𝜋 ∆𝑡 𝜆
It is clear from equation (2.60) that if the mobile is moving towards the direction of arrival of
the wave, the Doppler shift is positive (the apparent received frequency is increased), if the
mobile is moving away from the direction of the arrival of the wave, the Doppler shift is negative
(the apparent received frequency is decreased).

2.3.3 Small scale fading channel modeling (impulse response model)


The common representation of multipath channel is the channel impulse response which the
small scale variation of a mobile radio signal can be directly related to it.

2.3.3.1 Definitions
Impulse response:
1. The signal at the receiver if a single pulse is transmitted. Or

123
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2. A wideband channel characterization of channel that contains all the information


necessary to simulate or analyze any type of radio transmission through the channel.

2.3.3.2 Why it is important?


It is used to predict and compare the performance of many different mobile communication
systems and transmission bandwidths for a particular mobile channel conditions.

2.3.3.3 Which kind of models and why it is chosen?


Model : Linear filter with a time varying impulse response. Why?
Time variation : Due to the receiver motion in the space.
Filtering nature : Because of the summation of amplitudes and delays of the multiple arriving waves
at any instant of time.

2.3.3.4 Proof of the multipath channel can be modeled as linear filter with a time
varying impulse response
To show that a mobile radio channel may be modeled as a linear filter with a time varying
impulse response, consider the case where time variation is due strictly to receiver motion in
space. This is shown in Fig (2.17).

Fig (2.17): the mobile radio channel as a function of time and space

In Fig (2.17), the receiver moves along the ground at some constant velocity ν. For a fixed
position d, the channel between the transmitter and the receiver can be modeled as a linear time
invariant system. However, due to the different multipath waves which have propagation delays
which vary over different spatial locations of the receiver, the impulse response of the linear time
invariant channel should be a function of the position of the receiver. That is, the channel
impulse response can be expressed as h (d,t). Let x (t) represent the transmitted signal, then the
received signal y (d,t) at position d can be expressed as a convolution of x(t) with h(d,t)

𝑦 𝑑, 𝑡 = 𝑥 𝑡 ⊗ 𝑕 𝑑, 𝑡 = −∞
𝑥 𝜏 𝑕 𝑑, 𝑡 − 𝜏 𝑑𝜏 (2.61)
For causal system, 𝑕 𝑑, 𝑡 = 0 for t < 0 thus the equation (2.61) reduced to
𝑡
𝑦 𝑑, 𝑡 = −∞
𝑥 𝜏 𝑕 𝑑, 𝑡 − 𝜏 𝑑𝜏 (2.62)
Since the receiver moves along the ground at a constant velocity v the position of the receiver
can by expressed as
𝑑 = 𝑣∗𝑡 (2.63)
Substituting with (2.63) in (2.62) we get

124
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑡
𝑦 𝑣 𝑡 ,𝑡 = −∞
𝑥 𝜏 𝑕 𝑣 𝑡 , 𝑡 − 𝜏 𝑑𝜏 (2.64)
Since 𝑣 is a constant, 𝑦 𝑣 ∗ 𝑡, 𝑡 is just a function of t. Therefore, equation (2.64) can be
expressed as
𝑡
𝑦 𝑡 = −∞
𝑥 𝜏 𝑕 𝑣 ∗ 𝑡, 𝑡 − 𝜏 𝑑𝜏 = 𝑥 𝑡 ⊗ 𝑕 𝑣 ∗ 𝑡, 𝑡 = 𝑥 𝑡 ⊗ 𝑕 𝑑, 𝑡 (2.65)
From equation (2.65) it is clear that the mobile radio channel can be modeled as a linear time
varying channel, where the channel changes with time and distance, which is required to be
proved.

2.3.3.5 The discrete impulse response model


Since 𝑣 may be assumed constant over a short time (or distance) interval, we may let
 x(t) represent the transmitted bandpass waveform,
 y(t) the received waveform,
 h (t,𝜏) the impulse response of the time varying multi-path radio channel.
The impulse response h (t, 𝜏) completely characterizes the channel and is a function of both t and
η.
 The variable t represents the time variations due to motion,
 The variable 𝜏 represents the channel multipath delay for a fixed value of t. One may
think of 𝜏 as being a vernier adjustment of time.
The received signal y (t) can be expressed as a convolution of the transmitted signal x (t) with the
channel impulse response (see Fig 2.18 a).

𝑦 𝑡 = −∞
𝑥 𝜏 𝑕 𝑡 , 𝜏 𝑑𝜏 = 𝑥 𝑡 ⊗ 𝑕 𝑡 , 𝜏
If the multipath channel is assumed to be a band limited bandpass channel, which is
reasonable, then h(t, 𝝉) may be equivalently described by a complex baseband impulse response(2.66)
hb( t , 𝝉) with the input and output being the complex envelope representations of the transmitted
and received signals, respectively – that is to ease the manipulation - (see Fig 2.18b). That is,
1
𝑟(𝑡) = 𝑐(𝑡) ⨂ 𝑕𝑏 𝑡, 𝜏 (2.67)
2
𝑥 𝑡 𝑕 𝑡, 𝜏 = 𝑅𝑒 {𝑕𝑏 𝑡, 𝜏 𝑒 𝑗 𝜔 𝑐 𝑡 } 𝑦( 𝑡)
𝑦 𝑡 = 𝑅𝑒 {𝑟 𝑡 𝑒 𝑗𝜔 𝑐 𝑡 }
(a) 𝑦 𝑡 = 𝑥 𝑡 ⊗ 𝑕 𝑡

1
𝑐 𝑡 𝑕𝑏 𝑡, 𝜏 𝑟( 𝑡)
2
1 1 1
(b) 𝑟 𝑡 = 𝑥 𝑡 ⊗ 𝑕 𝑡
2 2 2
Fig (2.18)
Where 𝑐 𝑡 𝑎𝑛𝑑 𝑟 𝑡 are the complex envelop of 𝑥 𝑡 𝑎𝑛𝑑 𝑦 𝑡 , defined as
(a) Bandpass channel impulse response model.
(b) Baseband equivalent channel impulse response model.

𝑥 𝑡 = 𝑅𝑒 {𝑐 𝑡 exp(𝑗2𝜋𝑓𝑐 𝑡)} (2.68)


𝑦 𝑡 = 𝑅𝑒 {𝑟 𝑡 exp(𝑗2𝜋𝑓𝑐 𝑡)}
(2.69)
125
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The factor of 1/2 in equation (2.67) is due to the properties of the complex envelope, in order
to represent the passband radio system at baseband. The low-pass characterization removes the
high frequency variations caused by the carrier, making the signal analytically easier to handle. It
𝟏
is shown by Couch that the average power of a bandpass signal 𝒙𝟐 𝒕 is equal 𝒄(𝒕) 𝟐 , where
𝟐
the over bar denotes ensemble average for a stochastic signal, or time average for a deterministic
or ergodic stochastic signal.

2.3.3.5.1 The concept of excess delay


Definitions
Excess delay: is the relative delay of the 𝒊 𝒕𝒉 multipath component as compared to the first
arriving component and is given by 𝝉𝒊 .

It is useful to discretize the multipath delay axis t of the impulse response into equal time delay
segments called excess delay bins, where each bin has a time delay width equal to 𝝉𝒊+𝟏 − 𝝉𝒊 ,
where 𝝉𝟎 is equal to 0, and represents the first arriving signal at the receiver.

Letting i = 0, it is seen that 𝝉𝟏 − 𝝉𝟎 is equal to the time delay bin width given by ∆𝝉. For
convention, 𝝉𝟎 = 0, 𝝉𝟏=∆𝝉, and 𝝉𝒊 = 𝒊∆𝝉, for i = 0 to N - 1, where N represents the total
number of possible equally-spaced multipath components, including the first arriving
component.
Any number of multipath signals received within the i th bin are represented by a single
resolvable multipath component having delay 𝝉𝒊 .

2.3.3.5.2 Benefits of quantizing the delay bins:


 determines the time delay resolution of the channel model,
 Determines the useful frequency span of the model which can be shown to be l / (2∆𝜏).
 The model may be used to analyze transmitted signals having band-widths which are less
than l / (2∆𝜏).
Notes:
 𝜏0 = 0 is the excess time delay of the first arriving multipath component, and neglects
the propagation delay between the transmitter and receiver.
 The maximum excess delay of the channel is given by 𝑁∆𝜏.
Since the received signal in a multipath channel consists of a series of attenuated, time-
delayed, phase shifted replicas of the transmitted signal; the baseband impulse response of a
multipath channel can be expressed as
(2.70)
𝑕𝑏 𝑡, 𝜏 = 𝑁−1𝑖=0 𝑎𝑖 𝑡, 𝜏 exp 𝑗 2𝜋𝑓𝑐 𝜏𝑖 𝑡 + 𝜑𝑖 𝑡, 𝜏 𝛿 𝜏 − 𝜏𝑖 𝑡
Where
 N is the total possible number of multipath components (bins)
 𝑎𝑖 𝑡, 𝜏 is the real amplitudes of i th multipath component at time t
 𝜏𝑖 𝑡 are the and excess delays of i th multipath component at time t.

126
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 2𝜋𝑓𝑐 𝜏𝑖 𝑡 + 𝜑𝑖 𝑡, 𝜏 The phase term that represents the phase shift due to free space
propagation of the i th multipath component, plus any additional phase shifts which are
encountered in the channel.
 𝛿(𝜏 − 𝜏𝑖 𝑡 ) is the unit impulse function which determines the specific multipath bins
that have components at time t and excess delays 𝜏𝑖 .

In general, the phase term is simply represented by a single variable 𝜽𝒊 𝒕, 𝝉 which lumps
together all the mechanisms for phase shifts of a single multipath component within the i th
excess delay bin. Note that some excess delay bins may have no multipath at some time t and
delay 𝝉𝒊 since 𝒂𝒊 𝒕, 𝝉 may be zero.

Fig (2.19) a, is a three dimensions Fig illustrates an example of different snapshots of 𝒉𝒃 𝒕, 𝝉 ,


where t axis varies into the page, and the time delay bins are quantized to widths of ∆𝝉. In Fig
(2.19) b, it is a two dimensional Fig describing the same idea.

If the channel impulse response is assumed to be time invariant, or is at least wide sense
stationary over a small-scale time or distance interval, then the channel impulse response may be
simplified as

𝑵−𝟏
(2.71)
𝒉𝒃 𝝉 = 𝒂𝒊 𝐞𝐱𝐩 −𝒋𝜽𝒊 𝜹 𝝉 − 𝝉𝒊
𝒊=𝟎

Fig (2.19) a: a three dimensional example of time varying discrete-


time impulse response model for a multipath radio channel

127
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (2.19) b: a two dimensional example of time varying discrete-time impulse response
model for a multipath radio channel indicating the maximum excess delay of one sent
pulse and the delayed multipath components that come from different paths with
2.3.4 Power delay profile: different propagation delays.

When measuring or predicting 𝒉𝒃 𝝉 a probing pulse 𝒑(𝒕) which approximates a delta function
is used at the transmitter.
𝑝 𝑡 = 𝛿(𝑡 − 𝜏) (2.72)
Which used to sound the channel to determine 𝑕𝑏 𝜏 .
For small-scale channel modeling, the power delay profile of the channel is found by taking the
spatial average of 𝒉𝒃 𝒕, 𝝉 𝟐over a local area. By making several local area measurements of
𝒉𝒃 𝒕, 𝝉 𝟐in different locations, it is possible to build an ensemble of power delay profiles, each
one representing a possible small-scale multipath channel state.

It can be shown that, if p (t) has time duration much smaller than the impulse response of the
multipath channel, p (t) does not need to be deconvolved from the received signal r (t) in order to
determine relative multipath signal strengths. The received power delay profile in a local area is
given by
2
𝑃 𝑡, 𝜏 = 𝑘 𝑕𝑏 𝑡, 𝜏 (2.73)
and many snapshots of 𝒉𝒃 𝒕, 𝝉 𝟐 are typically averaged over a local (small-scale) area to
provide a single time-invariant multipath power delay profile 𝑷 𝝉 . The gain k in equation (2.73)
relates the transmitted power in the probing pulse p (t) to the total power received in a multipath
delay profile.

2.3.5 Parameters of mobile multipath channels


Many multipath channel parameters are derived from the power delay profile, Power delay
profiles are generally represented as plots of relative received power as a function of excess
delay with respect to a fixed time delay reference. Power delay profiles are found by averaging
instantaneous power delay profile measurements over a local area in order to determine an

128
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

average small-scale power delay profile. Depending on the time resolution of the probing pulse
and the type of multipath channels studied, researchers often choose to sample at spatial
separations of a quarter of a wavelength and over receiver movements no greater than 6 m in
outdoor channels and no greater than 2 m in indoor channels in the 450 MHz - 6 GHz range. This
small-scale sampling avoids large-scale averaging bias in the resulting small-scale statistics. (Fig
(2.20) a, Fig (2.20) b)
Shows typical power delay profile plots from outdoor and indoor channels, determined from a
large number of closely sampled instantaneous profiles.

Fig (2.20) a: Measured power delay profile from a 900 MHz cellular system in San Francisco

129
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (2.20) b Measured power delay profile inside a grocerv


store at 4 GHz
In order to compare different multipath channels and to develop some general design guidelines
for wireless systems, parameters which grossly quantify the multipath channel are used. Some of
those parameters are determined from the power delay profile and the others depend on these
parameters. Those parameters are:
 Mean excess delay (𝜏).
 RMS delay spread. (𝜍𝜏 ). Time dispersion
parameters
 Maximum excess delay (dB) and Excess delay spread (𝜏𝑥 ) .
 Coherence bandwidth (𝐵𝑐 ).
 Doppler spread (𝑓𝑚 ).
 Coherence time (𝑇𝑐 ).

2.3.5.1 Time dispersion parameters


The mean excess delay, rms delay spread, and excess delay spread (X dB) are multipath
channel parameters that can be determined from a power delay profile. The time dispersive
properties of wide band multipath channels are most commonly quantified by their mean excess
delay (𝝉) and rms delay spread (𝝇𝝉).

Definitions
The mean excess delay is the first moment of the power delay profile and is defined to be

2 (2.74)
𝑘 𝑎𝑘 𝜏𝑘 𝑘 𝑃 𝜏𝑘 𝜏𝑘
𝜏= 2 =
𝑘 𝑎𝑘 𝑘 𝑃 (𝜏𝑘 )

130
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The rms delay spread is the square root of the second central moment of the power delay profile
and is defined to be

𝜍𝜏 = 𝜏 2 − (𝜏)2 (2.75)
Where
2 2 𝜏𝑘 𝜏𝑘 2
𝑘 𝑎𝑘 𝜏𝑘 𝑘𝑃
𝜏2 = = (2.76)
𝑘 𝑃 (𝜏𝑘 )
2
𝑘 𝑎𝑘

These delays are measured relative to the first detectable signal arriving at the receiver at 𝝉𝟎 =
0. Equations (2.74) - (2.76) do not rely on the absolute power level of P (𝝉), but only the relative
amplitudes of the multipath components within P (𝝉).

Typical values of rms delay spread are on the order of microseconds in outdoor mobile radio
channels and on the order of nanoseconds in indoor radio channels. Table 2.4 shows the typical
measured values of rms delay spread.

Environmen Frequency (MHz) RMS Delay Spread 𝝇𝝉 Notes


t
Urban 910 1300 ns avg. New York City
600 ns st. dev.
3500 ns max.
Urban 892 10 – 25 𝝁𝒔 Worst case San Francisco
Suburban 910 200 – 300 ns Averaged Typical case
Suburban 910 1960 – 2110 ns Averaged extreme case
Indoor 1500 10 – 50 ns Office building
25 median
Indoor 850 270 ns max. Office building
Indoor 1900 70 - 94 ns avg. Three San Francisco
1470 ns max. buildings

Table 2.4 Typical measured values of RMS Delay spread

The maximum excess delay (X dB) of the power delay profile is defined to be
 The time delay during which rnultipath energy falls to X dB below the maximum Or
 It is also defined as 𝜏𝑥 − 𝜏0 , where 𝜏0 is the first arriving signal and 𝜏𝑥 is the maximum
delay at which a multipath component is within X dB of the strongest arriving multipath
signal (which does not necessarily arrive at 𝜏0 ).
 It is also defines the temporal extent of the multipath that is above a particular threshold.
The value of 𝝉𝒙 is sometimes called the excess delay spread of a power delay profile, but in all
cases must be specified with a threshold that relates the multipath noise floor to the maximum
received multi path component.

131
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (2.21) illustrates the computation of the maximum excess delay for multipath components
within 10 dB of the maximum.

Fig (2.21) Example of an indoor power delay profile; RMS delay


spread, mean excess delay, maximum excess delay (10 dB), and
threshold level are shown.
Note:

In practice, values for 𝝉 , 𝝉𝟐 and 𝝇𝝉 depend on the choice of noise threshold used to process
P(η). The noise threshold is used to differentiate between received multipath components and
thermal noise. If the noise threshold is set too low, then noise will be processed as multipath,
thus giving rise to values of 𝝉 , 𝝉𝟐 and 𝝇𝝉that are artificially high.
2.3.5.2 Relation between power delay profile and spectral response
The power delay profile and the magnitude frequency response (the spectral response) of a
mobile radio channel are related through the Fourier transform. It is therefore possible to obtain
an equivalent description of the channel in the frequency domain using its frequency response
characteristics. Analogous to the delay spread parameters in the time domain, coherence
bandwidth is used to characterize the channel in the frequency domain. The rms delay spread and
coherence bandwidth is inversely proportional to one another, although their exact relationship is
a function of the exact multipath structure.

132
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.3.5.3 The coherence bandwidth


While the delay spread is a natural phenomenon caused by reflected and scattered propagation
paths in the radio channel, the coherence bandwidth, Bc, is a defined relation derived from the
rms delay spread.
Definition
Coherence bandwidth Bc
 Is a statistical measure of the range of frequencies over which the channel can be
considered "flat" (i.e., a channel which passes all spectral components with
approximately equal gain and linear phase).
 Is the range of frequencies over which two frequency components have a strong potential
for amplitude correlation.
 Two sinusoids with frequency separation greater than Bc are affected quite differently by
the channel.
If the coherence bandwidth is defined as the bandwidth over which the frequency correlation
function is above 0.9, then the coherence bandwidth is approximately
1
𝐵𝑐 ≈ (2.77)
50 𝜍𝜏
If the definition is relaxed so that the frequency correlation function is above 0.5, then the
coherence bandwidth is approximately
1
𝐵𝑐 ≈ (2.78)
5 𝜍𝜏
It is important to note that an exact relationship between coherence bandwidth and rms delay
spread does not exist, and equations (2.77) and (2.78) are "ball park estimates".
2.3.5.4 Doppler Spread and coherence time.
Delay spread and coherence bandwidth is parameters which describe the time dispersive nature
of the channel in a local area. However, they do not offer information about the time varying
nature of the channel caused by either relative motion between the mobile and base station, or by
movement of objects in the channel. Doppier spread and coherence time is parameters which
describe the time varying nature of the channel in a small-scale region.

Definition
Doppler spread BD
 is a measure of the spectral broadening caused by the time rate of change of the mobile
radio channel
 The range of frequencies over which the received Doppler spectrum is essentially non-
zero.

133
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 When a pure sinusoidal tone of frequency fc is transmitted, the received signal spectrum,
called the Doppler spectrum, will have components in the range fc - fd to fc + fd where fd
is the Doppier shift.
The amount of spectral broadening depends on fd which is a function of the relative velocity of
the mobile, and the angle Ө between the direction of motion of the mobile and direction of
arrival of the scattered waves. If the baseband signal bandwidth is much greater than BD , the
effects of Doppler spread are negligible at the receiver. This is a slow fading channel.

Coherence time Tc
 Is the time domain dual of Doppler spread and is used to characterize the time varying
nature of the frequency dispersiveness of the channel in the time domain.
 Is the statistical measure of the time duration over which the channel impulse response is
essentially invariant, and quantifies the similarity of the channel response at different
times.
 Is the time duration over which two received signals have a strong potential for amplitude
correlation.
 If two signals arriving with a time separation greater than Tc ,then they are affected
differently by the channel.
The Doppler spread and coherence time are inversely proportional to one another. That is,
1
𝑇𝑐 ≈ (2.79 a)
𝑓𝑚
𝑣
Where 𝑓𝑚 is the maximum Doppler shift given by 𝑓𝑚 =
𝜆

If the reciprocal bandwidth of the baseband signal is greater than the coherence time of the
channel, then the channel will change during the transmission of the baseband message, thus
causing distortion at the receiver.

If the coherence time is defined as the time over which the time correlation function is above
0.5, then the coherence time is approximately

𝟗 (2.79 b)
𝑻𝒄 ≈
𝟏𝟔𝝅𝒇𝒎
In practice, (2.79 a) suggests a time duration during which a Rayleigh fading signal may
fluctuate wildly, and (2.79 b) is often too restrictive. A popular rule of thumb for modern digital
communications is to define the coherence time as the geometric mean of equations (2.79 a) and
(2.79 b). That is.

9 0.423
𝑇𝑐 ≈ = (2.79 c)
16𝜋𝑓𝑚 2 𝑓𝑚

134
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.3.6 Classifications of Small Scale Fading Channels


In the section 2.3.6 it was explained that the type of fading experienced by a signal propagating
through a mobile radio channel depends on the nature of the transmitted signal with respect to
the characteristics of the channel. Depending on the relation between the signal parameters (such
as bandwidth, symbol period, etc.) and the channel parameters (such as rms delay spread and
Doppler spread), different transmitted signals will undergo different types of fading.

The time dispersion and frequency dispersion mechanisms in a mobile radio channel lead to
four possible distinct effects, which are manifested depending on the nature of the transmitted
signal, the channel, and the velocity.

While multipath delay spread leads to time dispersion and frequency selective fading, Doppler
spread leads to frequency dispersion and time selective fading. The two propagation mechanisms
are independent of one another. Fig (2.22) shows a tree of the four different types of fading.

Small-Scale Fading
(Based on multipath time delay spread)
(Leads to time dispersion and frequency selective fading)

Flat Fading Frequency Selective Fading


1. BW of signal < BW of channel 1. BW of signal > BW of channel
2. Delay spread < Symbol period 2. Delay spread > Symbol period
Small-Scale Fading
(Based on Doppler spread)
(Leads to frequency dispersion and time selective fading)

Fast Fading Slow Fading


1. High Doppler spread 1. Low Doppler spread
2. Coherence time < Symbol period 2. Coherence time > Symbol period
3. Channel variations faster than 3. Channel variations slower than base-
base- baseband signal variations band signal variations

Fig (2.22) Classifications of Small Scale


Fading

135
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.3.6.1 Fading effects due to multipath Time delay spread


Time dispersion due to multipath causes the transmitted signal to undergo either flat or
frequency selective fading.

2.3.6.1.1Flat fading channels


Also called: Amplitude varying channels or Narrow band channel. It is the most common type
of fading and is always considered in most of the technical analysis.
Definition
Flat fading channel
 If the mobile radio channel has a constant gain and linear phase response over a
bandwidth which is greater than the bandwidth of the transmitted signal, then the
received signal will undergo flat fading.
 If the bandwidth of the applied signal is narrow as compared to the channel coherence
bandwidth. So it called narrow band channel.
Conditions A signal undergoes flat fading if
 Bs ≪ Bc (2.80)
 𝑇𝑠 ≫ 𝜍𝜏 (2.81)
Where Ts is the reciprocal bandwidth (e.g., symbol period) and Bs is the bandwidth,
respectively, of the transmitted modulation, 𝝇𝝉 and Bc are the rms delay spread and coherence
bandwidth, respectively, of the channel.

Characteristics
 The spectral characteristics of the transmitted signal are preserved at the receiver.
 The strength (level or amplitude) of the received signal changes with time, due to
fluctuations in the gain of the channel caused by multipath.
 The channel impulse response 𝑕𝑏 𝑡, 𝜏 can be approximated as having no excess delay
(i.e., a single delta function with 𝜏 = 0).
The Fig (2.23) illustrates the characteristics of the flat fading channels which show that if the
channel gain changes over time, a change of amplitude occurs in the received signal. Over time,
the received signal 𝒓 (𝒕) varies in gain, but the spectrum of the transmission is preserved.

136
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (2.23) Flat fading channel


characteristics
The distribution of the instantaneous gain of flat fading channels is important for designing
radio links, and the most common amplitude distribution is the Rayleigh distribution. The
Rayleigh flat fading channel model assumes that the channel induces amplitude which varies in
time according to the Rayleigh distribution.

2.3.6.1.2Frequency selective fading channels


Also called: Wide band channels.

It is much more difficult to model than flat fading channels since each multipath signal must be
modeled and the channel must be considered to be a linear filter.

Definition
Frequency selective fading channels
 If the mobile radio channel has a constant gain and linear phase response over a
bandwidth which is smaller than the bandwidth of the transmitted signal, then the
received signal will undergo frequency selective fading.
 If the spectrum S (f) of the transmitted signal has a bandwidth which is greater or wider
than the coherence bandwidth Bc of the channel, the channel becomes frequency
selective, where the gain is different for different frequency components, so it is called
wide band channel.
Conditions A signal undergoes frequency selective fading if
 Bs > Bc (2.82)
 𝑇𝑠 < 𝜍𝜏 (2.83)

137
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Where Ts is the reciprocal bandwidth (e.g., symbol period) and Bs is the bandwidth, respectively,
of the transmitted modulation, 𝝇𝝉 and Bc are the rms delay spread and coherence bandwidth,
respectively, of the channel.

A common rule of thumb is that a channel is frequency selective if 𝑻𝒔 ≤ 𝟏𝟎 𝝇𝝉 , but this is


dependent on the specific type of modulation used.

Characteristics
 The spectral characteristics of the transmitted signal are changed at the receiver as the
gain is different for different frequency components.
 The strength (level or amplitude) of the received signal changes with time, due to
fluctuations in the gain of the channel caused by multipath.
 The channel impulse response has a multipath delay spread which is greater than the
reciprocal bandwidth of the transmitted message waveform.
 The received signal includes multiple versions of the transmitted waveform which are
attenuated (faded) and delayed in time, and hence the received signal is distorted.
 Frequency selective fading is due to time dispersion of the transmitted symbols within the
channel. Thus the channel induces intersymbol interference (ISI). Viewed in the
frequency domain as certain frequency components in the received signal spectrum have
greater gains than others.
 As time varies, the channel varies in gain and phase across the spectrum of S (t), resulting
in time varying distortion in the received signal r (t).
The Fig (2.24) summarize the characteristics of the frequency selective fading

Fig (2.24) Frequency selective fading channel characteristics

Fig (2.24) Frequency selective fading channel


characteristics
138
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.3.6.2 Fading effects due to Doppler spread


Depending on how rapidly the transmitted baseband signal changes as compared to the rate of
change of the channel, a channel may be classified either as a fast fading or slow fading channel.

2.3.6.2.1 Fast fading channel


Also called: Time selective fading
Definition
Fast fading channel
 The channel impulse response changes rapidly within the symbol duration.
 The coherence time of the channel is smaller than the symbol period of the transmitted
signal.
Conditions A signal undergoes fast fading if
 Bs < BD (2.84)
 𝑇𝑠 > 𝑇𝑐 (2.85)
Characteristics
 Fast fading causes frequency dispersion (so it is called time selective fading) due to
Doppler spreading, which leads to signal distortion. This signal distortion increases with
increasing Doppler spread relative to the band width of the transmitted signal.
 Fast fading only occurs for very low data rates
 Fast fading only deals with the rate of change of the channel due to motion.

2.3.6.2.2 Slow fading channel


Definition
Slow fading channel
 The channel impulse response changes at a rate much slower than the transmitted
baseband signal s (t). In this case, the channel may be assumed to be static over one or
several reciprocal bandwidth intervals.
 The Doppler spread of the channel is much less than the bandwidth of the baseband
signal.
Conditions A signal undergoes slow fading if
 Bs ≫ BD (2.86)
 𝑇𝑠 ≪ 𝑇𝑐 (2.87)
Note
It should be clear that the velocity of the mobile (or velocity of objects in the channel) and the
baseband signaling determines whether a signal undergoes fast fading or slow fading.
The relation between the various multipath parameters and the type of fading experienced by
the signal are summarized in Fig (2.25) and Fig (2.26)

139
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.3.6.3 Relation between flat, frequency selective fading channels with fast,
slow fading channels
It should be noted that when a channel is specified as a fast or slow fading channel, it does not
specify whether the channel is flat fading or frequency selective in nature.
Flat fading, fast fading channel is a channel in which the amplitude of the delta function varies
faster than the rate of change of the transmitted baseband signal.

Frequency selective, fast fading channel, is a channel in which the amplitudes, phases, and
time delays of any one of the multipath components vary faster than the rate of change of the
transmitted signal.

Over the years, some authors have confused the terms fast and slow fading with the terms
large-scale and small-scale fading. It should be emphasized that fast and slow fading deal with
the relationship between the time rate of change in the channel and the transmitted signal, and
not with propagation path loss models.

Fig (2.25) matrix illustrating type of fading experienced by a signal as a function of symbol
period

140
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (2.26) matrix illustrating type of fading experienced by a signal as a function of base band signal
bandwidth

141
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.3.7 Modeling of Flat Fading Channels


2.3.7.1 Introduction
 In every model of Flat Fading channel we assume that the Delay Spread is smaller than
the Symbol Time as it consider before in the Flat Fading.
 As we know the effect of Fading is random so the received envelop signal is random.
 Firstly, we will explain some of distributions of envelop of received signal and it's
useful for.
 Secondly, we will explain an statistical model in details and its simulation
 Finally, we explain an example for an Empirical Models.

2.3.7.2 Distribution of Flat Fading Channel


In mobile radio channels, we know that the envelop of the received signal is a random variable
and the distribution of it is commonly used to describe the statistical time varying nature of the
received envelope of a flat fading signal, or the envelope of a multipath component

2.3.7.2.1 One-sided Gaussian.


One-sided Gaussian fading corresponds to the worst-case fading or equivalently, the largest
Amount of Fading for all multipath distributions considered in our analyses.
−𝑟 2
1
𝑃 𝑟 = 𝑒 2𝜍 2 0<𝑟<∞ (2.88)
2𝜋 𝜍

Fig (2.27) One Sided Gaussian

142
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.3.7.2.2 Rayleigh distribution


In mobile radio channels, the Rayleigh distribution is used to describe the statistical time
varying nature of the received envelope of a flat fading signal, or the envelope of an individual
multipath component. It is well known that the envelope of the sum of two quadrature Gaussian
noise signals obeys a Rayleigh distribution. The Rayleigh distribution has a probability density
function which shown in Fig (2.28) and given by

𝑟 −𝑟 22
𝑃 𝑟 = 𝑒 2𝜍 0<𝑟<∞ (2.89)
𝜍
0 𝑟<0

Fig (2.28) Rayleigh distribution

And the amount of fading is equal to 1 and typically agrees very well with experimental data
for mobile systems where no LOS path exists between the transmitter and receiver antennas. It
also applies to the propagation of reflected and refracted paths through the troposphere and
ionosphere, and to ship-to-ship radio links.

2.3.7.2.3 Ricean Fading Distribution


When there is a dominant stationary (no fading) signal component present, such as a line-of-
sight propagation path, the small-scale fading envelope distribution is Ricean. In such a situation,
random multipath components arriving at different angles are superimposed on a stationary
dominant signal. At the output of an envelope detector, this has the effect of adding a dc
component to the random multipath and the distribution is given by

𝑟 −(𝑟 2 +𝐴 2)
𝐴𝑟
𝑃 𝑟 = 𝜍2 𝑒 2𝜍 2
𝐼0 , 𝑓𝑜𝑟(𝐴 ≥ 0 , 𝑟 ≥ 0)
𝜍2 (2.90)
0 , 𝑓𝑜𝑟(𝑟 < 0)

143
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (2.29) Ricean Fading Distribution

The parameter A denotes the peak amplitude of the dominant signal and 𝐼𝑜 (. ) is the modified
Bessel function of the first kind and zero-order. The Ricean distribution is often described in
terms of a parameter K which is defined as the ratio between the deterministic signal power and
the variance of the multipath. 𝐾 = 𝐴 /(2𝜍 2 )
The parameter K is known as the Ricean factor and completely specifies the Ricean
distribution. As A — > 0, 𝐾 — > ∞ dB, and as the dominant path decreases in amplitude, the
Ricean distribution degenerates to a Rayleigh distribution.
This type of fading is useful for resolvable LOS paths of microcellular urban and suburban
land–mobile, picocellular indoor, and factory environments. It also applies to the dominant LOS
path of satellite and ship-to-ship radio links.

2.3.7.2.4 More General Distribution: Nakagami-m Fading


The last statistical fading model that we discuss is the Nakagami-m fading distribution .The
PDF (probability density function) of Nakagami fading is parameterized by m and is given as

2𝑚 𝑚 𝑟 2𝑚−1 −𝑚 𝑟 2
𝑃 𝑟 = 𝑒 𝜍2 0<𝑟<∞ (2.91)
𝜍 Γ m σ2m
Hence, the Nakagami-m distribution spans via the m parameter the widest range of AF (from
0 to 2) among the entire multipath distributions considered. For instance, it includes the one-
sided Gaussian distribution (m = 1/2) and the Rayleigh distribution (m = 1) as special cases. In

144
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

the limit as m  ∞ the Nakagami-m fading channel converges to a nonfading AWGN channel.
You can get the Ricean distribution if you put

𝑘+1 2
𝑚= (2.92)
2𝑘 + 1

Fig (2.30) Nakagami PDF for 𝜍 = 1 and various values of the


fading parameter m.
2.3.7.3 Statistical Models for Multipath Fading Channels

Several multipath models have been suggested to explain the observed statistical nature of a
mobile channel. The first model presented by Ossana was based on interference of wave's
incident and reflected from the fiat sides of randomly located buildings. Although Ossana's
model predicts flat fading power spectra that were in agreement with measurements in suburban

145
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

areas, it assumes the existence of a direct path between the transmitter and receiver, and is
limited to a restricted range of reflection angles. Ossana's model is therefore rather inflexible
and inappropriate for urban areas where the direct path is almost always blocked by buildings or
other obstacles. Clarke's model is based on scattering and is widely used.

2.3.7.3.1 Clarke's Model for Flat Fading


Clarke developed a model where the statistical characteristics of the electromagnetic fields of
the received signal at the mobile are deduced from scattering. The model assumes a fixed
transmitter with a vertically polarized antenna, and assume that each wave having equal average
amplitude. It should be noted that the equal average amplitude assumption is based on the fact
that in the absence of a direct line-of-sight path, the scattered components arriving at a receiver
will experience similar attenuation over small-scale distances.
Every wave that is incident on the mobile undergoes a Doppler shift due to the motion of the
receiver and arrives at the receiver at the same time. That is, no excess delay due to multipath is
assumed for any of the waves (flat fading assumption). For the n the wave arriving at an angle αn
to the x-axis, the Doppler shift in Hertz is given by
𝑣
𝑓𝑛 = cos 𝛼𝑛 (2.93)
𝜆
where λ is the wavelength of the incident wave.

The vertically polarized plane waves arriving at the mobile have E field components given by

𝐸𝑧 = 𝐸0 𝐶 𝑛 cos(2𝜋𝑓𝑐 𝑡 + 𝜃𝑛 ) ⁡ (2.94)

𝑛=1
Where E0 is the real amplitude of local average E-field (assumed constant), Cn is a real
random variable representing the amplitude of individual waves, and fc is the carrier frequency.
The random phase of the nth arriving component θn is given by

𝜃𝑛 = 2𝜋𝑓𝑛 𝑡 + ∅𝑛 (2.95)

146
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Since the Doppler shift is very small when compared to the carrier frequency, the field
component may be modeled as narrow band random processes. The E z component can be
approximated as Gaussian random variables if N is sufficiently large. The phase angles are
assumed to have a uniform probability density function (pdf) on the interval [0,2π]. And we
know that the E-field can be expressed in an in-phase and quadrature form

𝐸𝑧 = 𝑇𝑐 (𝑡) cos 2𝜋𝑓𝑐 𝑡 − 𝑇𝑠 (𝑡) sin(2𝜋𝑓𝑐 𝑡) (2.96)

Where

𝑇𝑐 (𝑡) = 𝐸0 𝐶 𝑛 cos(2𝜋𝑓𝑛 𝑡 + ∅𝑛 ) ⁡ (2.97)


𝑛 =1
And

𝑇𝑠 (𝑡) = 𝐸0 𝐶 𝑛 𝑠𝑖𝑛(2𝜋𝑓𝑛 𝑡 + ∅𝑛 ) ⁡ (2.98)


𝑛=1

Both Tc(t) and Ts (t) are Gaussian random processes which are denoted as Tc and Ts
respectively, at any time t. and are uncorrelated zero-mean Gaussian random variables with an
equal variance given by.

𝐸02
𝐸𝑧 2 =𝑇𝑐2 =𝑇𝑠2 = (2.99)
2

The envelope of the received E-field, Ez(t), is given by

𝐸𝑧 (𝑡) = 𝑇𝑐2 𝑡 + 𝑇𝑠2 𝑡 = 𝑟(𝑡) (2.100)

Since Tc and Ts are Gaussian random variables, it can be shown through a Jacobean
transformation that the random received signal envelope r has a Rayleigh distribution.

2.3.7.3.2 Spectral Shape Due to Doppler Spread in Clarke's Model


Gans developed a spectrum analysis for Clarke's model. Let 𝑝(𝛼)𝑑𝛼 denote the fraction of the
total incoming power within 𝑑𝛼 of the angle 𝛼, and let A denote the average received power with
𝑡𝑒𝑛𝑑𝑠 𝑡𝑜
respect to an isotropic antenna. As 𝑁 ∞ 𝑝(𝛼)𝑑𝛼 approaches a continuous, rather than a
discrete, distribution. If 𝐺(𝛼) is the gain pattern of the mobile antenna as a function of the angle
of arrival, the total received power can be expressed as

147 (2.101)
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2𝜋
𝑃𝑟 = 𝐴𝐺 𝛼 𝑝 𝛼 𝑑𝛼
0

where 𝐴𝐺(𝛼)𝑝(𝛼)𝑑𝛼 is the differential variation of received power with angle. If the
scattered signal is a CW signal of frequency then fc the instantaneous frequency of the received
signal component arriving at an angle α is obtained using equation
𝑣
𝑓 𝛼 =𝑓= cos 𝛼 + 𝑓𝑐 = 𝑓𝑚 cos 𝛼 + 𝑓𝑐 (2.102)
𝜆

where fm is the maximum Doppler shift. It should be noted that 𝑓(𝛼) is an even function of α,
If 𝑆(𝑓) is the power spectrum of the received signal, the differential variation of received power
with frequency is given by 𝑆(𝑓)𝑑𝑓
Equating the differential variation of received power with frequency to the differential
variation in received power with angle, we have

𝑆 𝑓 𝑑𝑓 = 𝐴[𝑝 𝛼 𝐺 𝛼 + 𝑝 −𝛼 𝐺(−𝛼)] 𝑑𝛼 (2.103)

After long calculation we can get

𝐴[𝑝 𝛼 𝐺 𝛼 + 𝑝 −𝛼 𝐺(−𝛼)]
𝑆 𝑓 = (2.104)
2
𝑓 − 𝑓𝑐
𝑓𝑚 1 −
𝑓𝑚

𝛼 can be expressed as a function of 𝑓 as

𝑓 − 𝑓𝑐
𝛼 = cos−1 (2.105)
𝑓𝑚

The spectrum is centered on the carrier frequency and is zero outside the limits of fc ± fm .
Each of the arriving waves has its own carrier frequency (due to its direction of arrival) which is
slightly offset from the center frequency. For the case of a vertical 𝜆/4 antenna (𝐺(𝛼) = 1.5),
and a uniform distribution 𝑝(𝛼) = 1/2𝜋 over 0 to 2 π ,the output spectrum is given by

1.5
𝑆 𝑓 = (2.106)
2
𝑓 − 𝑓𝑐
𝑓𝑚 1 −
𝑓𝑚

In equation the power spectral density at f = fc ± fm is infinite, i.e., Doppler components


arriving at exactly 0° and 180° have an infinite power spectral density. This is not a problem
since 𝛼 is continuously distributed and the probability of components arriving at exactly these

148
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

angles is zero. The next Fig shows the power spectral density of the resulting RF signal due to
Doppler fading.

Fig (2.31) Doppler power spectrum for an unmodulated CW carrier

149
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2.4 Empirical Channel Models


The parametric statistical channel models discussed thus far in the chapter do not take into
account specific wireless propagation environments. Although exactly modeling a wireless
channel requires complete knowledge of the surrounding scatterers, such as buildings and plants,
the time and computational demands of such a methodology are unrealistic, owing to the near -
infinite number of possible transmit/receive locations and the fact that objects are subject to
movement. Therefore, empirical and semiempirical wireless channel models have been
developed to accurately estimate the pathloss, shadowing, and small-scale fast fading. Although
these models are generally not analytically tractable, they are very useful for simulations and to
fairly compare competing designs. Empirical models are based on extensive measurement of
various propagation environments, and they specify the parameters and methods for modeling
the typical propagation scenarios in various wireless systems. Compared to parametric channel
models, the empirical channel models take into account such realistic factors as angle of arrival
(AoA), angle of departure (AoD), antenna array fashion, angular spread (AS), and antenna array
gain pattern.
Different empirical channel models exist for different wireless scenarios, such as suburban
macro-, urban macro-, and urban micro cells. For channels experienced in different wireless
standards, the empirical channel models are also different. Here, we briefly introduce the
common physical parameters and methodologies used in several major empirical channel
models. These models are also applicable to the multiple-antenna systems.

2.4.1 3GPP

Fig (2.32) 3GPP channel model for MIMO simulations

The 3GPP channel model is widely used in modeling the outdoor macro- and microcell
wireless environments. The empirical channel models for other systems, such as 802.11n and

150
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

802.20 are similar in most aspects, with subtle differences in the terminology and specific
parameters. The 3GPP channel model is commonly used in WiMAX performance modeling.

1. First, we need to specify the environment in which an empirical channel model is used:
suburban macro-, urban macro-, or urban microenvironment. The BS-to-BS distance is
typically larger than 3 km for a macro environment and less than 1 km for an urban
microenvironment.
2. The pathloss is specified by empirical models for various scenarios. For the 3GPP
macrocell environment, the pathloss is given as

𝑑
𝑃𝐿 𝑑𝐵 = 44.9 − 6.55 log10 𝑕𝑏𝑠 log10 + 45.5 (2.107)
1000
+ 35.46 − 1.1𝑕𝑚𝑠 log10 𝑓𝑐 − 13.82 log10 𝑕𝑏𝑠 + 0.7𝑕𝑚𝑠 + 𝐶

3. Where hbs is the BS antenna height in meters, hms is the MS antenna height in meters, fc
is the carrier frequency in MHz, is the distance in meters between the BS and the MS,
and C is a constant factor (C = 0 dB for suburban macro and C = 3 dB for urban macro).

4. The received signal at the mobile receiver consists of N time-delayed versions of the
transmitted signal. The N paths are characterized by powers and delays that are chosen
according to the channel-generation procedures. The number of paths N ranges from 1 to
20 and is dependent on the specific channel models. For example, the 3GPP channel
model has N = 6 multipath components. The power distribution normally follows the
exponential profile, but other power profiles are also supported.

5. Each multipath component corresponds to a cluster of M subpaths, each of which


characterizes the incoming signal from a scatterer. The M subpaths define a cluster of
adjacent scatterers and therefore have the same multipath delay. The M subpaths have
random phases and subpath gains, specified by the given procedure in different stands.
For 3GPP, the phases are random variables uniformly distributed from 0 to 360°.

6. The AoD is usually within a narrow range in outdoor applications owing to the lack of
scatterers around the BS transmitter and is often assumed to be uniformly distributed in
indoor applications. The AoA is typically assumed to be uniformly distributed, owing to
the abundance of local scattering around the mobile receiver.

7. The final channel is created by summing up the M subpath components. In the 3GPP
channel model, the nth multipath component from the u th transmit antenna to the sth
receive antenna is given as

151
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

(2.108)

Where

1. Pn is the power of the nth path, following exponential distribution.

2. ζs is the lognormal shadow fading, applied as a bulk parameter to the n


paths. The shadow fading is determined by the delay spread (DS), angle
spread (AS), and shadowing parameters, which are correlated random
variables generated with specific procedures

3. M is the number of subpaths per path


4. θn,m,AoD is the the AoD for the mth subpath of the nth path.
5. θn,m,AoA is the the AoA for the mth subpath of the nth path.
6. GBS(θn,m,AoD) is the BS antenna gain of each array element
7. GBS(θn,m,AoA) is the MS antenna gain of each array element
8. k is the wave number 2π/λ
9. ds is the distance in meters from BS antenna element s from the reference
(s =1 ) antenna.
10. da is the distance in meters from MS antenna element u from the reference (
u =1 ) antenna.
11. Φn,m is the phase of the mth subpath of the nth path, uniformly distributed
between 0 and 360° .
12. v is the magnitude of the MS velocity vector, which consists of the velocity
of the MS array elements.
13. θv is the angle of the MS velocity vector.

152
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

153
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

154
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

155
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

CHAPTER
THREE
Channel Coding for Wireless Communication Systems

3.1 Introduction:
The engineering problem treated by the subject of error-control codes is that of protecting
digital data against the errors that occur during transmission or storage. The storage and
transmission of digital data lies at the heart of modern computers and telecommunications. If
data is corrupted in storage or transmission, the consequences can range from mildly annoying to
disastrous. Many error-protection techniques have been developed based on a rich mathematical
theory, and the rapid advances in digital integrated circuitry have made possible the
implementation of these algorithms. The channel coding is considered as an important signal
processing operation which provides a reliable transmission of digital information over channel.
It is used mainly to minimize the effect of NOISE by facilitate two basic operations, Error
detection and Error correction.

3.1.1 Channel Coding In Communication System

In Fig (3.1) it show the position of channel coding and decoding in any communication system
which is done after the source coding and before the modulation.

Fig (3.1) the Channel Coding in Comm. System

3.1.2 Coding principle:


Coding is achieved by adding properly designed ―controlled‖ redundant bits to each
message,or make an operation on the message to get it encoded with some methodes. These

156
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

redundant bits (digits) are used for detecting and/or correcting transmission errors, in other words
for protecting data against channel impairments (e.g., noise, fading, interference). There are
many codes that are used in different applications such as Parity check codes and reed Solomon
used in CDs, Linear block and convolutional codes used in space communication, Internet
communication, Satellite communication, DVDs.

3.1.3 Why Use Error-Correction Coding?


The coding is used to provides acceptable data quality. In Fig (3.2) compare two curves and
show the relation between bit error rate versus Eb/N0 , one curve is without coding and the other
with codin

Fig (3.2) Comparison of


typical coded versus uncoded
error performanc

But there are trades off and


benefits as it will be shown
below.

3.1.4 Trade- off


3.1.4.1 Trade-off 1st: Error performance versus Band width:
From the curve if the is no coding used and it want to decrease the bit error rate from 10-2 to 10-4
from point A to point B in the Fig(3.2) so increase the E b/N0 from 8dB to 9dB but if want to
decrease the error rate at a constant Eb/N0 at 8dB from point A to C in the Fig(3.2) it must be
use coding but the trade-off in this case is increasing the Bandwidth .

157
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.1.4.2 Trade-off 2nd: Power versus Bandwidth:

Consider a system without coding and it operate at point D in the curve of fig(3.2) but if it
required to decrease the power Eb/N0 from 14dB to 9dB (from point D to point E) but at the
same bit error rate which mean the same quality of service it must use coding so the cost in this
case increasing the Bandwidth.

3.1.4.3 Coding Gain:

The coding gain means the reduction in Eb/N 0 that can be achieved by using coding at the
same bit error rate in the pervious trade-off the Eb/N0 decreased from 14dB to 9dB at the same
bit error rate so the coding gain G is generally expressed in dB as
𝑬𝒃 𝑬𝒃
G (dB) = (𝒅𝑩) − (𝒅𝑩) (3.1)
𝑵𝟎 𝒖 𝑵𝟎 𝒄

3.1.4.4 Trade-off 3rd: Data Rate versus Bandwidth:

Consider that system without coding operating at point D in Fig (3.2) Eb/N0 = 14 dB . PB=
-6
10 ), Assume that there is no problem with the data quality and no need to reduce power, but it
need to increase the data rate so from the Eqn (3.2)
𝐄𝐛 𝐏𝐫 𝟏
= (3.2)
𝐍𝟎 𝐍𝟎 𝐑
If the data rate R increase the Eb/N0 will decrease and in Fig (3.2) the operating point would
move upwards from point D to point F but the bit error rate will increase so it move to point E
by using coding so the data rate increase at the same quality of the data. But. The use of error-
correction coding increased hand width.

3.1.4.5 Difference between Channel and Source Encoding:

Channel encoding means addition of controlled redundancy bits to the data to combat noise, but
source encoding means compression of the information stream (speech coding, image and video
compressing) so that no significant information is lost, enabling a perfect reconstruction of the
information, Thus, by eliminating superfluous and uncontrolled redundancy the load on the
transmission system is reduced.

3.1.4.6 Block Codes vs. Convolutional codes


Historically, the error correcting codes have been classified into block codes and convolutional
codes. The distinguishing feature for this particular classification is the presence or absence of
memory in the encoder. A block code encoder accepts information in successive k-bit blocks;

158
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

for each block, it adds n-k redundant bits that are algebraically related to the k message bits,
thereby producing an overall encoded block of n bits, where n>k.
In a convolutional code, the encoding operation may be viewed as the discrete time convolution
of the input sequence with the impulse response of the encoder. The duration of the impulse
response equals the memory of the encoder. Accordingly, the encoder for a convolutional code
operates on the incoming message sequence, using a "sliding window" equal in duration to its
own memory. This, in turn, means that in a convolutional code, unlike a block code, the channel
encoder accepts message bits as a continuous sequence and thereby generates a continuous
sequence of encoded bits at a higher rate. Block codes are described in the next section, followed
by an important subclass of this family, the cyclic codes. A thorough overview of the
convolutional codes is the last subject handled in these pages

3.1.4.7 Minimum Distance Considerations


We would like to find good codes. In such codes every code word is as different as possible from
every other code word. In the following sections we will focus on some examples of good codes,
but first, we must define the term 'distance between code words'. Consider a pair of code words
c1 and c2 that have the same number of elements. The Hamming distance d(c1,c2) between such
a pair of code words is defined as the number of locations in which their respective elements
differ. For example, take c1 = 10101,c2=01100, then d(10101,01100)=3. The minimum distance
dmin of a code is defined as the smallest Hamming distance between any pair of code words in the
code. The concept of distance between code words can be shown in short codes using the a 3-D
cube. All the possible words with 3 bits are the edges of the cube, while the code words are a
subset of these words and are colored in black.

Fig (3.3) show the concept of distance between code words

159
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

In the example, there are only 2 code words of 3 bits each, which leads to the conclusion that
each code word has 2 redundancy bits. This is exactly the repeat code we have seen in the
example at the beginning.

0 000
1 111

There are other possible codes of length equal to 3, with different minimum distance, as shown
in the Fig (3.4)

Fig (3.4) Show the possible different minimum distance

The minimum distance of a code is an important parameter of the code. Specifically, it


determines the error-correcting capability of the code. A code of minimum distance d min can
correct up to t errors, while t is defined to be:
1
If we go back to the repeat code 𝑡 ≤ 𝑑𝑚𝑖𝑛 − 1 , the Hamming distance of the code is the
2
Hamming distance between the two words of the code dmin = d(000,111) = 3, for while t=1,
which means that the code can correct up to t=1 error. The whole process of sending a word, an
error that occurs and its correction can be seen in fig(3.5).

160
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (3.5) Show the sending process, error that occurs and its correction

3.1.8 CODING Types:


3.1.8.1 Concept of Block coding
A message of k digits is mapped into a structured sequence of n digits, called codeword.

Fig (3.6) Show the concept of Block Coding

The mapping operation is called encoding. Each encoding operation is independent of the past
encoding operation., memoryless. The collection of all codewords is called a ―block code‖.

161
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.1.8.2 CONCEPT OF CONVOLUTIONAL CODING:

An information signal is divided into (short) blocks of k digits each. Each k digits message is
encoded into n digits coded block. The n-digit coded block does not only depend on the
corresponding k digit message block, but also depends on m (≥1) previous message blocks.
That is, the encoder has a memory of order m. The encoder has k inputs and n outputs.
Information is encoded in a coded sequence. The collection of all possible code sequences is
called (k, n, m) convolutional code.

3.1.9 ERROR CONTROL TECHNIQUES:


3.1.9.1 FORWARD ERROR CORRECTION (FEC):

Fig (3.7) Forward error correction diagram In the Forward error correction (FCE)

 No feedback is required. (Simplex connection)


 Added redundancy is used to correct transmission errors at the receiver.
 The receiver tries to correct the error itself.
 Varying reliability, constant bit throughput

162
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.1.9.2 Automatic Repeat request (ARQ):

Fig (3.8) A UTOMATIC R EPEAT REQUEST diagram

 Feedback channel is required. (Full duplex connection)


 The receiver sends a feedback to the transmitter, saying that if any error is detected in the
received packet or not (Not-Acknowledgement (NACK) and Acknowledgement (ACK),
respectively).
 The transmitter retransmits the previously sent packet if it receives NACK.
 Constant reliability, but varying throughput.

3.1.9.3 HYBRID ARQ (ARQ+FEC):

 Full duplex connection.


 Combination of the two above techniques to use advantages of both schemes.

3.1.10 Decoding:
Suppose a codeword corresponding to a message is transmitted over a noisy channel. Let r be the
received sequence. Based on r, the encoding rules and the noise characteristics of the channel,
the receiver (or decoder) makes a decision which message was actually transmitted. This
decision making operation is called ―decoding‖. The device which performing the decoding
operation is called a decoder There are two types of decoding:
1. Hard-decision decoding
2. soft-decision decoding.

163
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.1.10.1 Hard-decision decoding:


 When binary coding is used, the modulator has only binary inputs. If binary demodulator
output quantization is used, the decoder has only binary inputs. In this case, the
demodulator is said to make hard decisions.
 Decoding based on hard decision made by the demodulator is called ―hard decision
decoding‖.

3.1.10.2 Soft-decision decoding:


 If the output of demodulator consists of more than two quantization levels or is left
unquantized, the demodulator is said to make soft decisions.
 Decoding based on soft decision made by demodulator is called soft-decision decoding.
 Hard-decision decoding is much easier to implement than soft-decision decoding.
However, soft-decision decoding offers much better performance.

164
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.2 BLOCK CODING


3.2.1 Principle
Block Code generation: To generate an (n, k) block code, the channel encoder accepts
information in successive k-bit blocks; for each block, it adds n - k redundant bits that are
algebraically related to the k message bits, thereby producing an overall encoded block of n bits,
where n> k. The n-bit block is called a code word, and n is called the block length of the code.

Vk Vn
C

Fig (3.9) The Fig show the concept of Block Coding

3.3.2 Detection:

Decoder looks for codeword closest to the received vector (code vector + error vector).

3.2.3 Definitions

Code Rate (Rc ): rate of length of uncoded and coded sequence. It determines the
required expansion of bandwidth.

𝑘
𝑅𝑐 = (3.3)
𝑛

Channel data rate (Ro): ―channel encoder bit rate.‖


𝑛
𝑅0 = 𝑅𝑠 (3.4)
𝑘

Channel Capacity: Maximum Code rate per channel use.

165
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.2.4 Memory-Less Channels

Definition: the model of Fig (3.10), the waveform channel is said to be memoryless if the
detector output in a given interval depends only on the signal transmitted in that interval, and not
on any previous transmission. Under this condition, we may model the combination of the
modulator, the waveform channel, and the detector as a discrete memoryless channel. Such a
channel is completely described by the set of transition probabilities p( j' i), where i denotes a
modulator input symbol, j denotes a demodulator output symbol, and p( j Ii) denotes the
probability of receiving symbol j, given that symbol i was sent.

Fig (1) : Simplified models of digital communication system.

Fig (3.10) Simplified models of digital communication system

3.2.4.1 Types:
The simplest discrete memoryless channel results from the use of binary input and binary output
symbols. When binary coding is used, the modulator has only the binary symbols 0 and 1 as
inputs. Likewise, the decoder has only binary inputs if binary quantization of the demodulator
output is used, that is, a hard decision is made on the demodulator output as to which symbol
was actually transmitted. In this situation, we have a binary symmetric channel (BSC) with a
transition probability diagram as shown in Fig (3.11). The binary symmetric channel, assuming
a channel noise modeled as additive white Gaussian noise (AWGN) channel, is completely
described by the transition probability p. The majority of coded digital communication systems
employ binary coding with hard decision decoding, due to the simplicity of implementation
offered by such an approach. Hard-decision decoders, or algebraic decoders, take advantage of
the special algebraic structure that is built into the design of channel codes to make the decoding
relatively easy to perform. The use of hard decisions prior to decoding causes an irreversible loss
of information in the receiver. To reduce this loss, soft-decision coding is used. This is achieved
by including a multilevel quantizer at the demodulator output, as illustrated in Fig (3.12a) for the
case of binary PSK signals. The input-output characteristic of the quantizer is shown in
Fig(3.12b). The modulator has only the binary symbols 0 and 1 as inputs, but the demodulator
output now has an alphabet with Q symbols. Assuming the use of the quantizer as described in

166
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (3b), we have Q = 8. Such a channel is called a binary input Q-ary output discrete
memoryless channel.
The corresponding channel transition probability diagram is shown in Fig(3.12c). The form of
this distribution, and consequently the decoder performance, depends on the location of the
representation levels of the quantizer, which, in turn, depends on the signal level and noise
variance. Accordingly, the demodulator must incorporate automatic gain control if an effective
multilevel quantizer is to be realized. Moreover, the use of soft decisions complicates the
implementation of the decoder. Nevertheless, soft-decision decoding offers significant
improvement in performance over hard-decision decoding by taking a probabilistic rather than an
algebraic approach. It is for this reason that soft-decision decoders are also referred to as
probabilistic decoders.

Fig (3.11) Transition probability diagram


of BSC.

Fig (3.12): Binary input Q-ary output


discrete memoryless channel. (a) Receiver
for binary phase-shift keying. (b) Transfer
characteristic of multilevel quantizer. (e)
Channel transition probability diagram.
Parts (b) and (e) are illustrated for eight
levels of quantization.

167
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.2.5 Modulo-2 arithmetic operations

Definition: Arithmetic operations applied on binary codes only. In this notation, all arithmatic
operations are the same as we perform on any two integers except for addition where we use the
exclusive or operation to add any two code words. Thus, according to the notation, the rules for
modulo-2 addition are as follows:

0+0=0
1+0=1
0+1=1
1+1=0

Because 1 + 1 = 0, it follows that 1 = -1. Hence, in binary arithmetic, subtraction is the same as
addition. The rules for modulo-Z multiplication are as follows:

0 *0 = 0
1 *0 = 0
0 *1 = 0
1 *1 = 1

Division is trivial in that we have

1÷1 = 1
0÷1= 0

and division by 0 is not permitted. Modulo-2 addition is the EXCLUSIVE-OR operation in logic,
and modulo-Z multiplication is the AND operation.

3.2.6 Linear Block Codes


A code is said to be linear if any two code words in the code can be added in modulo-2
arithmetic to produce a third code word in the code. Consider then an (n, k) linear block code, in
which k bits of the n code bits are always identical to the message sequence to be transmitted.
The n-k bits in the remaining portion are computed from the message bits in accordance with a
prescribed encoding rule that determines the mathematical structure of the code. Accordingly,
these n - k bits are referred to as generalized parity check bits or simply parity bits. Block codes
in which the message bits are transmitted in unaltered form are called systematic codes. For

168
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

applications requiring both error detection and error correction, the use of systematic block codes
simplifies implementation of the decoder.

3.2.6.1 Design Equations:


Using matrix notation, we define the 1-by-k message vector, or information vector, m, the 1-by-
(n - k) parity vector b, and the 1-by-n code vector c as follows:
m = [mo,m1,m2,…,mk-1] (3.13.1)
b = [bo,b1,b2,…,bn-k-1] (3.13.2)
c = [co,c1,c2 ,…,cn-1] (3.13.3)

Note that all three vectors are row vectors. The use of row vectors is adopted for the sake of
being consistent with the notation commonly used in the coding literature.
We may thus rewrite the set of simultaneous equations defining the parity bits in the compact
matrix form:
b = mP (3.13.4)

where P is the k-by-(n - k) coefficient matrix defined by

P= P00 ...... P0,n-k-1

Pk-1,0 ... Pk-1,n-k-1 k*n-k Eqn (3.13.5)

where Pi;is 0 or 1. From the definitions given in Eqn (3.13.1), Eqn (3.13.3) we see that c may be
expressed as a partitioned row vector in terms of the vectors m and b as follows:
c = [b ‫ ׀‬m]
Eqn (3.13.6)
Hence, substituting Equation (4) into Equation (6) and factoring out the common message
vector m, we get
c = m[P ‫ ׀‬Ik] Eqn
(3.13.7)

where I, is the k-by-k identity matrix. Define the k-by-n generator matrix:
G = [P ‫ ׀‬Ik] Eqn
(3.13.8)
The generator matrix G of Eqn (3.13.8) is said to be in the canonical form in that its k rows are
linearly independent; that is, it is not possible to express any row of the matrix G as a linear

169
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

combination of the remaining rows. Using the definition of the generator matrix G, we may
simplify Eqn (3.13.7) as

c=mG Eqn (3.13.9)

The full set of code words, referred to simply as the code, is generated in accordance with
Equation (9) by letting the message vector ill range through the set of all 2k binary k-tuples (l-
by-k vectors). Moreover, the sum of any two code words is another code word. This basic
property of linear block codes is called closure. To prove its validity consider a pair of code
vectors ci and cj corresponding to a pair of message vectors mi and mj respectively. Using
Equation (9) we may express the sum of ci and cj as:

ci + cj = mi G+ mjG
= (mi + mj)G

The modulo-2 sum of m, and rn; represents a new message vector. Correspondingly, the
modulo-Z sum of c, and c; represents a new code vector. There is another way of expressing the
relationship between the message bits and parity-check bits of a linear block code. Let H denote
an (n - k)-by-n matrix, defined as:
H = [ In-k | Pt] Eqn
(3.13.10)
where pt is an (n - k)-by-k matrix, representing the transpose of the coefficient matrix P, and In-k
is the (n - k)-by-(n - k) identity matrix. Accordingly, we may perform the following
multiplication of partitioned matrices:

HGt = [In-k Pt] Pt


(3.13.11)
Ik

= Pt + Pt
where we have used the fact that multiplication of a rectangular matrix by an identity
matrix of compatible dimensions leaves the matrix unchanged. In modulo-Z arithmetic,
we have Pt + Pt = 0, where 0 denotes an (n - k)-by-k null matrix (i.e., a matrix that has
zeros for all of its elements). Hence,

HGt = 0 (3.13.11.1)

Equivalently, we have GHt = 0, where 0 is a new null matrix. Postmultiplying both sides of Eqn
(3.13.9)by Ht , the transpose of H, and then using Equation (11), we get

170
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

cHt = mGHt = 0 (3.13.12)


The matrix H is called the parity-check matrix of the code, and the set of equations specified by
Equation (12) are called parity-check equations.The generator equation Eqn (3.13.9)and
theparity-check detector Eqn (3.13.11.1)are basic to the description and operation of a linear
block code. These two equations are depicted in the form of block diagrams in Fig (3.13a) and
(3.13b), respectively.

Fig (3.13): Block diagram representation of Eqn (3.13.9)

3.2.7 Properties:

1. Linearity: linear combination of two codewords yields a codeword.


2. Hamming weight wH (x1): number of non-zero symbols in a codeword.
3. Hamming distance d H (x1, x2) : number of differing symbols

Example:
if x1 = [1 0 2 0] , x2 = [2 0 2 1] , dH (x1 , x2) = 2, wH (x1) = 2, wH (x2) = 3
Minimum Hamming Distance :
dmin = min { d (v, u) : v & u Є C,v ≠ u }

Error detection capability is given by


𝒆 = 𝒅𝒎𝒊𝒏 − 𝟏 Eqn (3.14)

Error correcting-capability t of a code, which is defined as the maximum number of guaranteed


correctable errors per codeword, is
𝒕 = 𝒅𝒎𝒊𝒏 − 𝟏 /𝟐 (3.15)

171
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.2.8 Syndrome: Definition and Properties

The generator matrix G is used in the encoding operation at the transmitter. On the other hand,
the parity-check matrix H is used in the decoding operation at the receiver. In the context of the
latter operation, let r denote the I-by-n received vector that results from sending the code vector c
over a noisy channel. We express the vector r as the sum of the original code vector c and a
vector e, as shown by

r=c+e Eqn (3.16)


The vector e is called the error vector or error pattern. The ith element of e equals 0 if the
corresponding element of r is the same as that of c. On the other hand, the ith element of e
equals 1 if the corresponding element of r is different from that of c, in which case an error is
said to have occurred in the ith location. That is, for i = 1, 2, ... , n, we have

ei = {1 if an error has occurred in the ith location


0 otherwise } (3.17)

The receiver has the task of decoding the code vector c from the received vector r.The algorithm
commonly used to perform this decoding operation starts with the computation of a 1-by-(n - k)
vector called the error-syndrome vector or simply the syndrome. The importance of the
syndrome lies in the fact that it depends only upon the error pattern. Given a J-by-n received
vector r, the corresponding syndrome is formally defined as
s = rHt (3.18)

3.2.8.1The properties of syndrome

Property 1
The syndrome depends only on the error pattern, and not on the transmitted code word.To prove
this property, we first use Eqn (3.16)and Eqn (3.18)and then Equation(3.15) to obtain
s = (c + e)H = cHT + eHT = eHT Eqn (3.19)

Hence, the parity-check matrix H of a code permits us to compute the syndrome s, which
depends only upon the error pattern e.

172
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Property 2
All error patterns that differ by a code word have the same syndrome.For k message bits, there
are 2k distinct code vectors denoted as c.; i = 0, 1, ... , 2k - 1. Correspondingly, for any error
pattern e, we define the 2k distinct vectors ei as

ei = e + c., i = 0, 1, ... , 2k - 1 Eqn (3.20)

The set of vectors lei' i = 0, 1, ... , 2k - 1 so defined is called a coset of the code. In other words, a
coset has exactly 2k elements that differ at most by a code vector. Thus, an (n, k) linear block
code has 2n - k possible cosets, In any event, multiplying both sides of Equation (15) by the
matrix HT, we get

eiHT = eHT + CiHT = eHT Eqn (3.21)

which is independent of the index i, Accordingly, we may state that each coset of the code is
characterized by a unique syndrome.
In particular, with 2"-1 possible syndrome vectors, the information contained in the syndrome s
about the error pattern e is not enough for the decoder to compute the exact value of the
transmitted code vector. Nevertheless, knowledge of the syndrome s reduces the search for the
true error. pattern e from r to 2n - k possibilities. Given these possibilities, the decoder has the
task of making the best selection from the cosets corresponding to s.

3.2.8.2 Syndrome Decoding using standard arrays


Let c1, C 2, … C2k denote the 2k code vectors of an (n, k) linear block code. Let r denote the
received vector, which may have one of r possible values. The receiver has the task of
partitioning the 2n possible received vectors into 2k disjoint subsets D1 ,D2, … ,D2k in such a way
that the ith subset Di corresponds to code vector c, for 1 ≤ i ≤ 2k. The received vector r is
decoded into c, if it is in the ith subset. For the decoding to be correct, r must be in the subset that
belongs to the code vector c, that was actually sent. The 2k subsets described herein constitute a
standard array of the linear block code. To construct it, we may exploit the linear structure of
the code by proceeding as follows:

1. The 2k code vectors are placed in a row with the all-zero code vector C, as the leftmost
element.
2. An error pattern e, is picked and placed under c., and a second row is formed by adding
e2 to each of the remaining code vectors in the first row; it is important that the error
pattern chosen as the first element in a row not have previously appeared in the standard
array.

173
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1. Step 2 is repeated until all the possible error patterns have been accounted for. Fig (3.14)
illustrates the structure of the standard array so constructed. The 2k columns of this array
represent the disjoint subsets D1,D2, … ,D2k The r-k rows of the array.represent the
cosets of the code, and their first elements e2 ... , e2n-k are called coset leaders.
c1 c2  c2 k
e2 e 2  c2  e 2  c2 k
   
e 2 nk e 2 n  k  c2  e 2 n  k  c2 k
Fig (3.14): Standard array for an (n, k) block code.

For a given channel, the probability of decoding error is minimized when the most likely
errorpatterns (i.e., those with the largest probability of occurrence) are chosen as the coset
leaders. In the case of a binary symmetric channel, the smaller the Hamming weight of an error
pattern the more likely it is to occur. Accordingly, the standard array should be constructed with
each coset leader having the minimum Hamming weight in its coset. We may now describe a
decoding procedure for a linear block code:

2. Compute the syndrome for the received vector (r)  s = r Ht.


3. Identify the coset leader (error pattern with largest probability of occurrence)  eo.
Compute the decoded code vector  c = r + eo (3.22)
4. This procedure is called syndrome decoding.

3.2.9 Examples on Linear Block codes


3.2.9.1 EXAMPLE (1): Repetition Codes

Repetition codes represent the simplest type of linear block codes. In particular, a single message
bit is encoded into a block of n identical bits, producing an (n, 1) block code. Such a code allows
provision for a variable amount of redundancy. There are only two code words in the code: an
all-zero code word and an all-one code word.

174
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Consider, for example, the case of a repetition code with k = 1 and n = 5. In this case, we have
four parity bits that are the same as the message bit. Hence, the identity matrix I k = 1, and the
coefficient matrix P consists of a 1-by-4 vector that has 1 for all of its elements.
Correspondingly, the generator matrix equals a row vector of all l s, as shown by

G = [1 1 1 1: 1]

The transpose of the coefficient matrix P, namely, matrix P", consists of a 4-by-1 vector that has
1 for all of its elements. The identity matrix In- . consists of a 4-by-4 matrix. Hence, the parity-
check matrix equals

H= 1000 1
0100 1

0001 1 N-1*N

Since the message vector consists of a single binary symbol, 0 or 1, it follows from Eqn (3.13.9)
that there are only two code words: 00000 and 11111 in the (5, 1) repetition code, as expected.
Note also that HGT = 0, modulo-2, in accordance with Eqn (3.13.11)

3.2.9.2 EXAMPLE (1): Hamming Codes

Consider a family of (n, k) linear block codes that have the following parameters:
Block length: n = 2m – 1
Number of message bits: : k = 2m - m - 1
Number of parity bits: n- k = m

where m ≥ 3. These are the so-called Hamming codes.


Consider, for example, the (7,4) Hamming code with n = 7 and k = 4, corresponding
to m = 3. The generator matrix of the code must have a structure that conforms to Eqn (3.13.8).
The following matrix represents an appropriate generator matrix for the (7, 4) Hamming code:

G= 110 1000
011 0100
111 0010
101 0001

175
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Message word Code Word Weight of code Message Code Word Weight of code word
word word

0000 0000000 0 1000 1101000 3


0001 1010001 3 1001 0111001 4
0010 1110010 4 1010 0011010 3
0011 0100011 3 1011 1001011 4
0100 0110100 3 1100 1011100 4
0101 1100101 4 1101 0001101 3
0110 1000110 3 1110 0101110 4
0111 0010111 4 1111 1111111 7

TABLE (3.1): Code words of a (7, 4) Hamming code


The corresponding parity-check matrix is given by

H= 101 1011
010 1110
001 011
With k = 4, there are 2k = 16 distinct message words, which are listed in Table (3.1). For a given
message word, the corresponding code word is obtained by using Eqn (3.13.9). Thus, the
application of this equation results in the 16 code words listed in Table
(3.1). In Table 3.1, we have also listed the Hamming weights of the individual code words in the
(7, 4) Hamming code. Since the smallest of the Hamming weights for the nonzero code words is
3, it follows that the minimum distance of the code is 3. Indeed, Hamming codes have the
property that the minimum distance d min= 3, independent of the value assigned to the number of
parity bits m.
In Table (3.1), we have also listed the Hamming weights of the individual code wordsin the (7,4)
Hamming code. Since the smallest of the Hamming weights for the nonzero code words is 3, it
follows that the minimum distance of the code is 3. Indeed, Hamming codes have the property
that the minimum distance dmin= 3, independent of the value assigned to the number of parity
bits m. Assuming single-error patterns, we may formulate the seven coset leaders listed in
theright-hand column of Table (2). The corresponding 23 syndromes, listed in the left-hand
column, are calculated in accordance with Equation (16). The zero syndrome signifies no
transmission errors. Suppose, for example, the code vector [1110010] is sent, and the received
vector is [1~00010] with an error in the third bit. Using Equation (10.19), the syndrome is
calculated to be
100
010
s = [1100010] 110 = [0 0 1]
001
011
111
101

176
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Syndrome Error pattern


000 0000000 TABLE (3.2): Decoding table for the
100 1000000
(7, 4) Hamming code defined in table (3.1).
010 0100000
001 0010000
110 0001000
011 0000100
111 0000010
101 0000001

From Table (2) the corresponding coset leader (i.e., error pattern with the highest probability of
occurrence) is found to be [0010000], indicating correctly that the third bit of the received vector
is erroneous. Thus, adding this error pattern to the received vector, in accordance with Eqn(3.22),
yields the correct code vector actually sent.

177
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.3 Cyclic Coding


3.3.1 Introduction
Cyclic coding forms a subclass of LBC .An advantage of cyclic codes over other types is that
they are easy to encode using a well-defined mathematical structure. This led to the development
of very efficient decoding schemes.A binary code is said to be a cyclic code if it exhibits two
main properties:
1. Linearity property: The sum of any two code words in the code is also a code word.
2. Cyclic property: Any cyclic shift of a code word in the code is also a code word.

Property 1: restates the fact that a cyclic code is a linear block code. So it described as a parity-
check code.
Property 2: restated in a mathematical terms. Let the n-tuple (n-codes)(𝑐0 , 𝑐1 , 𝑐2 , … , 𝑐𝑛 −1 ).
That donate a code word of a (n,k) linear block code. The code is cyclic code if the n-tuples
𝒄 = (𝒄𝒏−𝟏 , 𝒄𝟎 , 𝒄𝟏 , … , 𝒄𝒏−𝟐 ) ……… 𝒄 = (𝒄𝟏 , 𝒄𝟐 , … , 𝒄𝒏−𝟏, 𝒄𝟎 )
are all code words in the code.Which lead us to generate the code polynomial. That helps us to
develop the algebraic properties of cyclic codes.
c X = c0 + c1 X + c2 X 2 + ⋯ + cn−1 X n−1 . Eqn (3.23)
Where X is the indeterminate, for binary codes the coefficients are 1s & 0s.Each power of X in
the code polynomial 𝐶(𝑋) represents a one-bit shift in time. This means that multiplication of the
polynomial 𝐶(𝑋) by X may be viewed as a shift to the right. So how we can make such a shift
cyclic? Getting a general form for such a shift.
Let‘s start with the code polynomial C(X) be multiplied by Xi ,yielding
𝑋 𝑖 𝑐 𝑋 = 𝑐0 𝑋 𝑖 + 𝑐1 𝑋 𝑖+1 + ⋯ + 𝑐𝑛−𝑖−1 𝑋 𝑛−1 + 𝑐𝑛−𝑖 𝑋 𝑛 + ⋯ + 𝑐𝑛−1 𝑋 𝑛 +𝑖−1
= 𝑐𝑛−𝑖 𝑋 𝑛 + ⋯ + 𝑐𝑛−1 𝑋 𝑛 +𝑖−1 + 𝑐0 𝑋 𝑖 + 𝑐1 𝑋 𝑖+1 + ⋯ + 𝑐𝑛−𝑖−1 𝑋 𝑛−1
Eqn (3.24)

After rearranging & using the modulo-2 addition (Cn-i - Cn-i = 0 ) we may manipulate the first i
terms of the last equation as follows:
X i c X = cn−i + ⋯ + cn−1 X i−1 + c0 X i + c1 X i+1 + ⋯ + cn−i−1 X n−1 +
cn−i X n + 1 + ⋯ + cn−1 X i−1 Eqn (3.24)
So we can express the equation as follows:
𝑋 𝑖 𝐶 𝑋 = 𝑞 𝑋 𝑋 𝑛 + 1 + 𝐶 𝑖 (𝑋) Eqn (3.25)
by introduce the following definitions:
𝐶 𝑖 𝑋 = 𝐶𝑛 −𝑖 + ⋯ + 𝐶𝑛−1 𝑋 𝑖−1 + 𝐶0 𝑋 𝑖 + 𝐶1 𝑋 𝑖+1 + ⋯ + 𝐶𝑛−𝑖−1 𝑋 𝑛−1
Eqn (3.26)
𝑞 𝑥 = 𝐶𝑛 −𝑖 + 𝐶𝑛−𝑖−1 𝑋 + ⋯ + 𝐶𝑛−1 𝑋 𝑖−1 Eqn (3.27)

178
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The polynomial C(i)(X) is recognized as the code polynomial of the code word
(𝑐𝑛−𝑖 , … . , 𝑐𝑛−1 , 𝑐0 , 𝑐1 , … . , 𝑐𝑛−𝑖−1 )obtained by applying i cyclic shifts to the code word
(𝑐0 , 𝑐1 , … . . , 𝑐𝑛−𝑖−1 , 𝑐𝑛−1 , … . , 𝑐𝑛−1 ) . the last equation could be written as:
c i X = X i c X mod(X n + 1) Eqn (3.28)
Because c(i)(X) is the reminder that results from dividing Xi𝑐(𝑋) by (Xn+1). The last equation is
a code polynomial for any cyclic shift i.

3.3.2 Generator polynomial:


The polynomial (Xn+1) and its factors plays a vital role in the generation of cyclic codes. Let
𝑔(𝑋) be a polynomial of degree n-k that is a factor of Xn +1. 𝑔(𝑋) may be expressed as:
𝑛 −𝑘−1
𝑔 𝑋 =1+ 𝑖=1 𝑔𝑖 𝑋 𝑖 + 𝑋 𝑛−𝑘 Eqn (3.29)

Where the coefficient gi is equal to 0 or 1. According to this expansion, the polynomial 𝑔(𝑋) has
two terms with coefficient 1 separated by n-k-1 terms. The polynomial 𝑔(𝑋) is called the
generator polynomial of a cyclic code. A cyclic code is uniquely determined by the generator
polynomial 𝑔(𝑋) in that each code polynomial in the code can be expressed in the form of a
polynomial product as follows:
C X = a X g X Eqn (3.30)
Where a(X) is a polynomial in X with degree k-1.

Suppose we are given the generator polynomial 𝑔(𝑋) and the requirements is to encode the
message sequence (m0,m1 , ….. ,mk-1) into a (n,k) systematic cyclic code. That is, the message
bits are transmitted in unaltered form, as shown by the following structure for a code word:

(𝑏0 , 𝑏1 , … . 𝑏𝑛−𝑘−1 , … … , 𝑚0 , 𝑚1 , … , 𝑚𝑘−1 )


n- k Parity bits k message bits

Let the message polynomial be defined as ,


𝑚 𝑋 = 𝑚0 + 𝑚1 𝑋 + ⋯ + 𝑚𝑘−1 𝑋 𝑘−1 Eqn (3.31)
and let
𝑏 𝑋 = 𝑏0 + 𝑏1 𝑋 + ⋯ + 𝑏𝑛−𝑘−1 𝑋 𝑛−𝑘−1 Eqn (3.32)
we want the code polynomial to be in the form
c X = b X + X n−k m(X) Eqn (3.33)
a X g X = b X + X n−k m(X) Eqn (3.34)
With the aid of the modulo-2 addition we may write
X n −k m (X) b(X)
=a X + Eqn (3.35)
g(X) g(X)

179
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The last equation states that the polynomial 𝑏(𝑋) is the reminder left over after dividing
Xn-k 𝑚(𝑋) by 𝑔(𝑋).We may summarize the steps involved in the encoding procedure for a (n, k)
cyclic code assured of a systematic structure. Specifically, we proceed as follows:

1. Multiply the message polynomial 𝑚(𝑋) by Xn-k .


2. Divide Xn-k 𝑚(𝑋) by the generator polynomial 𝑔(𝑋), obtaining the reminder 𝑏(𝑋).
3. Add 𝑏(𝑋) to Xn-k 𝑚(𝑋), obtaining the code polynomial 𝑐(𝑋).

3.3.3 Parity-Check Polynomial:-


An (n, k) cyclic code is uniquely specified by its generator polynomial 𝑔(𝑋) of order (n, k). such
a code is also uniquely specified by another polynomial of degree k, which is called the parity-
check polynomial, defined by
𝑘−1 𝑖
𝑕 𝑋 =1+ 𝑖=1 𝑕𝑖 𝑋 + 𝑋𝑘 Eqn (3.36)
where the coefficients hi are 0 or 1. The parity-check polynomial 𝑕(𝑋) has a form similar to the
generator polynomial in that there are two terms with coefficient 1 , but separated by k-1 terms.
The generator polynomial 𝑔(𝑋) is equivalent to the generator matrix G as a description of the
code. Correspondingly, the parity-check polynomial, donated by 𝑕(𝑋), is an equivalent
representation of the parity-check matrix H. we thus find that the matrix relation HG T =0 for a
LBCs corresponds to this relationship
g X h X mod X n + 1 = 0 Eqn (3.37)

This equation shows that the generator polynomial g(X) and the parity-check polynomial h(X)
are factors of the polynomial Xn+1, and could be shown as:
g(X)h(X) = X n + 1 Eqn (3.38)
This property provides the basis for selecting the generator or parity-check polynomial of a
cyclic code. In particular, we may state that if 𝑔(𝑋) is a polynomial of degree (n-k) and it is also
a factor of Xn+1, then 𝑔(𝑋) is the generator polynomial of an (n, k) cyclic code. Equivalently,
we may state that if 𝑕(𝑋) is a polynomial of degree k and it is also a factor of X n+1, then 𝑕(𝑋) is
the parity-check polynomial of an (n, k)cyclic code.
A final comment is in order. Any factor of X n+1 with degree (n-k), the number of parity bits, can
be used as a generator polynomial. For large values of n, the polynomial X n+1 may have many
factors of degree n-k. Some of these polynomial factors generate good cyclic codes, whereas
some of them generate bad cyclic codes. The issue of how to select generator polynomials that
produce good cyclic codes is very difficult to resolve. Indeed, coding theorists have expended
much effort in the search for good cyclic codes.

180
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.3.4 Generator and Parity-Check Matrices:


Given the generator polynomial 𝑔(𝑋) of an (n, k) cyclic code, we may construct the generator
matrix G by noting that {𝑔(𝑋), X𝑔(𝑋), ……, Xk-1 𝑔(𝑋)}the k polynomials span the code. The
n-tuples corresponding are used as arrows to generate the k-by-n matrix [G].
The construction of the parity-check matrix H of the cyclic code from the parity-check
polynomial 𝑕(𝑋) requires special attention, as described here.
Multiply this equation by 𝑎(𝑋)
𝑔 𝑋 𝑕 𝑋 = 𝑋𝑛 + 1 Eqn (3.38)
Then using this equation
𝑐 𝑋 = 𝑎 𝑋 𝑔(𝑋) Eqn (3.39)

We get
𝑐 𝑋 𝑕 𝑋 = 𝑎 𝑋 + 𝑋 𝑛 𝑎(𝑋) Eqn (3.40)
𝑐(𝑋) and 𝑕(𝑋) defined before. The product on the left-hand side of the last equation contains
powers extending up to n+k-1. On the other hand the polynomial 𝑎(𝑋) has degree k-1 or less, so
the powers Xk, Xk+1, Xk+2,……, Xn-1 do not appear in the polynomial on the right-hand side of
this equation .thus we set the coefficients of these terms in the right-hand side by zero
𝑗 +𝑘
𝑖=𝑗 𝑐𝑖 𝑕𝑘+𝑗 −𝑖 = 0 For 0≤ 𝑗 ≤𝑛−𝑘−1 Eqn (3.41)
T T
Comparing with the parity-check equation of the LBC cH =mGH =0. We will arrange the
coefficients in reversed order
𝑘−1

Xkh X -1 =X k 1+ 𝑕𝑖 𝑋 −𝑖 + 𝑋 −𝑘
𝑖=1
𝑘 −1 𝑖
=1+ 𝑖=1 𝑕𝑘 −𝑖 𝑋
+ 𝑋𝑘 Eqn (3.42)
𝑛
So as shown the parity-check polynomial is a factor of 𝑋 + 1 . the (n - k) polynomials
Xk h(X-1), Xk+1 h(X-1),………., Xn-1h(X-1) may now used in rows of the (n - k) - by - n parity-
check matrix H.

3.3.5 Encoder for Cyclic Codes:


Earlier we showed how to generate an (n, k) cyclic code in systematic form involves three steps:
1. Multiply the message polynomial 𝑚(𝑋) by Xn-k .
2. Divide Xn-k 𝑚(𝑋) by the generator polynomial 𝑔(𝑋), obtaining the reminder 𝑏(𝑋).
3. Add 𝑏(𝑋) to Xn-k 𝑚(𝑋), obtaining the code polynomial 𝑐(𝑋).

These three steps can be implemented using a linear feedback shift register with (n - k) stages.
As shown in fig (3.15)

181
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (3.15) The Encoder of cyclic codes

The encoder consists of some elements. The boxes represent flip-flops, or a unit delay elements.
The flip-flop is a device that resides in one of two possible states donated by 0 or 1. We use an
external clock to control the operation of the flip-flops (initially set to zero). Every time the clock
ticks, the contents of the flip-flops are shifted out in the direction of the arrows. In addition to the
flip-flops, the encoder includes a second set of logic elements, called adders which compute the
modulo-2 sums of their respective inputs. Finally the multipliers multiply their respective inputs
by associated coefficients. In particular, if the coefficient gi = 1, the multiplier is just a direct
‖connection‖ . If , on the other hand, the coefficient gi = 0, the multiplier is ―no connection‖.
The operation of the encoder is as follows:
1. The gate is switched on. Hence the k message bits are shifted into the channel. As soon as
the k message bits have entered the shift register, the resulting (n - k) bits in the register
form the parity bits.
2. The gate is switched off, thereby breaking the feedback connections.
3. The contents of the shift register are read out into the channel.

3.3.5.1 Calculation of the Syndrome:


Suppose that the code word (𝑐0 , 𝑐1 , 𝑐2 , … , 𝑐𝑛−1 ) is transmitted over a noisy channel, resulting in
the received word(𝑟0 , 𝑟1 , 𝑟2 , … , 𝑟𝑛−1 ). We know from the syndrome calculation of the LBC for
the received word, that if the syndrome is zero, there are no transmission errors in the received
word. If, on the other hand, syndrome is nonzero, the received word contains transmission errors
that require correction.
In the cyclic codes the syndrome could be calculated easily. Let the received word be represented
by the polynomial of degree n-1 or less, as shown by,
𝑟 𝑋 = 𝑟0 + 𝑟1 𝑋 + ⋯ + 𝑟𝑛−1 𝑋 𝑛−1 Eqn (3.43)
Let dividing 𝑟(𝑋) with 𝑔(𝑋) results in 𝑞(𝑋) be the quotient and 𝑠(𝑋) be the reminder. Therefore
we can express 𝑟(𝑋) as follows:
𝑟 𝑋 = 𝑞 𝑋 𝑔 𝑋 + 𝑠(𝑋) Eqn (3.44)
The reminder 𝑠(𝑋) is a polynomial of degree n – k – 1 or less. It is called the syndrome
polynomial because its coefficients make up the (n - k) - by - 1 syndrome s.

182
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (3.16) The Fig shows a syndrome calculator

We note that the two devices are identical to each other but here the received bits are feed into
the (n - k) stages of the feedback shift register. As soon as all the received bits have been shifted
into the shift register, its contents define the syndrome s.

3.3.5.2 The syndrome polynomial properties:-


1. The syndrome of the received word polynomial is also the syndrome of the
corresponding error polynomial. Which is explained with the following equations, the
received word polynomial results from transmitting the cyclic code with polynomial 𝑐(𝑋)
over a noisy channel.
𝑟 𝑋 = 𝑐 𝑋 + 𝑒(𝑋) Eqn (3.45)

where 𝑒(𝑋) is the error polynomial. Using modulo-2 addition we may write:
𝑒 𝑋 = 𝑐 𝑋 + 𝑟(𝑋) Eqn (3.46)

So using this last equation with these equations,


𝑐 𝑋 = 𝑎 𝑋 𝑔(𝑋) Eqn (3.47)

𝑟 𝑋 = 𝑞 𝑋 𝑔 𝑋 + 𝑠(𝑋) Eqn (3.48)

we can get..
𝑒 𝑋 = 𝑢 𝑋 𝑔 𝑋 + 𝑠(𝑋) Eqn (3.49)
As 𝑢(𝑋)
𝑢 𝑋 = 𝑎 𝑋 + 𝑞(𝑋) Eqn (3.50)

2. Let 𝑠(𝑥) the syndrome of a received word polynomial 𝑟(𝑥).Then, the syndrome of
𝑋𝑟(𝑋), a cyclic shift of 𝑟(𝑋), is X𝑠(𝑋).
Applying a cyclic shift to both sides of the equation of the received word we get
𝑋𝑟 𝑋 = 𝑋𝑞 𝑋 𝑔 𝑋 + 𝑋𝑠(𝑋) Eqn (3.51)

183
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

From that we can see that 𝑋𝑠(𝑋) is the reminder of the division of 𝑋𝑟(𝑋) by 𝑔(𝑋). Hence, the
syndrome of 𝑋𝑟(𝑋) is 𝑋𝑠(𝑋) as stated. We can generalize this result bystating that if 𝑠(𝑋) is the
syndrome of 𝑟(𝑋), then𝑋 𝑖 𝑠(𝑋) is the syndrome of 𝑋 𝑖 𝑟(𝑋)
3. The syndrome polynomial 𝑠(𝑋) is identical to the error polynomial𝑒(𝑋), assuming that
the errors are confined to the (n - k) parity-check bits of the received word polynomial
r(X).

3.3.6 Examples of cyclic codes:


 Cyclic redundancy check codes.
 Maximum length codes.
 Golay codes.
 BCH codes.
 Read Solomon codes.

3.3.6.1 Cyclic -redundancy check codes:-


CRC codes are error-detecting codes typically used in automatic- repeat request (ARQ)
systems.CRC codes have no error capability but they can be used in a combination with an error-
correcting code to improve the performance of the system.A CRC constructed by an (n, k) cyclic
code is capable of detecting any error burst of length n-k or less.
Binary (n, k) CRC codes are capable of detecting the following error patterns:

4. All error bursts of length n – k or less.


5. A fraction of error bursts of length equal to n – k + 1; the fraction equals 1 – 2-(n-k-1) .
6. A fraction of error bursts of length greater than to n – k + 1; the fraction equals – 2-(n-k-1).
7. All combinations of d min – 1 (or fewer) errors.
8. All error patterns with an odd number of errors if the generator polynomial g(X) for the
code has an even number of nonzero coefficients.

CRC Codes:
Code name Generator polynomial n-k
CRC-12 Code 1 + 𝑋 + 𝑋 2 + 𝑋 3 + 𝑋 11 + 𝑋 12 12
CRC-16 Code(USA) 1 + 𝑋 2 + 𝑋 15 + 𝑋 16 16
CRC-ITU Code 1 + 𝑋 5 + 𝑋 12 + 𝑋 16 16
CRC-ATM Code 1 + 𝑋 + 𝑋2 + 𝑋8 8
Table (3.3)
It show the CRC Codes and Polynomials

184
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.3.6.2 16-bit CRC-CCITTa (USA):-


The generator polynomial of that type is:
𝑔 𝑋 = 1 + 𝑋16 + 𝑋15 + 𝑋 2 Eqn (3.52)
16
This code is defined as the reminder of dividing m(X)X by 𝑔(𝑋)...the code words are formed
as a k-bit information sequence followed by a check pattern of 16 bits at the end of the
message. The result is a (k+16,16)code.

Fig (3.17)
The encoder of the 16-bits CRC-CCITTa :

In the encoding process, two zero bytes are added to the end of the message, which are used
when computing the CRC.At receiver decoder computes the CRC of the message part & adds the
result to the CRC bytes, and then tests to see whether the result equals zero.

185
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.3CONVOLUTIONAL ENGODING
3.4.1 Introduction
In these section deals with convolutional coding. A convolutional code is described by three
integers, n, k. and K, where. The integer K is a parameter known as the constraint length, it
represents the number of k stages in the encoding shift register. An important characteristic of
convolutional codes different from block codes is that the encoder has memory where the output
from the convolutional encoder is not only a function of an input K, but is also a function of the
previous K - 1 input.

3.3.2 Encoder Structure

The general convolutional encoder is shown in fig (3.18) it consist of kK stage shift register and
n module-2 adder where K is the constrain length. The constraint length represents the number of
k-bits shifts over which the encoder give the total output, at each unit of time. k bits are shifted

Fig (3.18)
The general convolutional encoder structure

into the first k stages of the register; all bits in the register are shifted K stages to the right, and
the outputs of the n adders are calculated in every unit time .Since there are n code bits for each
input group of k message bits ,the code rate is kin message bit per code bit, where k < n
In the most commonly used binary convolutional encoders for which k = 1bit which mean that
one bit is shifted in one unit time, If the constrain length K increase with different code rate the
convolutional cod become very complicated. So a simple convolutional code will be used to
describe the code properties as to describe the code properties as shown in the fig (3.19)

186
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (3.19) Convolutional encoder (rate ½,K=3)

3.4.3 Connection Representation:


In the previous fig (3.19) it represent the (2,1) convolutional encoder with constrain length K=3,
There are n = 2 modulo-2 adders so the code rate k/n is 1/2. At each unit time a bit is shifted
into the leftmost stage and the bits in the register are shifted one position to the right. Next the
output was taken from each modulo-2 adder (i.e. first the upper adder then the lower adder).then
the output was taken at each unit time.
One way to represent the encoder is to specify a set of n connections vectors one for each
module-2 adder, Each vector has dimension K and describes the connection of the encoding shift
register to that modulo-2 adder. A one in the ith position of the vector indicates that the
corresponding stage in the shift registers is connected to the module-2 adder
and a zero in a given position indicates that no connection exists between the encoder and the
rnodulo-2 adder. For the encoder in Fig (3.19), we can write the connection vector g 1 for the
upper connections and g2 for the lower connections as follows:

g1 = 111
g2 = 101

3.4.4 CONVOLUTIONAL ENCOOER REPRESENTATION


To describe a convolutional code it is need to know the encoding function G (m). So that given
an input sequence m, easily to get the output U. Several methods are used for representing a
convolutional encoder

187
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1. Polynomials representation.
2. State diagram representation.
3. Tree diagram representation.
4. Trellis diagram representation.

3.4.4.1 Impulse Response of the Encoder:


We can approach the encode r in terms of its impulse response, the impulse response of the
encoder is the response when a single "one" bit move through it. Consider the contents of the
register in Fig (3.19) as one move through it

Register Branch output


Contents u1 u2
100 1 1
Table (3.4) Show impulse response
010 1 0
001 1 1

Input sequence: 1 0 1
Output sequence: 11 10 11

So the output sequence for the input "one" is called the impulse response of the encoder. Then,
for the input sequence m= 101, the output may be found by superpotion the linear addition of the
time-shifted input impulses as follows:

m=101 U

Input m output
1 11 10 11
0 00 00 00
1 11 10 11
11 10 00 10 11

Table (3.5) Show the output from the encode using the impulse response

4.4.4.2 Polynomial Representation:


Sometimes the encoder connections are represented by generator polynomial, we can represent a
convolutional encoder with a set of generator polynomials one for each module-2 adder, each
polynomial of degree K - I or less and describe the connection of the encoding shift register to

188
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

the modulo-2adder. Much the same way that a connection vector does. The coefficient of each
term in the (K – 1)-degree polynomial is either 1 or 0, depending whether a connection exists or
doe s not exist between the shift register and the modulo-2 adder.
For the encoder in Fig (3.19), we can write the generator polynomial, consider g1(X) for the
upper connections and g2(X) for the lower connections as follows:
g1(X) = 1 + X + X2 Eqn (3.53)
g2(X) = 1 + X2 Eqn (3.54)
Where the lowest order in the polynomial is corresponds to the input stage of the register ,and
the output is get as follow:
U (X) = m(X) g1(X) interlaced m(X) g2(X) Eqn (3.55)

m(X) g1(X) = (1 + X2)( 1 + X + X2 ) = 1 + X + X3 + X4


m(X) g2(X) = (1 + X2)( 1 + X2) = 1 + X4

m(X) g1(X) = 1 + X + 0 X2 + X3 + X4
m(X) g2(X) = 1 + 0X +0 X2 +0 X3 + X4

U(X) = (1, 1) + (1, 0) X + (0, 0) X2 + (1, 0) X3 + (1, 1) X4 Eqn (3.57)

U= 11 10 00 10 11
So from this example it shows that the convolutional encoder can be treated as a set of cyclic
code shift register, because it represents the encoder with the polynomials as used for describing
cycling codes.

3.4.4.3 State Representation:


The convolutional encoder is consider as devices known as finite state machines, which mean
that it has memory of the past signals. The mean by state consists of the smallest amount of
information that with the current input gives the output, for convolutional encoder with rate 1/n
the state is represented by the content of the rightmost K-1 stages. Known of the state and the
next input is necessary to get the output. The representation for the encoder shown in fig (3.20)
with the state diagram is shown below in fig (3.20). The convolutional encoder is consider as
devices known as finite state machines, which mean that it has memory of the past signals. The
mean by state consists of the smallest amount of information that with the current input gives the
output, for convolutional encoder with rate 1/n the state is represented by the content of the
rightmost K-1 stages. Known of the state and the next input is necessary to get the output. The
representation for the encoder shown in fig (3.20) with the state diagram is shown below
In fig (3.20).The states shown in the ellipse of the diagram represent the possible contents of the
right most K - I stages of the register and the paths between the states represent the output branch
words resulting from such state transitions. The states of the register are as follows a = 00, b =

189
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

10, c = 01, and d = 11. the diagram shown in fig(3.20) illustrates an the slate transitions that are
possible for the encoder in Fig (3.19) There are only two transitions emanating from each state
corresponding to the two possible input bits, next to each path between state s is written the
output branch word associated with the state transition . In drawing the solid line indicates zero
input and the dashed line indicates input one.

Output
Branch
word

Encoder
state

Legend
Input bit 0
Input bit 1

Fig (3.20) the state diagram of encoder (rate ½, K=3)

3.4.4.4 The tree Diagram:

The state diagram completely describes the encoder but one cannot easily use it for track the
encoder transitions as a function of time, since the diagram cannot represent time history. The
tree diagram adds the time to the state diagram. The tree diagram for the convolutional encoder
shown in Fig (3.).In the tree diagram at each input at a time unit the encoding steps can be
described by moving on the tree from left to right, each tree branch describing an output branch
word. The rule for getting a codeword sequence is as follows, if the input bit is a zero its indicate

190
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

to moves upward direction and get the branch word from the arrow, and if the input bit is a one
its indicate to moves downward direction and get the branch word from the arrow, assuming that
the initial contents of the encoder is all zeros. The diagram shows that if the first input bit is a
zero, the output branch word is 00 and if the first input bit is a one, the output branch word is 11,
Similarly if the first input bit is a one and the second input bit is a zero, the second output branch
word is 10, or if the first input bit is a o ne and the second input bit is a one, the second output
branch word is 01and so on.
The tree diagram solves the problem of the time history but if the sequence is long the number of
branches increase so it is difficult to use the tree diagram.

Fig (3.21) the tree diagram of encoder (rate ½, K=3)

3.4.4.5The Trellis Diagram:


The trellis diagram is basically a redrawing of the state diagram. It shows all possible
state transitions at each time step. Frequently, a legend accompanies the trellis diagram to show
the state transitions and the corresponding input and output bit mappings (x/c). This compact
representation is very helpful for decoding convolutional codes as discussed later.
Observation of the Fig (3.21) tree diagram shows that for this example, the structure

191
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

repeats itself at time t4, after the third branching (in general, the tree structure repeats after K
branching. where K is the constraint length ), We label each node in the tree of Fig (3.21) to
correspond to the four possible state s in the shift register as follows: {a=00. b=10, C =01, and d
=11. The first branching of the tree structure at time t1 produces a pair of nodes labeled a and b.
At each successive branching the number of nodes doubles. The second branching, at time t2,
results in four nodes labeled a. b, c. and d. After the third branching, there are a total of eight
nodes: two are labeled a, two arc labeled b. two are labeled c. and two are labeled d. We can see
that all branches emanating from two nodes of the same state generate identical branch word
sequences. In fig (3.22) the trellis diagram it use the same convention that introduced with the
state diagrams a solid line denotes the output generated by an input bit zero and a dashed line
denotes the output generated by an input bit one , The nodes of the trellis characterize the
encoder states; the first row nodes correspond to the state a '= 00, the second and subsequent
rows correspond to the states b =10, c = 01 and d =11, at each unit of time the trellis requires 2K
- I nodes to represent the 2K- I possible encoder states. Each o f the states can he entered from
either of two preceding slates. Also each o f the states can transition to one of two states of the
two outgoing branches one corresponds to an input bit zero (solid line)and the other corresponds
to an input bit one(dashed line). On Fig (3.22) the output branch word corresponding to the state
transitions appear as labels in the trellis branches.

Input bit 0 Fig (3.22) Encoder trellis Diagram (rat2=1/2, K=3)


Legend
Input bit 1

192
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.4.5 Formulation of the Convolutional decoding Problem


3.4.5.1Maximum Likelihood Decoding :
If all input message sequences are equally likely, a decoder that achieves the minimum
probability of error is one that compares the conditional probabilities, also called the likelihood
functions P(Z|U(m)), where Z is the received sequence and U(m)is one of the possible
transmitted sequences, and chooses the maximum. The decoder chooses U (m' ) if
P (Z|U (m)) = max P(Z|U(m')) Eqn(3.58)
In the binary demodulation there are only two equally likely possible signals, S1 (t ) or S2(t),
that might have been transmitted, therefore to make the binary maximum likelihood decision,
given a received signal, meant only to decide that 51( t) was transmitted if
P (Z| S1 ) > p(z| S2 ) Eqn(3.59)

Otherwise, to decide that S2 (t) was transmitted.


We will assume that the noise is additive white Gaussian with zero mean and the channel is
memoryless, which means that the noise affects each code symbol independently of all
the other symbols. For a convolution al code of rate 1/n , we can therefore express the
likelihood as:
P(Z|U(m)) =i P(Zi|U i(m)) = i njP(Zji|Uji(m)) Eqn (3.59)

where Zi is the ith branch of the received sequence , Ui(m) is the ith branch of a particular
codeword sequence U(m) , and each branch comprises n code symbols. The decoder problem
consists of choosing a path through the trellis such that
 i nj P(Zji|Uji(m)) is maximized

Generally, it is computationally more convenient to use the logarithm of the likelihood function
since this permits the summation instead of the multiplication of terms. We are able to use this
transformation because the logarithm is a monotonically increasing function and thus will not
alter the final result in our codeword selection. We can define the log-likelihood function as:

P(Z|U(m)) = i P(Zi|Ui(m)) = i nj P(Zji|Uji(m)) (3.60)


The decoder problem now consists of choosing a path through the tree or trellis such that
u(m) is maximized

193
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

For the decoding of convolutional codes, either the tree or the trellis structure can be used. In
the tree representation of the code, the fact that the paths remerge is ignored, Since for a binary
code, the number of possible sequences made up of L branch words is 2 L
L
Using a tree diagram, requires the "brute force " or exhaustive comparison of 2 accumulated
log-likelihood metrics, representing all the possible different codeword sequences that could
have be en transmitted. Hence it is not practical to consider maximum likelihood decoding with a
tree structure.

3.4.6 Channel Models: Hard versus Soft Decisions


The code word sequence U(m) made up of branch word , with each branch word comprised o f n
code symbols , can he considered to be an endless stream, as opposed to a block code, in which
the source data and their codewords a repartitioned into precise block sizes.
Consider that a binary signal transmitted over a symbol interval (0,T) is represented by S1 ( t )
for a binary one and S2 ( t ) for a binary zero. The received signal is:

r(t) = Si ( t ) + n(t) Eqn (3.61)


where n(t) is a zero-mean Gaussian noise process.
The detection of r(t) is described of two basic steps , In the first step, the received wave form is
reduced to a single number Z(t) = ai + no Where ai is the signal component of Z(t) and no is the
noise component. The noise component, no is a zero-mean Gaussian random variable, and thus
Z(t) is a Gaussian random variable with a mean of either a1 or a2 depending on whether a
binary one or binary zero was sent.
In the second step of the detection process a decision was made as to which signal was
transmitted, on the basis of comparing Z(t) to a threshold

Fig (3.23)
Hard and soft
decoding
decisions

Since the decoder operates on the hard decisions made by the demodulator, the decoding is
called hard-decision decoding.The demodulator can also be con Figd to feed the decoder with a
quantized value uf Z(T) greater than two levels . Such an implementation furnishes the decoder
with more information than is provided in the hard-decision case , When the quantization level of
the demodulator output is greater than two , the decoding is called soft-decision decoding. Eight
194
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

levels (3-bits) of quantization are illustrated on the abscissa of Fig 1. When the demodulator
sends a hard binary decision to the decoder, it sends it a single binary symbol , When the
demodulator sends a soft binary decision, quantized to eight levels, it sends the decoder a 8-bit
word describing the interval along Z(t). Referring to Fig 1 if the demodulator sends 111 to the
decoder, this is tantamount to declaring the code symbol to be a one with very high confidence,
while sending a 100 is tantamount to declaring the code symbol to be a one with very low
confidence.
It should he clear that ultimately every message decision out of the decoder must be a hard
decision, otherw ise, one might see computer print outs that read: "think it's a 1"- " think it's a 0."
and so on.

3.4.6.1 Binary Symmetric Channel


A binary symmetric channel (BSC) is a discrete memo memoryless channel that has binary input
and output alphabets and symmetric transition probabilities. It can be described by the
conditional probabilities:

P(0|1)=P(0|1)=P (3.62)
P(1|1)=P(0|0)=1-P (3.63)

Transition probabilities
1-P
0 0

Transmitted P Received
signals signals
P
1
1
1-P

Fig (2.24) Binary symmetric channel (hard-decision channel)

195
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

as illustrated in Fig 1 The probability that an output symbol will differ from the input symbol is
p. and the probability that the output symbol will be identical to the input symbol is (1 - p ). The
BSC is an example of a hard-decision channel, which means that, even though continuous-
valued signals may be received by the demodulator, a BSC allows only firm decisions such that
each demodulator output symbol consists of one of two binary values.
Suppose that U(m) and Z are each L-bit-long sequences and that they differ in dm positions [i.e..
the Hamming distance between U(m) and Z ]Then , since the channel is assumed to be
memoryless, the probability that this U (m) was transformed to the specific received Z at distance
dm from it can be written as
P(Z| U(m))=Pdm (1-p) 1-dm Eqn (3.64)
and the log-likel ihood function is
log P(Z| U(m))= -dm log((1-p)/p)+Llog(1-p)
Eqn (3.65) Assuming that p < 0.5 we can
express equation as
log P(Z| U(m))= -Adm –B Eqn (3.65)
Where A and B are positive constants. Therefore, choosing the codeword U(m) ,such that the
hamming distance dm to the received sequence Z is minimized corresponds to maximizing the
likelihood or log -likelihood metric. Consequently, over a BSC , the log-likelihood metric is
conveniently replaced by the hamming distance, and a maximum likelihood decoder will choose,
in the tree or trellis diagram, the path whose corresponding sequence U(m')is at the minimum
hamming distance to the received sequence Z.

3.4.7Properties of convolutional codes:


3.4.7.1 Distance Properties of convolutional codes

We want to evaluate the distances between all possible pairs of code word sequences, we are
interested in the minimum distance between all pairs of such codeword sequences in the
code,since the minimum distance is related to the error correcting capability of the code. Because
a convolutional code is a group or linear code

The minimum distance in the set of all arbitrary long paths that diverge and remerge,called the
minimum free distance or simply the free distance.
For calculating the error correcting capability of the code, the minimum distance dmin replaced
by the free distance df as
𝒅𝒇 − 𝟏
𝒕 = 𝟐
Eqn (3.66)

196
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.4.7.1.1Error correcting capability of the convolutional code


error correcting capability t represented the number of code symbol errors that could, the
maximum likelihood decoding, be corrected in each block length of the code. however, when
decoding convolutional codes, the error correcting capability cannot be stated so succinctly. We
can say that the code can with maximum likelihood decoding, correct t within a few constraint
lengths. Few means 3 to 5.

3.4.7.2 Systematic and nonsystematic convolutional codes

For linear block codes, any nonsystematic code can be transformed into a systematic code with
the same block distance properties. This is not the case for convolutional codes. the reason for
this is that convolutional codes depend largely on free distance.

constraint length free distance free distance


systematic nonsystematic
2 3 3
3 4 5
4 4 6
5 5 7
6 6 8
7 6 10
8 7 10
1
Table (3.6) Comparison of systematic and nonsystematic free distance with rate is shown
2
below.

3.4.7.3 Coding gain:


Coding gain is defined as the reduction, usually expressed in decibels, in the required Eb/N0 to
achieve a specified error probability of the coded system over an uncoded system with the same
modulation and channel characteristics. This table represents some of these
Rate (1/2)codes Rate (1/2)codes
k df Upper k df Upper
bound(dB) bound(dB)
3 5 3.97 3 8 4.26
4 6 4.76 4 10 5.23
5 7 5.43 5 12 6.02
6 8 6.00 6 13 6.37
7 10 6.99 7 15 6.99
8 10 6.99 8 16 7.27
9 12 7.78 9 18 7.78
Table (3.7) Coding Gain Upper Bounds for Some Convolutional Codes

197
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

This table for coherant BPSK,for several maximum free distance convolutional codes with
constraint lengthe between 3 to 9 over a Gaussian channel with hard decision decoding.
So the coding gain equation represented as:
coding gain ≤ 10 log10 r. df Eqn(3.67)
Where r is the code rate and df is the free distance. Notice that each increment in constraint
length improves the required Eb/N0 by a factor of approximately 0.5dB at PB = 10-5.

Fig (3.25) Bit error rate probability versus


Eb/N0 for rate ½ codes using coherent
BPSK over a BSC, Viterbi decoding, and a
32 bit memory.

198
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.5 The Viterbi Decoding Algorithm:


3.5.1 Introduction:
The Viterbi algorithm removes from consideration those trellis paths that could not possible be
candidates for the maximum likelihood choice when two paths enter the same state the one
having the best metric (less metric number) is chosen, this path is called the surviving path, that‘s
why its necessary for those who going to study this part of convolutional decoding to be familiar
with trellis diagram.

Code –word branch

Legend
Input bit 0 Fig (3.26) Encoder trellis diagram

Input bit 1

The advantage of Viterbi decoding is that its complexity is not a function of the number of
symbols in the codeword sequence

199
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.5.2 An Example of Viterbi decoding:

Fig (3.27) Represent the decoder of trellis Diagram

The encoder trellis diagram is shown in Fig (3.26), can also be used to represent the decoder. For
the decoder trellis it is convenient at each time interval to label each branch with the Hamming
distance between the received code symbols and the branch word corresponding to the same
branch from the encoder trellis. The example in Fig (3.27) shows a message sequence m, the
corresponding code word sequence U, and a noise corrupted received sequence Z=11 01 01
10…. As the code symbols are received each branch of the decoder trellis is labeled with a
metric of similarity (Hamming distance) between the received code symbols and each of the
branch words for that time interval. From the received sequence Z shown in Fig (3.27), we see
that the code symbols received at (following) time "t1" are 11. In order to label the decoder
branches at (departing) time "t1" with the appropriate Hamming distance metric, we look at the
Fig (3.26) encoder trellis. Here we see that a state 0000 transition yields an output branch
word of 00 But we received 11. Therefore, on the decoder trellis we label the state 0000
transition with Hamming distance between them namely 2. Looking at the encoder trellis again,
we see that a state 00 10 transition yields an output branch word of 11, which corresponds
exactly with the code symbols we received at time t1. Therefore, on the decoder trellis we label
the slate 0010 transition with a Hamming distance of 0.
In summary, the metric entered on a decoder trellis branch represents the difference
(distance) between what was received and what should have been received had the branch
word associated with that branch been transmitted. We continue labeling the decoder

200
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

trellis branches in this way as the symbols are received at each time t. The decoding
algorithm uses these Hamming distance metrics to find the most likely (minimum distance)
path through the trellis.

The basis of Viterbi decoding is that if any two paths in the trellis merge to a single state, one of
them can always be eliminated in the search for an optimum path.
For example, Fig (3.28) shows two paths merging at time t5 to state 00. Let us define the
cumulative Hamming path metric of a given path at time ti, as the sum of the branch Hamming
distance metrics along that path up to time ti In Fig 3 the upper path has metric 4 , the lower has
metric 1. The upper path cannot be a portion of the optimum path because the lower path which
enters the same state has a lower metric.

Fig (3.28) Path metrics for two merging paths


At each lime ti, there are 2^ (k-1) states in the trellis, where K is the constraint length, and each
state can be entered by means of two paths. Viterbi decoding consists of computing the metrics
for the paths entering each state and eliminates one of them. This computation is done for each of
the 2^ (k-1) states or nodes at time t i then the decoder moves to time ti+1and repeat the process.
At a given time the winning path metric for each state is designated as the state metric for the
state at that time.

The first few steps in our decoding example are as follow (see Fig (3.29). Assume that the input
data sequence m, Codeword U, and received sequence Z are as shown in Fig 2. Assume that the
decoder knows the correct initial state of the trellis. (This assumption is not necessary in
practice, but simplifies the explanation) .At time t1, the received code symbols are 11.

201
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

202
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (3.29) Selection of survivor paths, (a) Survivor at t2. (b) Survivors at t3(c) Metric
comparisons at t4 (d) Survivors at t4 (e) Metric comparisons at t5 (f) Survivors at t5 (g) Metric
comparisons at t6 (h) Survivors at t6

From state 00 the only possible transitions are to state 00 or state 01. As shown in Fig a. State
0000 transition has branch metric 2 and state 0010 transition has branch metric 0. At time
t2 there are two possible branches leaving each state, as shown in Fig b. till now we can not
eliminate any path.
The cumulative metrics of these branches are labeled state metrics abcand d
corresponding to the terminating state. At time t 3 in Fig c there are again two branches diverging
from each state. As a result, there are two paths entering each state at time t 4 , one path entering
each state can he eliminated, namely, the one having the larger cumulative path metric. The
surviving path into each state is shown in Fig d. At this point in the decoding process, there is
only a single surviving path, termed the common stem between times t1, and t 2 . Therefore, the
decoder can now decide that the state transition which occurred between t1 and t2 was 00 10.
Since this transition is produced by an input bit one, the decoder outputs a one as the first
decoded bit.
Here it can be seen how the decoding of the surviving branch is facilitated by having drawn the
trellis branches with solid lines for input zeros and dashed lines for input ones. Note that the first
bit was not decoded until the path metric computation had proceeded to a much greater depth
into the trellis.
At each succeeding step in the decoding process, there will always be two possible paths entering
each state: one of the two will be eliminated by comparing the path metrics. Fig "e" shows the
next step in the decoding process. Again, at time t5 there are two paths entering each state, and
one of each pair can be eliminated.

Fig (3.29.f) shows the survivors at time t5 , Notice that in these example it cannot yet make a
decision on the second input data bit (second interval) because there still are two paths leaving

203
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

the state 10 node at time t 2 .At time t6 in Fig (3.29.g) it again see the pattern of remerging paths,
and in Fig (3.29.h) see the survivors at time t6. Also, in Fig (3.29.h) the decoder outputs "one"
as the second decoded bit, corresponding to the single surviving path between t 2 and t3. The
decoder continues in this way to advance deeper into the trellis, and to make decisions on the
input data bits by eliminating all paths but one.

3.5.3 Decoder Implementation

In the context of the trellis diagram of Fig (3.27), transitions during any one time interval can be
grouped into 2^ (v-1) disjoint cells, each cell depicting four possible transitions, where v = K - 1
is called the encoder memory. For the K = 3 example, v = 2 and 2^ (v -1)= 2 cells. These cells
are shown in Fig 5, where a, b, c and d refer to the states at time ti and a', b', c' and d' refer to
the states at time ti+1 .
Shown on each transition is the branch metric xy, where the subscript indicates that the metric
corresponds to the transition from state x to state y. These cells and the associated logic units that
update the state metric x where "x" designates a particular state, represent the basic building
blocks of the decoder.

Fig (3.30) Example of decoder cell

204
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.5.3.1 Add-Compare-Select Computation


Continuing with the K = 3, 2cell example. Fig 6 illustrates the logic unit that corresponds to cell
1. The logic executes the special purpose computation called add-compare-select (ACS). The
state metric a' is calculated by adding the Previous-time state metric of state a "a" to the
branch metric aa' and (at the same time ) the previous time state metric of state c "c " to the
branch metric ca'.
These results in two possible path metrics as candidates for the new state metric a':
a' = a + aa' Eqn (3.)
OR
a' = c + ca'
The two candidates are compared in the logic unit of Fig (3.31). The largest likelihood (smallest
distance) of the two path metrics is stored as the new state metric a' for state "a". Also stored is
the new path history ma' for state "a", where ma' is the message-path history of the state
augmented by the data of the winning path.

Fig (3.31) Logic unit that implements the add-compare-select functions


Corresponding to cell #1

3.5.3.2 Add-Compare-Select as seen on the Trellis


Consider the same example that was used for describing Viterbi decoding. The message
sequence was m =1 1 0 1 1, the codeword sequence was U = 11 01 01 00 01, and the received

205
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

sequence was Z = 11 01 01 10 01. Fig (3.32) depicts a decoding trellis diagram similar to Fig
(3.27). A branch metric that labels each branch is the Hamming distance between the received
code symbols and the corresponding branch word from the encoder trellis. Additionally, the
Fig 7 trellis indicates a value at each state x, and for each time from time t2 to t6 which is a
state metric x. It perform the add -compare-select (ACS) operation when there are two
transitions entering a state, as there are for times t4 and later.
For example at time t4 , the value of the state metric for state "a" is obtained by incrementing
the state metric a = 3 at time t3 with the branch metric aa' = 1 yielding a candidate value of 4.
Simultaneously, the state metric c = 2 at time t3 is incremented with the branch metric ca' = 1
yielding a candidate value of 3. The select operation of the ACS process selects the largest-
likelihood (minimum distance) path metric as the new state metric; hence, for state "a" at time t4,
the new state metric is a' =3.
The winning path is shown with a heavy line and the path that has been dropped is shown with a
lighter line.

Fig (3.32) Add-compare-select computations in Viterbi decoding.

At some points in trellis the oldest bits can be decoded. As an example, by looking at time t6 in
Fig (3.32), we see that the minimum-distance state metric has a value of "1" for state "d", the
winning path can be traced back to time t1, and one can verify that the decoded message is the
same as the original message, by the convention that dashed and solid lines represent binary ones
and zeros respectively. Finally we can say that we received the same massage as it has been sent,
although there was an error in one bit.

206
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3.6REED SOLOMON CODES


3.6.1 Introduction

Reed Solomon codes are nonbinary cyclic codes with symbols made up of m-bits sequence,
where m is a positive integer greater than one.
For the most conventional R-S (n,k) code

(n,k) = (2m – 1 , 2m – 1 – 2t ) (3.67)

Where k is the number of data symbols being encoded ,n is the total number of code symbols in
the encoded block ,and t is the symbol error correction capability.

Note that

n – k = number of parity symbols = 2t = twice ―error correction capability‖‘

In other words, for correcting t symbol errors no more than 2t parity symbols are required.
R-S codes achieve the largest possible code minimum distance for any linear code. For
nonbinary codes, the distance between two codewords is defined as the number of symbols in
which the sequences differ. For the R-S codes the code minimum distance is given by:

dmin = n – k + 1 (3.68)
t = floor ((dmin – 1 )/2) = floor ((n – k )/2) (3.69)

R-S codes are used in many digital appliances such as CDs, and it is used in space and satellite
communication such as (255, 223) RS code, this code is NASA standard code for satellite and
space communications.
The R-S code is useful for burst-error correction (for this reason it is useful for space
communication). Consider an (n,k) = (255,247) R-S code :
Since n = 255 = 2 m – 1 ,so m = no of bits per symbol = 8, so we can refer to the symbol –in this
example only- as a byte, Since n – k = 8 = 2t ,so t = 4.
This means that this code can correct 4 symbol errors in a block of 255 symbols.
Suppose the presence of a noise burst that lasts for a duration of 25 contiguous bits :

207
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (3.33) Data block disturbed by 25 bit noise burst

So this burst noise will affect (25/8 ≈ 4) symbols and this number is equal to the error correction
capability (t) of the code, so we can say that code can correct 4 symbol errors regardless the
damage suffered by each symbol.

3.6.2 R-S Performance as a function of block size and redundancy:


For a code to successfully combat the effects of noise, the noise duration has to represent a
relatively small percentage of the code word to ensure that this happens most the time, the
received noise should be averaged over a long period of time.
Hence, one can expect that error-correcting codes become more efficient (error performance
improves) as the code block size increases.
This is seen by the curves shown in Fig (3.34) below where the code rate is held constant
7/8,while the block size increases from n = 32 symbols (with m = 5 bits per symbol) to n = 256
( m = 8 bits per symbol).Thus the block size increases from 160 bits to 2048 bits.

Fig (3.34) Reed- Solomon rate 7/8, decoder


performance as a function of symbol size

208
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

To see the relationship between redundancy and R-S performance, one should fix the block size
(n). As the redundancy of an R-S code increases (lower code rate), its implementation grows in
complexity (especially for high speed devices).Also the bandwidth increases as code rate
decreases i.e. the redundancy increases.
However, the benefit of increased redundancy (n – k) is just like the benefit of increased symbol
size (n) as seen in the fig (3.35) below:

Fig (3.35) Reed-


Solomon (64,K)decoder performance as a function of redundancy

3.6.3 FINITE FIELD CONCEPT:

Finite fields are fields with a definite number of elements and it is known as Galois field
(GF).For any prime number p , there exists a finite field denoted GF(p) containing p elements.
GF(pm) is called extension field of GF(p), however GF(p) is a subfield of GF(p m).Real number
field is a subfield of the complex number field. Any modulo-2 arithmetic operation performed
between 2 elements in a finite field should yield another element in the same finite field.
Elements of the GF(2 m) are used to construct R-S code.

GF(2m) = { 0, α0, α1, α2,. . ., α2m-2} Eqn(3.70)


0
Note that α = 1.
 We denote each of the non zero elements of field as a polynomial a i(x), where at least one
of the m coefficients of ai(x) is non zero.

209
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

For i = 0, 1, 2, . . ., 2 m-2
αi = ai(x) = ai,0 + ai,1(x) X + … + ai,m-1 Xm-1 Eqn(3.71)
for m = 3:
GF(23) field has 8 elements (zero + 7 non zero elements) and the 2 above equations will be :
GF(2m) = { 0, α0, α1, α2,. . ., α6} Eqn(3.72)
αi = ai(x) = ai,0 + ai,1(x) X + ai,2 X2 Eqn(3.73)
BUT, how could you find coefficients of each α ?!
We know that for any finite field, the first 2 elements should be 0 and 1.We must know the
primitive polynomial of that field GF(2 3)
𝑓 𝑋 = 1 + 𝑋 + 𝑋3

Implement linear feedback shift registers that corresponds to the primitive polynomial of this
field is shown in fig (3.)

Fig (3.36) Implementation of linear feedback shift register

Extension field elements can be represented by the contents of a binary linear feedback shift
register formed from a primitive polynomial.
X0 X1 X2
0 0 0 0
α0 1 0 0 Table (3.8) Mapping field elements into basis elements for GF(8)
α1 0 1 0 with 𝑓 𝑋 = 1 + 𝑋 + 𝑋 3
α2 0 0 1
α3 1 1 0
α4 0 1 1
α5 1 1 1
α6 1 0 1
α7 1 0 0
A very good question is why do we do all of this?
To answer this question, we must know what does ―α‖ notation means in R-S coding.
In any R-S coding, we must first know:
5. n and k, from them we can calculate m.
6. Get primitive polynomial from table below.
7. Implement LSFR to get values of all nonzero elements (=2 m-1).
But, again, why do we use ―α‖ notati

210
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

A good reason for using this notation is to ease handling of coding and decoding for us since
every block consists of a number of ―n‖ message symbols and each message consists of a
number of ―m‖ bits.

M M
3
3 1+X+X 14 1+X+X6+X10 +X14
4 1+X+X4 15 1+X+X15
5 1+X2+X5 16 1+X+X3+X12 +X16
6 1+X+X6 17 1+X3+X17
7 1+X3+X7 18 1+X7 +X18
8 1+X2+X3+X4 +X8 19 1+X+X2+X5+X19
9 1+X4+X9 20 1+X3+X20
10 1+ X3+X10 21 1+X2+X21
11 1+X2+X11 22 1+X+X22
12 1+X+X4+X6 +X12 23 1+X5+X23
13 1+X+X3+X4 +X13 24 1+X+X2+X7 +X24

Table (3.9)
Table of Some primitive polynomials
Modulo-2 addition and multiplication is shown in the tables below.

α0 α1 α2 α3 α4 α5 α6
α0 0 α3 α6 α1 α5 α4 α2
α1 α3 0 α4 α0 α2 α6 α5
α2 α6 α4 0 α5 α1 α3 α0
α3 α1 α0 α5 0 α6 α2 α4
α4 α5 α2 α1 α6 0 α0 α3
α5 α4 α6 α3 α2 α0 0 α1
α6 α2 α5 α0 α4 α3 α1 0

Table (3.10) Addition Table for GF(8) with 𝑓 𝑥 = 1 + 𝑋 + 𝑋 3

211
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

α0 α1 α2 α3 α4 α5 α6
α0 α0 α1 α2 α3 α4 α5 α6
α1 α1 α2 α3 α4 α5 α6 α0
α2 α2 α3 α4 α5 α6 α0 α1
α3 α3 α4 α5 α6 α0 α1 α2
α4 α4 α5 α6 α0 α1 α2 α3
α5 α5 α6 α0 α1 α2 α3 α4
α6 α6 α0 α1 α2 α3 α4 α5

Table (3.11)
Multiplication Table for GF (8) with 𝑓 𝑋 = 1 + 𝑋 + 𝑋 3

3.6.4 R-S ENCODING:

For all the types of block coding there must be a generator polynomial that has the form:
𝑔 𝑋 = 𝑔0 + 𝑔1 𝑋 + 𝑔2 𝑋 2 + ⋯ + 𝑔2𝑡−1 𝑋 2𝑡−1 + 𝑋 2𝑡 (3.74)

Consider an example R-S (7, 3): n= 7, k=3


t=(n-k)/2 = 2, so it is a double symbol error correcting code.
2 3 4
Generator polynomial is of degree 4 (2t), so it has 4 roots: α, α , α , α
𝑔 𝑋 = 𝑥 − 𝛼 𝑋 − 𝛼 2 𝑋 − 𝛼 3 (𝑋 − 𝛼 4 )
= 𝛼 3 + 𝛼1 𝑋 + 𝛼 0 𝑋 2 + 𝛼 3 𝑋 3 + 𝑋 4

3.6.4 Encoding in systematic form:


1. Multiply m(x) by Xn-k .
2. Divide the resultant by g(x) and let the remainder be p(x).
3. The resulting code word U(x) is written as:
𝑈 𝑋 = 𝑝 𝑋 + 𝑚(𝑋)𝑋 𝑛−𝑘 Eqn (3.75)
212
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Consider encoding 3-symbol messages:

010 110 111

α1 α3 α5
Applying the above 3 steps:
𝑚 X 𝑋 𝑛−𝑘 = 𝛼1 𝑋 4 + 𝛼 3 𝑋 5 + 𝛼 5 𝑋 6

𝑝 𝑋 = 𝛼0 + 𝛼2 𝑋 + 𝛼4 𝑋2 + 𝛼6 𝑋3

𝑈 𝑋 = 𝑝 𝑋 + 𝑚 𝑋 𝑋 𝑛−𝑘
= 𝛼 0 + 𝛼 2 𝑋 + 𝛼 4 𝑋 2 + 𝛼 6 𝑋 3 + 𝛼1 𝑋 4 + 𝛼 3 𝑋 5 + 𝛼 5 𝑋 6

3.6.4.1 LFSR Encoder for a (7, 3) R-S code:

Fig (3.37) LFSR Encoder Implementation

Notes:

1. Number of stages in the shift register is (n – k = 4).


2. Each stage in the shift register holds 3-bit symbols at a time.
3. During the first k clock cycles, switch 1 is closed so that to allow message symbols into the
(n– k) shift registers and switch 2 is in the down position in order to allow simultaneous transfer
of message symbols directly to an output register.
4. After transfer of the kt h message symbol to the output register, switch 1 is opened and switch 2
is moved to the up position.

213
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5. The remaining (n –k) clock cycles clear the parity symbols contained in the shift register by
moving them to the output register.

CLK
INPUT QUEUE CYCLE REGISTER CONTENTS FEEDBACK
α1
α3 α5 0 0 0 0 0 α5
α1
α3 1 α1 α6 α5 α1 α0
α1 2 α3 0 α 4
α2 α4
- 3 α0 α2 α4 α6 -

Table (3.12)

3.6.5 R-S DECODING:

Now, assume that during transmission, this codeword becomes corrupted so that 2 symbols are
received in error. (This number of errors corresponds to the maximum error correcting capability
of the code.)
For this 7-symbol codeword example, the error pattern can be described in polynomial form as
6
𝑒 𝑋 = 𝑛=0 𝑒𝑛 𝑋𝑛 Eqn (3.76)

Or in this example, let the double-symbol error be such


𝑒 𝑋 = 0 + 0𝑋 + 0𝑋 2 + 𝛼 2 𝑋 3 + 𝛼 5 𝑋 4 + 0𝑋 5 + 0𝑋 6
= 000 + 000 𝑋 + 000 𝑋 2 + 001 𝑋 3 + 111 𝑋 4 + 000 𝑋 5 + (000)𝑋 6

In other words, one parity symbol has been corrupted with a l-bit error (seen as α2), and one data
symbol has been corrupted with a 3-bit error (seen as α5).

The received corrupted-codeword polynomial r(X) is then represented by the sum of the
transmitted-codeword polynomial and the error-pattern polynomial as follows:
𝑟 𝑋 = 𝑈 𝑋 + 𝑒(𝑋) Eqn (3.77)
𝑟(𝑋) = 100 + 001 𝑋 + 011 𝑋 + 100 𝑋 + 101 𝑋 4 + 110 𝑋 5
2 3

+ (111)𝑋 6
= 𝛼 0 + 𝛼 2 𝑋 + 𝛼 4 𝑋 2 + 𝛼 6 𝑋 3 + 𝛼1 𝑋 4 + 𝛼 3 𝑋 5 + 𝛼 5 𝑋 6

In this 2-symbol error-correction example, there are four unknowns-two error locations and two
error values. In binary decoding, the decoder only needs to find the error locations. Knowledge

214
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

that there is an error at a particular location dictates that the bit must be "flipped" from a 1 to a 0,
or vice versa. But here, the nonbinary symbols require that we not only learn the error locations,
but that we also determine the correct symbol values at those locations. Since there are four
unknowns in this example, four equations are required for their solution.

3.6.6 SYNDROME COMPUTATION:

The syndrome is the result of a parity check performed on ―r‖. Any nonzero value of the
syndrome ―S‖ indicates the presence of error. Similar to the binary case, ―S‖ is made up of n-k
symbols.
Since U(X) = m(X)g(X), so every valid codeword polynomial U(X) is a multiple of the generator
polynomial g(X). So roots of g(X) must be roots of U(X).
Since r(X) = U(X) + e(X), then r(X) evaluated at roots of g(X) yield zero only when r(X) is a
valid code word.
The computation of a syndrome symbol can be described as:
𝑆𝑖 = 𝑟 𝑋 |𝑋=𝛼 𝑖 = 𝑟 𝛼 𝑖 𝑖 = 1,2, … … … 𝑛 − 𝑘 Eqn (3.78)
𝑆1 = 𝑟 𝛼 = 𝛼 0 + 𝛼 3 + 𝛼 6 + 𝛼 3 + 𝛼 10 + 𝛼 8 + 𝛼 11 = 𝛼 0 + 𝛼 3 + 𝛼 6 + 𝛼 3 + 𝛼 6 + 𝛼 3 +
𝛼 2 + 𝛼1 + 𝛼 4 = 𝛼 3 Eqn (3.79)

𝑆2 = 𝑟 𝛼 2 = 𝛼 0 + 𝛼 4 + 𝛼 8 + 𝛼 6 + 𝛼 14 + 𝛼 13 + 𝛼 17 = 𝛼 0 + 𝛼 4 + 𝛼 1 + +𝛼 6 + 𝛼 0 +
𝛼6 + 𝛼3 = 𝛼5 Eqn (3.80)

𝑆3 = 𝑟 𝛼 3 = 𝛼 0 + 𝛼 5 + 𝛼 10 + 𝛼 9 + 𝛼 18 + 𝛼 18 + 𝛼 23 = 𝛼 0 + 𝛼 5 + 𝛼 3 + 𝛼 2 + 𝛼 4 +
𝛼4 + 𝛼2 = 𝛼6 Eqn (3.81)

𝑆4 = 𝑟 𝛼 4 = 𝛼 0 + 𝛼 6 + 𝛼 12 + 𝛼 12 + 𝛼 22 + 𝛼 23 + 𝛼 29 = 𝛼 0 + 𝛼 6 + 𝛼 5 + 𝛼 5 +𝛼 1 + 𝛼 2 +
𝛼1 = 0 Eqn (3.82)
The results confirm that the received codeword contains an error (which we inserted) since S ≠0.

3.6.7 Error Detection and Correction


3.6.7.1 ERROR LOCATION:

Until now we only know that there are errors in the received code word, but we do not now its
place nor its value. Suppose there are v errors in the codeword at location,
𝑋𝑗 1 𝑋𝑗 2 … … . . 𝑋𝑗 𝑣 Then, the error polynomial can be written as:
𝑒 𝑋 = 𝑒𝑗 1 𝑋𝑗 1 + 𝑒𝑗 2 𝑋𝑗 2 + ⋯ + 𝑒𝑗 𝑣 𝑋𝑗𝑣 Eqn (3.83)

215
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The indices 1, 2,...v refer to the I", 2 nd , . . . , vth errors, and the index J refers to the error
location. To correct the corrupted codeword, each error value 𝑒𝑗 𝑙 and its location𝑋𝑗 𝑙 , where l =
1, 2, ... v must be determined. We define an error locator number as βl = 𝛼 𝑗 𝑙 . Next, we obtain the
n - k = 2t syndrome symbols by substituting αi into the received polynomial for i = 1, 2, ..., 2t:
𝑆1 = 𝑟 𝛼 = 𝑒𝑗 𝛽1 + 𝑒𝑗 𝛽2 + 𝑒𝑗 𝛽3 … + 𝑒𝑗 𝛽𝑣 Eqn (3.84)
1 2 3 𝑣
2 2 2 2
𝑆2 = 𝑟(𝛼 2 ) = 𝑒𝑗 𝛽1 + 𝑒𝑗 𝛽2 + 𝑒𝑗 𝛽3 … + 𝑒𝑗 𝛽𝑣 Eqn(3.85)
1 2 3 𝑣
.
.
.
2𝑡
𝑆1 = 𝑟 𝛼 = 𝑒𝑗 𝛽1
1
+ 𝑒𝑗2 𝛽2 2𝑡 + 𝑒𝑗3 𝛽3 2𝑡 … + 𝑒𝑗𝑣 𝛽𝑣2 𝑡 Eqn(3.86)

There are 2t unknowns (t error values and t locations), and 2t simultaneous equations. However,
these 2t simultaneous equations cannot be solved in the usual way because they are nonlinear (as
some of the unknowns have exponents). Any technique that solves this system of equations is
known as a Reed-Solomon decoding algorithm.
An error-locator polynomial can be defined as:
𝜍 𝑋 = 1 + 𝛽1 𝑋 1 + 𝛽2 𝑋 … … 1 + 𝛽𝑣 𝑋 = 1 + 𝜍1 𝑋1 + 𝜍2 𝑋2 … . . +𝜍𝑣 𝑋𝑣 Eqn
(3.87)

The roots of ζ(X) are 1/β1, 1/ β2, ..., 1/ βv . The reciprocal of the roots of ζ(X) are the error-
location numbers of the error pattern e(X).
Then using autoregressive modeling techniques, we form a matrix from the syndromes, where
the first t syndromes are used to predict the next syndrome. That is,
𝜍𝑡 −𝑆𝑡 + 1
𝑆1 𝑆2 𝑆3 ⋯ 𝑆𝑡 − 1 𝑆𝑡
𝜍𝑡 − 1 −𝑆𝑡 + 2
𝑆2 𝑆3 𝑆4 … 𝑆𝑡 𝑆𝑡 + 1
. .
⋮ ⋱ ⋮ = Eqn(3.88)
. .
𝑆𝑡 − 1 𝑆𝑡 𝑆𝑡 + 1 ⋯ 𝑆2𝑡 − 3 𝑆2𝑡 − 2
𝜍2 −𝑆2𝑡 − 1
𝑆𝑡 𝑆𝑡 + 1 𝑆𝑡 + 2 … 𝑆2𝑡 − 2 𝑆2𝑡 − 1
𝜍1 −𝑆2𝑡

For the (7, 3) double symbol error-correcting R-S code, the matrix size is 2 x 2, and the
model is written as:

𝑆1 𝑆2 𝜍2 𝑆3
= Eqn(3.89)
𝑆2 𝑆3 𝜍1 𝑆4

216
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝛼3 𝛼 5 𝜍2 = 𝛼 6
𝛼5 𝛼 6 𝜍1 0

𝜍2 0
= 𝛼6 Eqn(3.90)
𝜍1 𝛼

NOTE:
ζ1 and ζ2 are not the roots of the locator polynomial, but they represent the coefficients of the
locator polynomial shown in the Eqn(3.). The roots of σ(X) are the reciprocals of the error
locations. Once these roots are located, the error locations will be known. In general, the roots of
σ(X) may be one or more of the elements of the field. We determine these roots by exhaustive
testing of the σ(X) polynomial with each of the field elements as shown below. Any element X
that yields <σ(X) = 0 is a root, and allows us to locate an error:
𝜍 𝛼0 = 𝛼0 + 𝛼6 + 𝛼0 = 𝛼6 ≠ 0
𝜍 𝛼1 = 𝛼 0 + 𝛼 7 + 𝛼 2 = 𝛼 2 ≠ 0
𝜍 𝛼2 = 𝛼0 + 𝛼8 + 𝛼4 = 𝛼6 ≠ 0
𝜍 𝛼 3 = 𝛼 0 + 𝛼 9 + 𝛼 6 = 0---------------------------> ERROR
𝜍 𝛼 4 = 𝛼 0 + 10 + 𝛼 8 = 0---------------------------> ERROR
𝜍 𝛼 5 = 𝛼 0 + 𝛼 11 + 𝛼 10 = 𝛼 2 ≠ 0
𝜍 𝛼 6 = 𝛼 0 + 𝛼 12 + 𝛼 4 = 𝛼 0 ≠ 0

The error locations are at the inverse of the roots of the polynomial. Therefore σ(α3) = 0
indicates that one root exits at 1/βl = α3.Thus, βl =1/ α3 = α4 .
Similarly, σ(α4) =0 indicates that another root exits at 1/ βl` = 1/ α4= α3 So, the error polynomial
is in the form :
𝑗 𝑗
𝑒 𝑋 = 𝑒𝑗 𝑋 1 + 𝑒𝑗 𝑋 2 , the two errors were found at locations α3 and α4 .
1 2

3.6.7.2 ERROR VALUES:

Now, preparing to determine the error values e1 and e2 , associated with locations β1 = α4 and β2 =
α3, any of the four syndrome equations can be used. let use S1 and S2 :
𝑆1 = 𝑟(𝛼) = 𝑒1 𝛽1 + 𝑒2 𝛽2 Eqn (3.91)
𝑆1 = 𝑟 𝛼 2 = 𝑒1 𝛽1 2 + 𝑒2 𝛽2 2 Eqn (3.92)
Writing two equations in matrix form:

217
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝛽1 𝛽2 𝑒1 𝑆1
2 2 =
𝛽1 𝛽2 𝑒2 𝑆2
3 4 𝑒1 3
𝛼 𝛼 = 𝛼5
𝛼 6 𝛼 8 𝑒2 𝛼
𝑒1 𝛼 5 + 𝛼 10 𝛼 5 + 𝛼3 2
= 3 9 = 3 2 = 𝛼5 Eqn (3.93)
𝑒2 𝛼 +𝛼 𝛼 +𝛼 𝛼

3.6.7.3CORRECTING THE RECEIVED CODE WORD:

The estimated error polynomial is formed to yield:


𝑒 𝑋 = 𝛼 2𝑋3 + 𝛼 5𝑋4
𝑈 𝑋 =𝑟 𝑋 +𝑒 𝑋 =𝑈 𝑋 +𝑒 𝑋 + 𝑒(𝑋)
𝑟(𝑋) = 100 + 001 𝑋 + 011 𝑋 2 + 100 𝑋 3 + 101 𝑋 4 + 110 𝑋 5 + (111)𝑋 6
𝑒 (𝑋) = 000 + 000 𝑋 + 000 𝑋 2 + 001 𝑋 3 + 111 𝑋 4 + 000 𝑋 5 + (000)𝑋 6
𝑈 (𝑋) = 100 + 001 𝑋 + 011 𝑋 2 + 101 𝑋 3 + 010 𝑋 4 + 110 𝑋 5 + (111)𝑋 6

= 𝛼 0 + 𝛼 2 𝑋 + 𝛼 4 𝑋 2 + 𝛼 6 𝑋 3 + 𝛼1 𝑋 4 + 𝛼 3 𝑋 5 + 𝛼 5 𝑋 6

Since the message symbols constitute the rightmost k = 3 symbols. The decoded message is
010 110 111

α1 α3 α5

218
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

219
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

220
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

221
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

CHAPTER
FOUR

Orthogonal Frequency Division Multiplexing (OFDM)


4.1 Introduction
 Orthogonal Frequency Division Multiplexing (OFDM) is a digital multicarrier MC
modulation scheme.
 Its basic idea is to divide the transmitted bit stream into many sub streams.
 Each of these sub streams is then sent on a different carrier frequency.

4.1.1 History of OFDM


 The first systems employing MCM were military HF radio links in the late 1950s and
early 1960s, such as KINEPLEX and KATHRYN, where
o Non overlapped, band-limited orthogonal signals were used why?
 Because of the difficulty in the precise control of frequencies of subcarrier
local oscillators and the detection of subcarrier signals with analog filters.
 The concept of OFDM was once abandoned why?
o Because of difficulty in subcarrier recovery without inter-subcarrier interference
by means of analog filters.
o As a result, a number of studies in the 1960s were dedicated for MCM employing
overlapped band-limited orthogonal signals.
o This is because analog filters can easily separate such signals. Note that the name
of ‗‗OFDM‘‘ appeared in the U.S. Patent No. 3 issued in 1970 and this problem
was overcome by the use of the DFT.
 The concept of MCM scheme employing time-limited orthogonal signals, which is all the
same as OFDM, dates back to 1960.
o The classical MCM, employing non overlapped band-limited orthogonal signals,
matches the use of analog subcarrier oscillators and filters not sufficient why?
 It requires much wider bandwidth. If employing the rectangular pulse
waveforms for subcarriers, the frequency spectra of the waveforms are
widely spread and overlapped, although it can save the required
bandwidth.
 Saltzberg studied multicarrier system employing orthogonal time staggered quadrature
amplitude modulation (O-QAM) on the carriers.

222
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 OFDM which employs multiple carriers overlapping in frequency domain was pioneered
by Chang.

4.1.2 Systems use OFDM


 ADSL: Asymmetric Digital subscriber line.
 PLC: Power line communication.
 IEEE802.11 (WLAN): wireless local area networks.
 IEEE802.16 (WiMAX): worldwide interoperability for microwave access
 DAB: Digital audio broadcasting systems.
 DVB: Digital video broadcasting.

4.2 Why OFDM


4.2.1 Time domain analysis
 Assume a channel that has the following impulse response h(t).
 If we send a pulse S(t) over this channel, the pulse shape would be convolved with the
channel impulse response as shown in Figure (4.1).

Figure 4.1 Channel and Pulse in time


 domain
Note that the pulse becomes dispersed or extended in time interfering with surrounding
pulses and causing inter-symbol interference (ISI).
 Now compare the two cases of T >> η and T < η

223
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

T<η
Pulse completely distorted. ISI is significant in this case.

T >> η
Pulse extended but the extension are much smaller than T the output behaves like the transmitted
rectangular pulse.

4.2.2 Frequency domain analysis

Channel Frequency Response H(f)

High rate signal spectrum (narrow pulse).

Low rate Signal spectrum (wide pulse).

Figure 4.2 Channel and Pulse in frequency


domain
 A wideband signal is completely distorted.
 A narrow band signal is essentially seeing a flat channel.

Discussion
 A high data rate transmitted signal has a consequent large bandwidth.
 This means that it subjected to frequency selective or frequency dependant fading, which
can distort the signal significantly.

224
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 One solution is to divide the bandwidth available for transmission into many narrowband
sub channels
 Low data rate sub channel‘s frequency component encounter an almost flat channel. (The
band over which the channel is almost constant is called the coherence bandwidth of the
channel) Relative to the narrow sub channel, the channel is basically a frequency-
independent complex number, i.e.; amplitude and a phase shift.

Conclusion from Both analyses


 Short pulses suffer severely from channel.
 But we need these short pulses in order to send a greater number of them I a given time
period, i.e., to increase data or bit transmission rate.
 One solution is to use short pulses (high data rate) and an ‗equalizer‘ at receiver.

 Equalizer!!!
o The equalizer is a filter that compensates for the distortion induced by channel
characteristics.
o For very high data rates a sophisticated equalizer is needed and may not be ever
feasible.
 Is there another solution? Yes, stick to long pulses. But how can we then increase the data
rate? We can use many frequency channels (called sub channels), and hence the name
FDM (Frequency Division Multiplexing).
 Over each of these sub channels the data rate is low, but taken together and since they
operate in parallel, a very high data rate can be achieved while circumventing the
dispersive influence of the channel.

Figure 4.3 comparisons of SCM and MCM (a) frequency spectra of transmitted signals and (b) frequency
spectra of received signals

225
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.3 Orthogonality
4.3.1 Inter-symbol interference (ISI) and inter-carrier interference (ICI)
4.3.1.1 Inter-symbol interference (ISI)
 Usually refers to interference of an OFDM symbol by previous OFDM symbols.
 In a multipath environment, a transmitted symbol takes different times to reach the
receiver through different propagation paths.
 From the receiver‘s point of view, the channel introduces time dispersion in which the
duration of the received symbol is stretched.
 Extending the symbol duration causes the current received symbol to overlap previous
received symbols and results in inter-symbol interference (ISI).

4.3.1.2 Inter-carrier interference (ICI)


 Interference caused by data symbols on adjacent subcarriers.
 ICI occurs when the multipath channel varies over one OFDM symbol time.
 When this happens, the Doppler shifts on each multipath component cause a frequency
offset on the subcarriers, resulting in the loss of orthogonality among them.
 This situation can be viewed from the time domain perspective, in which the integer
number of cycles for each subcarrier within the FFT interval of the current symbol is no
longer maintained due to the phase transition introduced by the previous symbol.
 Finally, any offset between the subcarrier frequencies of the transmitter and receiver also
introduces ICI to an OFDM symbol.

4.3.2 How to avoid interference


 A smart choice of carrier frequencies can eliminate the interference between sub channels
and render the multicarrier idea effective.
 Assume that we have two carriers ei2πf1 t and ei2πf2 t and the symbol duration is Ts .
 The received signal is X1 (t)ei2πf1 t + X 2 (t)ei2πf2 t . In order to get X1(t), let‘s multiply
the received signal by e−i2πf1 t and integrate over Ts.
1 Ts
 y= 0
X1 + X 2 (t)ei2π(f1− f2) t dt (4.1)
Ts
 Note that the time dependence has removed as X1(t) and X2(t) is constant over Ts.
𝑋2 𝑇𝑠
y= X1 + 0
𝑋2 (𝑡)𝑒 𝑖2𝜋(𝑓1− 𝑓2) 𝑡 𝑑𝑡 (4.2)
𝑇𝑠
𝑖2𝜋 (𝑓 1− 𝑓 2) 𝑇 𝑠
𝑋2 𝑒 −1
y= X1 + + (4.3)
𝑇𝑠 𝑖2𝜋 (𝑓1− 𝑓 2)

 To eliminate the interference on X1, we want the second term to be zero. This occurs
when ei2π(f1−f2) T s = 1. That is2π(f1−f2 )Ts = 2πm , where m is integer .

226
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The smallest separation between the two carriers that satisfies orthogonality and, thus,
eliminates intra-carrier interference (ICI) is f1 − f2 = 1/Ts .
 Using uniformly-spaced subcarriers with a spacing 1/Ts ,i.e., orthogonal sub carriers
explains the ‗O‘ of OFDM.

4.3.3 Orthogonality of OFDM


 In OFDM, the spectra of subcarriers overlap but remain orthogonal to each other.
 This means that at the maximum of each subcarrier spectrum, all the spectra of other
subcarriers are zero.
 The receiver samples data symbols on individual subcarriers at the maximum points and
demodulates them free from any interference from the other subcarriers and hence no ICI.
 The orthogonality of subcarriers can be viewed in either the time domain or in frequency
domain.
o From the time domain perspective, each subcarrier is a sinusoid with an integer
number of cycles within one FFT interval.
o From the frequency domain perspective, this corresponds to each subcarrier
having the maximum value at its own center frequency and zero at the center
frequency of each of the other subcarriers.

Figure 4.4 orthogonal overlapping spectral shapes for an OFDM signal

227
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.3.4 Comparing FDM to OFDM

Spectrum using FDM as


multicarrier technique

Spectrum using OFDM as


multicarrier technique

FDM OFDM

Bandwidth dedicated to All sub-channels are


several sources dedicated to a single
data source
No relationship between Sum of a number of
the carriers orthogonal carriers
There is a guard band No guard band
between carriers between carriers
Low spectral efficiency Better spectral
efficiency
More subject to ISI and Overcomes ISI and
external interference delay spread
Figure 4.5 OFDM among multicarrier
from other RF sources techniques

4.4 OFDM Implementation


4.4.1 The first version
 The first version concepts

228
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o
Keeps the subcarrier frequency fixed.
o
Considers the modulation in time direction for each subcarrier.
o
The data stream is split up into K parallel sub streams.
o
Each sub stream is modulated on its own subcarrier at frequency fk in the
complex baseband, described by the complex harmonic wave exp (j2πfkt).
o We denote the complex (e.g. PSK or QAM) modulation symbols by skl,
where k is the frequency index and l is the time index.
o With a baseband transmission pulse g (t), this setup can be visualized by
Figure
 The complex baseband signal is then given by the expression:
.
𝑠 𝑡 = 𝑘 𝑒 𝑖2𝜋 𝑓𝑘 𝑡 𝑙. Skl g t − lTs ,
Where TS is the parallel symbol duration
 As shown in figure The parallel data stream excites replicas of the same pulse shaping
filter g(t), and the filtered signals are modulated on the different carriers and summed up
before transmission.

Figure 4.6 First version for OFDM

4.4.2 The second version


 The second version concepts
o Keeps a time slot of length TS fixed.
o Considers modulation in frequency direction for each time slot.
o Starts with a base transmit pulse g(t).then obtains frequency-shifted replicas of this
pulse as

229
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑔𝑘 𝑡 = 𝑒 𝑖2𝜋𝑓𝑘 𝑡 𝑔(𝑡)
(4.4)
 That is, if g(t) = g0(t) is located at the frequency f = 0, then gk (t) is located at f = fk.
 In contrast to the first scheme, for each time instant l, the set of K (or K + 1) modulation
symbols is transmitted by using different pulse shapes gk(t).
 The parallel data stream excites a filter bank of K (or K + 1) different band pass filters.
The filter outputs are then summed up before transmission. This setup is depicted in
Figure

 The transmit signal in the complex baseband representation is given by:


. .

𝑠 𝑡 = Skl gk t − lTs (4.5)


𝑙 𝑘
 It is obvious that we come back to the first setup if we replace the modulation symbols skl
by skl e −j2πfklTS in Equation. Such a time-frequency-dependent phase rotation does not
change the performance, so both methods can be regarded as equivalent.
 However, the second – the filter bank – point of view is closer to implementation,
especially for the case of OFDM, where the filter bank is just an FFT, as it will be shown
later.
 In the following discussion, we will refer to the second point of view.

Figure 4.7 Second version for OFDM

4.4.3 Implementation using FFT/IFFT


4.4.3.1 Basic Idea
 The complex envelope of transmitted multicarrier signal becomes
0 1 2 3
 𝑥 𝑡 = 𝑥 0 𝑒 𝑖2𝜋 𝑇𝑠 𝑡 + 𝑥 1 𝑒 𝑖2𝜋 𝑇𝑠 𝑡 + 𝑥 2 𝑒 𝑖2𝜋 𝑇𝑠 𝑡 + 𝑥 3 𝑒 𝑖2𝜋 𝑇𝑠 𝑡 + ⋯
𝑘
𝑛 𝑖2𝜋 𝑇𝑠 𝑡
= 𝑘 =0
x(k) 𝑒 (4.6)

230
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 If we sample X(t) at nT 0 where T 0 is the reciprocal of the total system‘s bandwidth (since
𝑇𝑠
Ts is roughly the reciprocal of the sub channels bandwidth, =N, where N is the number
𝑇0
of sub channels) so
𝒌 𝒌𝒏
𝒙 𝒏 = .𝒌 𝐱 𝐤 𝒆𝒊𝟐𝝅𝑻𝒔𝒏𝑻𝒐 = 𝒌. 𝐱 𝐤 𝒆𝒊𝟐𝝅 𝑵 (4.7)
 This looks pretty much like Discrete Fourier Transform (DFT) and its inverse (IDFT).
 If we employ the formula of DFT and IDFT then N consecutive samples of X(n) can be
thought of as IDFT of N symbols of X(k).
 This is a great advantage for OFDM because it can be efficiently implemented using Fast
Fourier Transform FFT.
 Then the OFDM is implemented using FFT/IFFT as shown in figure

Figure 4.8 OFDM implemented by IFFT/FFT


Figure 4.8 OFDM implemented by IFFT/FFT
 In order to overcome the daunting requirement for L RF radios in both the transmitter and
the receiver, OFDM uses an efficient computational technique, discrete Fourier transform
(DFT).
 DFT
o It leads itself to a highly efficient implementation commonly known as the fast
Fourier transform (FFT).
o The FFT and its inverse, the IFFT, can create a multitude of orthogonal
subcarriers using a single radio

231
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.5 FFT / IFFT


4.5.1 FFT Algorithm
DFT is denoted that Discrete Fourier Transform
DFT is given by:
𝑁−1
2𝜋
𝐴𝑘 = 𝑒−𝑖 𝑁 𝑘𝑛 𝑎𝑛 (4.8)
𝑛=0
Most commonly written as:
𝑁−1
𝐴𝑘 = 𝑊𝑘𝑛
𝑁 𝑎𝑛 (4.9)
𝑛=0
Where WN is the Nth root of unity and equal

Figure 4.9 Nth root of unity for k=0,1,…….,N-1


2𝜋
𝑊𝑁 = 𝑒 −𝑖 𝑁 (4.10)

4.5.2 Example of DFT for N=4:


−iπ
W4 = e 2 = −i, and
3
Ak = (−i)knan = a0 + (−i)k a1 + (−i)2k a2 + (−i)3k a3
n=0
So
𝐴0 = 𝑎0 + 𝑎1 + 𝑎2 + 𝑎3
𝐴1 = 𝑎0 − 𝑖𝑎1 − 𝑎2 + 𝑖𝑎3
𝐴2 = 𝑎0 − 𝑎1 + 𝑎2 − 𝑎3
𝑨𝟑 = 𝒂𝟎 + 𝒊𝒂𝟏 − 𝒂𝟐 − 𝒊𝒂𝟑

To compute A quickly we can pre-compute common sub-expressions

232
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝐴0 = 𝑎0 + 𝑎2 + (𝑎1 + 𝑎3 )
𝐴1 = 𝑎0 − 𝑎2 − 𝑖(𝑎1 − 𝑎3 )
𝐴2 = 𝑎0 + 𝑎2 − 𝑎1 + 𝑎3
𝐴3 = 𝑎0 − 𝑎2 + 𝑖 𝑎1 − 𝑎3

From here comes the idea of FFT algorithm


 FFT transform algorithm can be obtained by few steps:
 The basic unit of FFT is the butterfly shown in the figure where p and Q are two complex
numbers

Figure 4.10 Butterfly basic unit

Steps:
(Along with an example on how to compute FFT for N=4)
(1) Compute number of stage = log2(N)
For N=4 No. of stages =2
(2) Perform Bit reversal of inputs : (Nj is bit reversal of j)
J 0 1 2 3
Nj 0 2 1 3
J base 2 00 01 10 11
Nj base 2 00 10 01 11

(3) Apply the first stage butterfly:


Consist of N/2 butterflies using adjacent pair of numbers (Nj) in the buffer And
coefficient (WN) have exponents increasing by steps N/2 (Modulo N)

233
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure 4.11 Butterfly step 3

(4) Apply the second stage butterfly:


Using pairs that are separated by two And coefficient have exponents increasing by steps
N/4 (Modulo N)

Figure 4.12 Butterfly step 4

234
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.5.3 How fast is FFT?


 Let us first define the big O symbol:
 It is the symbol that donates the number of steps taken to compute an equation with a
Fast Fourier transform.
 The resulting algorithm takes O(N log2 N) arithmetic operations while DFT takes O(N 2)
complex multiplies and adds.

 For FFT:
o Each butterfly one complex multiplies and 2 complexes add. We have N/2
butterflies/stage and log2 N stages.
 For DFT:

o 4 N2 real multiplies and 4 N2 real adds.

4.6 Guard Time Insertion and Cyclic Prefix


4.6.1 Guard Time Insertion
 We call X(0), X(1), X(2), X(3),……………………….. X(N-1) an OFDM symbol.
 This is result of one IFFT operation. In the receiver one FFT operation recovers the
transmitted data.
 These is the problem, however if we send successive OFDM symbols, one directly after
another. Since the channel acts like a filter.
 Channel‘s impulse response is convolved with sequence X(n), there by causing one
OFDM symbol to spill over another, impairing data recovery at the receiver via FFT.
 Analysis

o Transmitted sequence 
X‘(0), X‘(1), X‘(2), X‘(3),….. X‘(L-1), X(0), X(1), X(2), X(3),…X(N-1)
o Discredited channel filter 
h(µ)………………… h(2), h(1),h(o)

o The out put of convolution at this instance= X(0)h(0)+X‘(N-1)h(1)+X‘(N-2)h(2)
o We can note that symbols are mixed.
o In order to solve this problem, we create a guard period between successive
OFDM symbols such that even the worst channel delay doesn‘t cause
interference.

o As the followinginsert µ zeros


X‘(0), X‘(1), X‘(2),…….. X‘(N-1),0000………….000X(0), X(1), X(2),……... X(N-1)
h(µ)…… h(2), h(1),h(o)


235
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o Now we haven‘t mixing between X and X‘.


o Actually the guard period is not filled with zeros as previous.
o ‗V‘ values from the end of the X sequence are copied and used in the guard region
which achieve a circular convolution and known as cyclic prefix.

4.6.2 Cyclic Prefix


 The key to making OFDM realizable in practice is the use of the FFT algorithm.
 It has low complexity.
 In order for the IFFT/FFT to create an ISI-free channel, the channel must appear to
provide a circular convolution. Adding cyclic prefix to the transmitted signal.
 As the followingcopy µ values from the end of the x sequence and use them in the
guard region.

X‘(0), X‘(1), X‘(2),… X‘(N-1), X(N-µ),….. X(N-2),X(N-1),X(0), X(1), X(2),. X(N-1)


h(µ)………….… h(2), h(1),h(o)

In addition to preventing interference between X,X‘ the cyclic prefix above realizes the purpose
of the OFDM by making each sub channel see a flat channel response.

Proof:
let y(m) is the output from the channel
µ
1
𝑦 𝑚 = 𝑕 𝑛 𝑥(𝑚 − 𝑛) (4.11)
𝑁 𝑛=0

At the receiver (DFT)


𝑁−1
1 𝑖2𝜋𝑚𝑘
𝑁𝑌 𝑘 = 𝑦(𝑚) 𝑒− 𝑁 (4.12)
𝑁 𝑚=0
𝑁−1 µ
1 𝑖2𝜋𝑚𝑘
= 𝑕 𝑛 𝑥(𝑚 − 𝑛) 𝑒 − 𝑁 (4.13)
𝑁 𝑚=0 𝑛=0
𝑣 𝑁−1
1 𝑖2𝜋𝑚𝑘 𝑖2𝜋(𝑚−𝑛)𝑘
= 𝑕 𝑛 𝑒− 𝑁 𝑥(𝑚 − 𝑛)𝑒 − 𝑁 (4.14)
𝑁 𝑛=0 𝑚 =0

𝑁−1
𝑖2𝜋 𝑚−𝑛 𝑘 𝑖2𝜋 −𝑛 𝑘 𝑖2𝜋 1−𝑛 𝑘
𝑥 𝑚 − 𝑛 𝑒− 𝑁 = 𝑥 −𝑛 𝑒− 𝑁 + 𝑥 1 − 𝑛 𝑒− 𝑁 + ⋯
𝑚=0
𝑖2𝜋 1 𝑘 𝑖2𝜋 2 𝑘 𝑖2𝜋 𝑁 −1−𝑛 𝑘
𝑥 0 + 𝑥 1 𝑒− 𝑁 + 𝑥 2 𝑒− 𝑁 + ⋯ 𝑥 𝑁 − 1 − 𝑛 𝑒− 𝑁 (4.15)

236
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

But X(-n)=X(N-n) that‘s how the cyclic prefix was added


Also
𝑖2𝜋(−𝑛)𝑘 𝑖2𝜋(𝑁−𝑛)𝑘
𝑒− 𝑁 = 𝑒− 𝑁 (4.16)
So
𝑁−1
𝑖2𝜋 𝑚−𝑛 𝑘
𝑥 𝑚 − 𝑛 𝑒− 𝑁
𝑚=0
𝑖2𝜋 1 𝑘 𝑖2𝜋 2 𝑘 𝑖2𝜋 𝑁−1−𝑛 𝑘
= 𝑥 0 + 𝑥 1 𝑒− 𝑁 + 𝑥 2 𝑒− 𝑁 + 𝑥 𝑁 − 1 − 𝑛 𝑒− 𝑁
𝑖2𝜋 𝑁−𝑛 𝑘
+𝑥 𝑁− 𝑛 𝑒− 𝑁 +⋯
𝑖2𝜋 𝑁−1 𝑘

𝑥 𝑁−1 𝑒 𝑁 = 𝑁𝑋 𝑘 (4.17)
So
𝑣
1 𝑖2𝜋𝑚𝑘
𝑁𝑌 𝑘 = 𝑕 𝑛 𝑒− 𝑁 𝑁𝑋 𝑘 (4.18)
𝑁 𝑛=0
𝑣
1 𝑖2𝜋𝑚𝑘
𝑌 𝑘 = 𝑋 𝑘 𝑕 𝑛 𝑒− 𝑁 (4.19)
𝑁 𝑛=0

𝑖2𝜋𝑚𝑘 𝑖2𝜋𝑚𝑘
But 1
𝑁
𝑣
𝑛=0 𝑕 𝑛 𝑒− 𝑁 = 1
𝑁
𝑣𝑁−1
𝑛=0 𝑕 𝑛 𝑒− 𝑁 = 𝐻(𝑘) for h(n) = 0 for n ≥ v+1

Now we can say that


𝑌 𝑘 =𝑋 𝑘 𝐻 𝑘 (4.20)

I.e. Output Y(k) is the input X(k) multiplied by the complex number H(k).

4.6.3 Cyclic prefix advantages


1. We see that this is exactly the value of y0, y1, …., yL-1 resulting from y = x * h. Thus,
by mimicking a circular convolution, a cyclic prefix that is at least as long as the channel
duration allows the channel output y to be decomposed into a simple multiplication of the
channel frequency response H=DFT{h} and the channel frequency domain input,
X=DFT{x}.
2. The cyclic prefix is elegant and simple
3. In addition to preventing interference between X,X‘ the cyclic prefix above realizes the
purpose of the OFDM by making each sub channel see a flat channel response.

4.6.4 Cyclic prefix disadvantages


 The cyclic prefix is not entirely free.
 It comes with both a bandwidth and power penalty.
 Since µ redundant symbols are sent, the required bandwidth for OFDM increases from B
to (N+µ/N)B.

237
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Similarly, an additional µ symbol must be counted against the transmit-power budget.


Hence, the cyclic prefix carries a power penalty of 10 log10 (N+µ/N) dB in addition to the
bandwidth penalty.
 In summary, the use of the cyclic prefix entails data rate and power losses that are both

𝑵
𝐑𝐚𝐭𝐞 𝐋𝐨𝐬𝐬 = 𝐏𝐨𝐰𝐞𝐫 𝐋𝐨𝐬𝐬 = (𝟒. 𝟐𝟏)
𝑵+µ
 The ―wasted‖ power has increased importance in an interference-limited wireless system,
causing interference to neighboring users.
 It can be noted that for N>>µ, the inefficiency owing to the cyclic prefix can be made
arbitrarily small by increasing the number of subcarriers.
 The cyclic prefix provides a guard interval for all multipaths following the first arrival
signal. As a result the timing requirement of the observation window is quite relaxed (up
to max ambiguity).
 On the other hand, timing estimation often hinges on the multipath signal with the highest
strength, which in some cases may not be the first arrival signal.
 To increase the robustness of the receiver, the guard interval is often split into cyclic pre-
fix and post-fix as in Figure to guard against early and late (relative to the strongest path)
multipath signals.

Figure 4.13 Cyclic prefix and postfix


4.7 OFDM Based Systems
4.7.1 Coded OFDM
 Unfortunately, OFDM, when implemented conies with a severe drawback that limits its
applicability.
 When implemented as shown before, the performance of OFDM, measured in terms of
probability of error, is very poor.
 The reason for the poor performance follows. In each narrow band carrier, the low-rate
data stream experiences a single gain or attenuation.
 While this is good from the standpoint of simplifying receiver complexity why?

o It is quite unfortunate when it comes to receiver performance. Specifically, in


times of deep fade, i.e., in times when one of the low-rate data streams
experiences a large attenuation.
o The data is effectively lost, and can not be recovered at the receiver side.

238
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Since data communication typically requires a probability of error in the order of or (one
error in every 100,000 or every 1,000,000), OFDM systems can not be used as is.
 To improve OFDM such that it achieves the desired performance benchmarks, coded
OFDM, or COFDM for short, was introduced.

o The idea underlying coded OFDM is the incoming data stream first enters a
convolutional coder, typically of rate ½ and constraint length 7.
o This maps every incoming bit into two outgoing bits, with the extra bit added to
enable the receiver to detect and correct bit errors.
o Following the convolutional coder is an interleaver, which reorders the incoming
bits.
o Specifically, the interleaver spaces bits such that the 2 bits output from the
convolutional coder (for each input bit) are NOT sent on adjacent carriers, but are
sent out on carriers that are far apart from one another.

 Next, the usual OFDM transmitter is employed.


 At the receiver side the received bits are returned to baseband using an appropriately
selected mixer, and the low-rate data streams are separated from one another by
application of the appropriate carrier and an integrator.
 After separation, the decision device is replaced by:

o A deinterleaver, which realigns the information bits in correct order (its order
prior to interleaving.
o A soft-decision Viterbi decoder, which performs error correction and outputs
corrected data bits.

 The benefits of COFDM (over OFDM), in terms of performance improvement, are two-
fold. First, and most apparent, is the benefit that the convolutional channel coding brings.
 This channel coding allows the receiver to correct for errors in transmission. The second
performance benefit comes via the interleaver, which creates a diversity benefit.
 The interleaver ensures that the 2 bits output by the channel coder (for each incoming bit)
are sent on carriers that are far apart from one another.This leads to a frequency diversity
benefit. Specifically, since each of the 2 bits output from the channel coder is positioned
at a very different carrier, each bit experiences a unique gain (a unique fade).
 It is unlikely that both these bits are experiencing a deep attenuation (although one of
them may be), and as a result one of the two bits (representing an initial incoming bit) is
likely to make it to the receiver intact.
 As a direct result, probability of error performance is improved.

239
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure 4.14 COFDM transmitter Block Diagram

Figure 4.15 COFDM receiver Block Diagram

4.7.2 OFDM Transmitter

Figure 4.16 OFDM transmitter Block Diagram


 The bit sequence is first subjected to channel encoding to reduce the probability of error
at the receiver due to the channel effects.
 The bits are mapped to symbols of either 16-QAM or QPSK.
 The symbol sequence is converted to parallel format
 IFFT (OFDM modulation) is applied to convert the block of frequency data to a block of
time data that modulates the carrier.
 The sequence is once again converted to the serial format.
 Guard time is provided between the OFDM symbols and the guard time is filled with the
cyclic extension of the OFDM symbol.

240
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Windowing is applied to the OFDM symbols to make the fall-off rate of the spectrum
steeper.
 The resulting sequence is converted to an analog signal using a DAC and passed on to the
bits
RF modulation stage. Serial IFFT parallel guard &
Channel Symbol
to (modul to cyclic ext & DAC
 The resulting
Coding map
RF modulated signal is, then,
parallel transmitted
ation) serialto the receiver
windowing using the transmit
antennas.
OFDM Transmitter
RF tx.
4.7.3 OFDM receiver ----------------------------
symbol
timing
parallel Serial Remove Timing &
to FFT to cyclic freq. ADC RF rx.
serial parallel extension synch.

symbol Decodi
bits frequency
demap ng corrected signal
OFDM Receiver
------------------------

Figure 4.17 OFDM receiver Block Diagram

 At the receiver, first RF demodulation is performed.


 Then the signal is digitized using an ADC.
 Timing and frequency synchronization are performed.
 The guard time is removed from each OFDM symbol.
 The sequence is converted to parallel format.
 FFT (OFDM demodulation) is applied to get back to the frequency domain.
 The output is then serialized.
 Symbol de-mapping is done to get back the coded bit sequence.
 Channel decoding is, then, done to get the user bit sequence.

4.7.4 IEEE 802.11a WLAN standard


 T0=50 ns,
 Bandwidth=1/50 ns =20 MHz
 Number of FFT points N= 64.
 Ts=N*T0=3.2µs.
20 𝑀𝐻𝑧
 Inter carrier spacing = = 312.5 𝐾𝐻𝑧 and 16 samples are added as cyclic prefix.
64
 So the input to IFFT is maintained for a time of T s + 16* 50 ns = 4 µs.
 Not all N subcarriers are used why?

241
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o Because of the low pass pulse shaping filters required for analog-to-digital (A/D)
and digital-to-analog(D/A) conversion of transmitted and received base band
signals The sub carrier close to 1/T 0 will be attenuated by these filters.
o Also the zero-frequency (DC) sub carrier might be heavily distorted by DC offset
of the ADCs and DACs and should this be avoided for data.
 Out of 64 subcarriers, only 52 carry signal and the other 12 are zeros because of the issue
discussed in the previous paragraph. Four of 52 sub carriers are used as pilots and 48 are
used for data.
 Since 48 data symbols are fed to IFFT every 4 µs, the symbol rate is
48
= 12 𝑀𝑆𝑦𝑚𝑏𝑜𝑙 /𝑆𝑒𝑐
4 µs
 In order to get the bit rate two other pieces of information are needed ‗The modulation
scheme‘ and ‗Code Rate‘. Coding involves adding redundant bits to reduce bit error rate.
Code rate is ratio of number of data bits to the total number of bits.

Example:
PBSK and code rate =1/2
In BPSK we have 1 bit/ symbol
Bit Rate = Symbol Rate * 1 * 1/2 = 6Mbps
16-QAM and code rate = 3/4
Bit Rate = Symbol Rate * 6 * 3/4 = 54Mbps

4.8 OFDM Advantages


 Provide very high data rates without effect of intersymbol interference.
 Convert frequency selective fading to subchannels each experience flat fading.
 Very simple equalization.
 High spectral efficiency
o Due to nearly rectangular frequency spectrum for high numbers of sub-carriers.
o OFDM is a highly efficient modulation scheme which has been shown to
approach the information theoretical capacity with water-filling across its
subcarriers.
o Although subcarrier-based power loading is less feasible in practice, adaptive
coded modulation on OFDM sub-channels (each sub-channel comprises of a
group of subcarriers) has already been adopted into IEEE standards.
o

 Graceful degradation of performance under excess delay


o The performance of an OFDM system degrades gracefully as the delay spread
exceeds the value designed for.

242
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o Greater coding and low constellation sizes can be used to provide fallback rates
that are significantly more robust against delay spread.
o In other words, OFDM is well suited for adaptive modulation and coding, which
allows the system to make the best of the available channel conditions.
o This contrasts with the abrupt degradation owing to error propagation that single-
carrier systems experience as the delay spread exceeds the value for which the
equalizer is designed.
o Efficient multiplexing technique for multipath channels where delay spread can
easily mitigiated with the cyclic prefix.
 Low complex receivers due to the avoidance of ISI and ICI with a sufficiently long guard
interval.
 Flexible spectrum adaptation can be realized, e.g., notch filtering.
 Deep fading affects only a small percentage of symbols and hence communication link is
harder to break.
 Reduced computational complexity
o OFDM can be easily implemented using FFT/ IFFT, and the processing requirements
grow only slightly faster than linearly with data rate or bandwidth.
o The computational complexity of OFDM can be shown to be O (B*log (BT m)) where
B is the bandwidth and Tm is the delay spread.
o This complexity is much lower than that of a standard equalizer-based systems, which
have a complexity O(B2 Tm).
o OFDM has a simple implementation since the use of FFT and IFFT in OFDM reduces
the modem complexity, especially at the receiver.
o With the FFT, the number of operations in each OFDM symbol is on the order of N
log N.
o The implementation complexity of single carrier system with an equalizer is at least
NLe , where Le, is the number of taps in the equalizer.
 Exploitation of frequency diversity
o OFDM facilitates coding and interleaving across subcarriers in the frequency domain,
which can provide robustness against burst errors caused by portions of the
transmitted spectrum undergoing deep fades.
o In fact, WiMAX defines subcarrier permutations that allow systems to exploit this.
o In other words, OFDM is resistant to fading and interference as it is robust against
frequency selective fading and interference.
o With channel state information, maximum likely hood detection can be effectively
implemented for any given channel profile or interference pattern.

 Use as a multi-access scheme:


o OFDM can be used as a multi-access scheme, where different tones are partitioned
among multiple users.

243
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o This scheme is referred to as OFDMA and is exploited in mobile WiMAX.


o This scheme also offers the ability to provide fine granularity in channel allocation.
o In relatively slow time-varying channels, it is possible to significantly enhance the
capacity by adapting the data rate per subscriber according to the signal-to-noise ratio
of that particular subcarrier.

 Robust against narrowband interference:

o OFDM is relatively robust against narrowband interference, since such


interference affects only a fraction of the subcarriers.

 Suitable for coherent demodulation:

o It is relatively easy to do pilot-based channel estimation in OFDM systems, which


renders them suitable for coherent demodulation schemes that are more power
efficient.

4.9 OFDM Drawbacks


 Despite these advantages, OFDM techniques also face several challenges.
o Multi-carrier signals with high peak-to-average power ratio (PAPR) require high
linear amplifiers. Otherwise, performance degradations occur and the out-of-band
power will be enhanced.
o Loss in spectral efficiency due to the guard interval.
o More sensitive to Doppler spreads then single-carrier modulated systems.
o Phase noise caused by the imperfections of the transmitter and receiver oscillators
influence the system performance.
o Out of Band radiation.
o Accurate frequency and time synchronization is required.

4.9.1 Peak to Average Power Ratio (PAPR)


 Since the OFDM signal is the superposition of low rate streams modulated at different
frequencies, its time-domain dynamic range increases with the number of subcarriers.
 The high peak-to-average power ratio (PAPR) imposes stringent requirements on the
A/Ds and D/As, and more importantly, on the linearity of the power amplifier (PA).

4.9.1.1 PAPR problem discussion

 What is the peak power of x(n) ?


𝑖2𝜋𝑘𝑛
1 𝑁−1
𝑥 𝑛 = 𝑁 𝑘=0 𝑋(𝑘) 𝑒
𝑁 (4.22)

244
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1 𝑁−1 𝑁
𝑥 0 = 𝑘 =0 𝑋(𝑘) = = 𝑁 If X(k) = 1 for every k
𝑁 𝑁
(4.23)
| x(0) |2 = N

 What is the average power E(| x(n) |2 ) ?


𝑖2𝜋 𝑘 −𝑙 𝑛
E|x n |2 = 1
𝑁
𝑁−1
𝑘 =0
𝑁−1
𝑙 =0 𝐸(𝑥 𝑘 𝑥 ∗ 𝑙 ) 𝑒− 𝑁 (4.24)

 Assume BPSK modulation


𝑥 𝑘 = 1 With probability 1/2
𝑥 𝑘 = −1 With probability 1/2
E(| x(k) |2) = 12(1/2) + (-1)2(1/2) = 1 (4.25)
E( x(k)) = 1(1/2) + (-1)(1/2) = 0 (4.26)

 Assume also that x(k) and x(l) are uncorrelated


If 𝐾 ≠ 𝑙 that is means that E(x(k)x*(l) = 1 if 𝐾 = 𝑙, zero if 𝐾 ≠ 𝑙
𝑁−1
1
E|x n |2 = E|x k |2 = 1 (4.27)
𝑁
𝑘 =0
𝑁
 Peak to Average Ratio (PAR) is thus =𝑁 (4.28)
1
 This is always is a big number.
 The dynamic range of the transmitted signal‘s amplitude is huge, and this poses a design
problem for power amplitudes used to boost signal power before transmission.
 In order not to distort the signal power amplified the response of the power amplifier
must be linear over the range of signal amplitude.
 If the amplitude change widely (as is the case here), it would be very difficult to sustain
linearity over the whole amplitude range.
 Large PAR is one of OFDM problem. Attempts to solve it include coding, correcting for
amplifier nonlinearities, etc.

4.9.1.2 Methods of reduction of PAPR:

 Signal distortion:
o Clipping
o Peak cancellation.
o Pulse shaping.
 Coding:
o Direct error correcting codes
o PAPR reduction codes.
o Signal scrambling.
 Carrier selection methods
o Carrier interferometry techniques.

245
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o Adaptive subcarrier selection.


o Selected mapping. Figure 4.18 OFDM signal with
o Tone injection and reservation. high PAPR passes through
Some of these techniques will be discussed below nonlinear amplifier

1-Block Coding
 A block coding scheme for reduction of PAPR to find code words with minimum PAPR
from a given set of code words.
 Then map the input data blocks of these selected code words.
 Thus, it avoids transmitting the code words which generates high Peak Envelop Power.
 But, this reduction of PAPR is at the expense of a decrease in coding rate.
 It has 2.48 dB with ¾ rate block code for four carrier signal.
 For large number of carriers, necessary code sets exist but encoding and decoding is also
difficult task.
 It is not suitable for higher order bit rates or large number of carriers.

2-M sequences
 The use of m-sequences for PAPR reduction is done by mapping a block of m input bits
to an m-sequences 𝑪𝟎 … . . 𝑪𝑵−𝟏 of length 𝑵 = 𝟐𝒎 − 𝟏.
 This results in a code rate of (𝒎/𝟐𝒎 − 𝟏).
 The m-sequences are a class of (𝟐𝒎 − 𝟏, m) cyclic codes obtained from a primitive
polynomial of degree m over GF(2).
 It was shown that the achievable PAPR is only between 5dB to 7.3 dB for m between 3
and 10.
 The problem with this approach is the extremely low rate for large values of m.

3-Selective Scrambling
 Method is to form four code words in which the first two bits are 00, 01, 10 and 11
respectively.
 The message bits are first scrambled by four fixed cyclically in equivalent m-sequences.
 Then the one with the lowest PAPR is selected and one of the pair of bits defined earlier
is appended at the beginning of the selected sequence.
 At the receiver, these first two bits are used to select the suitable descrambler.
 When a scrambled binary sequence with high proportion of 1s or 0s is applied to N- point
IFFT OFDM modulator, it will give a signal with high PAPR.
 A scrambled binary sequence of length 2N with a Hamming weight close to N will often
generate low PAPR.
 Selecting structured scrambled sequence is critical.
 PAPR is typically reduced to 2% of the maximum possible value while incurring
negligible redundancy in a practical system.

246
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4-Clipping and Filtering


 Deliberate clipping is a simple approach and, since the large peaks occur with a very low
probability, clipping could be on effective technique for the reduction of the PAPR.
 However, clipping is a nonlinear process and may cause significant in band distortion,
which degrades the bit error rate performance, and out of band noise, which reduces the
spectral efficiency.
 Filtering after clipping can reduce the spectral splatter but may also cause some peak
regrowth.
 If digital signals are clipped directly, the resulting clipping noise will all fall in-band and
can not be reduced by filtering.
 To avoid this aliasing problem, oversample each OFDM block by padding the original
input with zeros and taking a longer IFFT.
 Filtering after clipping is required to reduce the out of band clipping noise.
 The other approach to clipping is to use Forward Error Correcting codes and band pass
filtering with clipping.
 This method improves the bit error rate performance and spectral efficiency.

5-Peak Windowing
 The simplest way to reduce the PAPR is to clip the signal.
 But this significantly increases the out of band radiation.
 A different approach is to multiply large signal peak with a Gaussian shaped.
 But, in fact any window can be used, provided it has good spectral properties.
 Since the OFDM signal is multiplied with several of these windows the resulting
spectrum is a convolution of the original OFDM spectrum with the spectrum of the
applied window.
 So, ideally the window should be as narrow band as possible.
 On the other hand, the window should not be too long in the time domain why??
 Because that implies that many signal samples are affected .which increases the bit error
ratio.
 Examples of suitable window functions are the Cosine, Kaiser and Hamming window.
 PAPR could be achieved independent from number of sub-carriers, at the cost of a slight
increase in BER and out of band radiation.

6-Selected Mapping (SLM)


 This method is to reduce PAPR for a wide range of applications.
 Because of the statistical independence of the carriers, the corresponding time domain
samples v[k], k=1 ...D in the equivalent complex valued low pass domains are
approximately Gaussian distributed.
 This results in a high peak to average power ratio.

247
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Because of varying assignment of data to the transmit signal, this method is called
―Selected Mapping‖. The core is to choose one particular signal, which exhibits some
desired properties out of N signal representing the same information.
 Generating OFDM frames representing the same information is as follows.
(𝒏) (𝒏) (𝒏) (𝒏) (𝒏) (𝒏)
o Define N distinct vectors 𝒑(𝒏) = [𝒑𝟏 𝒑𝟐 𝒑𝟑 … 𝒑𝑫 ] with 𝒑𝝁 = 𝒆𝒋∅𝝁
(𝒏)
o Where ∅𝝁 ∈ 𝟎, 𝟐𝝅 𝝁 = 𝟏 ⋯ ⋯ 𝑫 𝒂𝒏𝒅 𝒏 = 𝟏 ⋯ ⋯ 𝑵
o After mapping the information to the carriers V[m] each OFDM frame is
multiplied Carrierwise with the N vectors 𝒑(𝒏), resulting in a set of N different
frames with components.
𝒏
𝑽𝒏 𝝁 = 𝑽 𝝁 𝒆𝒋∅𝝁 (𝟒. 𝟐𝟗)
o Then all N frames are transformed into the time domain and the one with the
lowest PAPR is selected for transmission.
o To recover data, the receiver has to know which vector 𝒑(𝒏) has actually used and
the number n of the vector is transmitted to the receiver as side in formation.
 This method can be used for arbitrary number of carriers and any signal constellation.
 It provides significant gain against moderate additional complexity.

4.9.2 Sensitivity to frequency offset:


 OFDM has strong tolerance against timing offset because of the cyclic guard interval.
 On the other hand, its tightly packed subcarriers give rise to increased sensitivity with
respect to carrier frequency errors (oscillator impairments etc.) and inter-channel
interference (ICI).
 The extend of performance degradation due to carrier frequency offset is a function of the
subcarrier spacing, the size of the FFT, and the modulation schemes used.
 There can be a mismatch between transmitted carrier frequency and the frequency of the
carrier locally generated at the receiver. The frequency offset, ∆f, degrades orthogonality
between subcarriers and causes inter carrier interference, ICI.
 Assume that one subcarrier carries data, and assume a flat channel of unity gain.
𝑖2𝜋𝑙𝑡
1
𝑟 𝑡 = 𝑥(𝑙) 𝑒 𝑇𝑠 𝑒 𝑖2𝜋𝑓𝑜 𝑡 (4.30)
𝑁
Where
𝑓𝑜 𝑖𝑠 𝑡𝑕𝑒 𝑐𝑎𝑟𝑟𝑖𝑒𝑟 𝑓𝑟𝑒𝑞𝑢𝑒𝑛𝑐𝑦 𝑓𝑜𝑟 𝑚𝑜𝑑𝑢𝑙𝑎𝑡𝑖𝑜𝑛
𝑙
𝑖𝑠 𝑡𝑕𝑒 𝑠𝑢𝑏𝑐𝑎𝑟𝑟𝑖𝑒𝑟 𝑓𝑟𝑒𝑞𝑢𝑒𝑛𝑐𝑦 𝑜𝑓 𝑡𝑕𝑒 𝑙𝑡𝑕 𝑠𝑢𝑏𝑐𝑎𝑟𝑟𝑖𝑒𝑟 𝑐𝑎𝑟𝑟𝑦𝑖𝑛𝑔 𝑑𝑎𝑡𝑎
𝑇𝑠
 In the receiver, first demodulation is done by multiplying 𝑟(𝑡) by 𝑒 −𝑖2𝜋𝑓𝑙𝑜 𝑡 where 𝑓𝑙𝑜 is
the local oscillator frequency.
 Assume 𝑓𝑙𝑜 = 𝑓0 + ∆𝑓

Where ∆𝑓 represents frequency offset at receiver side caused by either drift in


oscillator frequency or maybe also caused by Doppler frequency shift due to mobility of
the transmitter or receiver unit.

248
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1 i2πlt
r t e−i2πflo t = x l e T s ei2πfo t e−i2πflo t (4.31)
N
Ts
Y k = fft r t e−i2πflo t when t = nT0 = n (4.32)
N
𝑁−1 𝑁−1
1 1 𝑖2𝜋𝑙𝑛 −𝑖2𝜋∆𝑓𝑛 𝑇𝑠 −𝑖2𝜋𝑘𝑛 1 −𝑖2𝜋𝑛 𝑘−𝑙+∆𝑓𝑇𝑠
𝑌 𝑘 = 𝑥 𝑙 𝑒 𝑁 𝑒 𝑁 𝑒 𝑁 = 𝑥 𝑙 𝑒 𝑁
𝑁 𝑁 𝑁
𝑛=0 𝑛=0
𝑁−1
𝑥 𝑙 −𝑖2𝜋𝑛 𝑘−𝑙+∆𝑓𝑇𝑠
= 𝑒 𝑁 (4.33)
𝑁
𝑛=0
𝑦𝑖𝑒𝑙𝑑𝑠
 If ∆𝑓 = 0 𝑌 𝑘 = 𝑥 𝑙 when k = 𝑙 𝑎𝑙𝑠𝑜 𝑌 𝑘 = 𝑜 𝑤𝑕𝑒𝑛 𝑘 ≠ 𝑙 which
means successful transmission also no ICI, Respectively
 If ∆𝑓 ≠ 0
𝑖𝜋 (𝑘−𝑙+∆𝑓𝑇𝑠 )
𝑦𝑖𝑒𝑙𝑑𝑠 𝑥(𝑙) 𝑒 sin 𝜋(𝑘 − 𝑙 + ∆𝑓𝑇𝑠 )
𝑌 𝑘 = (4.34)
𝑁 𝑖𝜋(𝑘−𝑙+∆𝑓𝑇𝑠 ) 𝜋 (𝑘 − 𝑙 + ∆𝑓𝑇𝑠 )
𝑒 𝑁 sin⁡
𝑁

 Here𝑌 𝑘 𝑐𝑎𝑛 𝑕𝑎𝑣𝑒 𝑛𝑜𝑛𝑧𝑒𝑟𝑜 𝑣𝑎𝑙𝑢𝑒𝑠 𝑓𝑜𝑟 𝑎𝑛𝑦 𝑣𝑎𝑙𝑢𝑒 𝑜𝑓 𝑘 𝑎𝑙𝑠𝑜 𝑌 𝑙 ≠ 𝑥 𝑙


 This means ICI takes place here as shown in figure

∆𝒇 = 𝟎 ∆𝒇 ≠ 𝟎
 Adjacent subcarriers are interfered larger than far ones.
𝑥(𝑙) sin 𝜋(𝑘 − 𝑙 + ∆𝑓𝑇𝑠 )
𝑌 𝑘 = (4.35)
𝑁 sin⁡ 𝜋 (𝑘 − 𝑙 + ∆𝑓𝑇𝑠 )
𝑁

249
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑥(𝑙) sin 𝜋∆𝑓𝑇𝑠


𝑌 𝑙 = < 𝑥(𝑙) (4.36)
𝑁 sin⁡ 𝜋 ∆𝑓𝑇𝑠
𝑁

𝑥(𝑙) sin ±1 + 𝜋∆𝑓𝑇𝑠


𝑌 𝑙±1 = ≠0 (4.37)
𝑁 sin⁡ 𝜋 (±1 + ∆𝑓𝑇𝑠 )
𝑁
 This can be called spectral leakage as the power of the 𝒍𝒕𝒉 subcarrier is divided between
all subcarriers but not equally, also this could be described as a miss in orthogonality
between subcarriers.
 If all subcarriers have data, they will interfere with spectral leakages from each other
which are considered then Additive White Gaussian Noise AWGN as it‘s summation of
interferences from different sources uncorrelated which is proved by Central Limit
Theorem CLT.

Solution Frequency offset estimation


 All systems use OFDM must take into consideration frequency offset and avoid at as
much as possible to overcome ICI. This can be done by
1. The most commonly used method is frequency-domain equalization, which uses training
signals.
2. The time-domain windowing method can also reduce ICI through multiplying the
transmitted time-domain signals by a well-designed windowing function.
o Windowing method was proposed to reduce ICI by cyclically extending by v
samples the time domain signal associated with each symbol.
o The whole of the resulting signal is then shaped with the window function.

3. ―Self ICI Cancellation‖, for reducing sensitivity to frequency errors.


o This method maps the data to be transmitted onto adjacent pairs of subcarriers
with a 180-phase difference between them rather than onto single subcarriers.
o The disadvantage of this method is that it is less bandwidth efficient as two
subcarriers are used to transmit one complex value.
 A main feature of these three methods is that bandwidth efficiency might be reduced
either by training signals or by using redundant subcarriers.
 Moose (1994) described the maximum likelihood estimator of the carrier frequency
offset, based on the observation of two consecutive and identical symbols.
4. Correlative coding between signals modulated on subsequent subcarriers to compress ICI
in OFDM systems.
o They showed that carrier to interference power ratio is increased by 3.5 dB
without decreasing bandwidth efficiency or increasing system complexity.

4.9.3 Out of band radiation


 The FFT behaves as though it was a bank of narrow-band filters followed by a bank of
corresponding detectors that calculate the vector sum of all the signal components that
each filter passes.

250
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 In the case of the power spectrum, the result is scalar instead of vector data, and the
detector just indicates the total energy passed by each filter.
 Again, because of the finite duration of the source data, the filters tend not to be
especially selective.
 There is quite a bit of overlap from bin to bin, as can be seen on figure 4.19.
 As figure also shows, while the main lobe of the filter is reasonably narrow, the sideband
response of the filter extends out objectionablFigure 4.19 black curve shows the frequency

response of FFT bin 3, blue is bin 4, etc.
Solution
 Windowing
o The application of a window function reduces the side lobe responses, at the
expense of the width of the main lobe, can be seen on the figure 4.20.

Figure 4.20 a window (4-term Blackman-Harris) reduces the side lobes in the frequency-domain

251
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure 4.21 the time-domain plot of some common windows

Figure 4.22 the frequency-domain response of the windows from figure 4.21

252
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 It‘s obvious that different windows can eliminate side lobes power more and more but on
the expense of main lobe extension.

4.9.4 Effect of Sampling Clock Offset


 In practice, the sampling clock at the receiver is often different from that at the
transmitter.
 The sampling clock difference will degrade the performance of the systems.
 OFDM signal can be simply demodulated by performing DFT to the samples if the
continuous signal 𝑺(𝒕) = 𝑵−𝟏 𝒋𝟐𝝅𝒇𝒌 𝒕 is sampled at a sampling interval of 𝐓 = 𝐓𝐬 .
𝒌=𝟎 𝒔𝒌 𝒆 𝐬𝐚 𝐍
 At the receiver. However, if the sampling interval at the receiver is 𝑻′𝒔𝒂 = 𝑻𝒔𝒂 + 𝜷𝑻𝒔𝒂 , at
other than 𝑻𝒔𝒂 then the samples will be 𝒔(𝒏𝑻′𝒔𝒂 ) 𝑵−𝟏
𝒏=𝟎 .
 If DFT is still used for OFDM demodulation , then we will have
𝐍−𝟏
𝟏 𝐧𝐦
𝐗𝐦 = 𝐒 𝐧𝐓𝐬𝐚 𝐞−𝐣𝟐𝛑 𝐍 = 𝐚𝐦,𝐦 𝐒𝐦 + 𝐚𝐦,𝐤 𝐒𝐤 (𝟒. 𝟑𝟖)
𝐍
𝐧=𝟎 𝐤≠𝐦
𝒔𝒊𝒏 𝝅 𝒌 − 𝒎 + 𝜷𝒌 𝑵−𝟏
𝒘𝒉𝒆𝒓𝒆 𝒂𝒎,𝒌 = 𝝅 𝒆𝒋𝝅 𝑵 (𝒌−𝒎+𝜷𝒌) (𝟒. 𝟑𝟗)
𝒔𝒊𝒏 𝒌 − 𝒎 + 𝜷𝒌
𝑵

 From the previous equation, the demodulated signal, 𝑿𝒎 consists of the desired symbol
component,𝑺𝒎 and ICI. The desired symbol is modified by

𝐬𝐢𝐧 𝛑𝛃𝐦 𝐣𝛑𝐍−𝟏𝛃𝐦


𝐚𝐦,𝐦 = 𝛑 𝐞 𝐍 ≈ 𝐞𝐣𝛑𝛃𝐦 (𝟒. 𝟒𝟎)
𝐬𝐢𝐧 𝛃𝐦
𝐍
 which is a subchannel-dependent phase rotation. In the above approximation, we have
assumed that N >> 1 and 𝜷𝑵<< 1, which is usually true in practice.
 It can be also shown that the average ICI power at the m-th subchannel is
𝟐
𝛑𝟐 𝟐 𝟐
𝐏𝐈𝐂𝐈 𝐦 = 𝐄 𝐚𝐦,𝐤 𝐬𝐤 ≈ 𝛃 𝐦 (𝟒. 𝟒𝟏)
𝟑
𝐤≠𝐦
 which is also subchannel-dependent.

4.9.5 Effect of Timing Offset


In next Figure, the effect of timing offset on an OFDM signal is shown. When there is a timing
offset, 𝝉 > 0, between the transmitter and the receiver, the observed signal will be
𝐬 𝐭+𝛕 , 𝐢𝐟 𝟎 ≤ 𝐭 ≤ 𝐓𝐬 − 𝛕
𝐬 𝐭, 𝛕 = (𝟒. 𝟒𝟐)
𝐞 𝐭 − 𝐓𝐬 + 𝛕 , 𝐢𝐟 𝐓𝐬 − 𝛕 ≤ 𝐭 ≤ 𝐓𝐬

253
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 where e(t) denotes interference due to the timing offset.


 For OFDM systems without a guard interval or cyclic extension, e(t) is a part of next
OFDM block, as shown in Fig (a).
 For OFDM systems with a guard interval larger than 𝝉, e(t) = 0, as shown in Fig (b).
 For OFDM systems with a cyclic extension period larger than 𝝉 , e(t) = s(t), as shown in
Fig(c).
 For OFDM systems with a cyclic extension period or guard interval less than 𝝉, e(t) is a
mixture of the above two or three signals.

Figure 4.23 Effect of timing offset on OFDM signal.


 When there is a timing offset, the demodulated signal at the receiver is

𝟏 𝐓𝐬
𝐗𝐦 = 𝐬 𝐭, 𝛕 𝐞−𝐣𝟐𝛑𝐟𝐦𝐭𝐝𝐭 (𝟒. 𝟒𝟑)
𝐓𝐬 𝟎
𝟏 𝐓𝐬 −𝛕 𝟏 𝐓𝐬
= 𝐬 𝐭, 𝛕 𝐞−𝐣𝟐𝛑𝐟𝐦𝐭𝐝𝐭 + 𝐞 𝐭 − 𝐓𝐬 + 𝛕 𝐞−𝐣𝟐𝛑𝐟𝐦𝐭𝐝𝐭 (𝟒. 𝟒𝟒)
𝐓𝐬 𝟎 𝐓𝐬 𝐓𝐬 −𝛕
𝟏 𝐓𝐬 𝟏 𝛕
= 𝐬 𝐭 𝐞−𝐣𝟐𝛑𝐟𝐦 𝐭−𝛕
𝐝𝐭 + 𝐞 𝐭 𝐞−𝐣𝟐𝛑𝐟𝐦 𝐭−𝛕
𝐝𝐭 (𝟒. 𝟒𝟓)
𝐓𝐬 𝛕 𝐓𝐬 𝟎
𝟏 𝐓𝐬 𝟏 𝛕 𝟏 𝛕
= 𝐬 𝐭 𝐞−𝐣𝟐𝛑𝐟𝐦 𝐭−𝛕
𝐝𝐭 − 𝐬 𝐭 𝐞−𝐣𝟐𝛑𝐟𝐦 𝐭−𝛕
𝐝𝐭 + 𝐞 𝐭 𝐞−𝐣𝟐𝛑𝐟𝐦 𝐭−𝛕
𝐝𝐭 (𝟒. 𝟒𝟔)
𝐓𝐬 𝟎 𝐓𝐬 𝟎 𝐓𝐬 𝟎
𝟏 𝛕
= 𝐬𝐦 𝐞𝐣𝟐𝛑𝐟𝐦𝛕 + 𝐞 𝐭 − 𝐬 𝐭 𝐞−𝐣𝟐𝛑𝐟𝐦 𝐭−𝛕 𝐝𝐭 (𝟒. 𝟒𝟕)
𝐓𝐬 𝟎
 From the above equation, timing offset introduces a phase shift to the desired symbol
component and an additive interferer depending on whether a cyclic extension or a null
interval is used.
 When the system has no guard interval or cyclic extension, then as shown in previous Fig
e(t) is a part of the next OFDM block, which is independent of s(t).

254
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Therefore, the resulting average interference power is the summation of the powers
𝟏 𝐓 𝟏 𝐓
𝟎
𝐬(𝐭)𝐞𝐣𝟐𝛑𝐟𝐦(𝐭−𝛕) 𝐝𝐭. and 𝟎
𝐞(𝐭)𝐞𝐣𝟐𝛑𝐟𝐦(𝐭−𝛕) 𝐝𝐭 (𝟒. 𝟒𝟖)
𝐓𝐬 𝐓𝐬
 When the system has a guard interval that is larger than 𝝉, then e(t) = 0, only single term
remains.
 However, if a proper cyclic extension is used as in Fig(c), then e(t) = s(t) and there is no
interference.
 Therefore, a proper cyclic extension can effectively cancel additive interference caused
by timing offset.

4.9.6 Effect of Delay Spread


 For a channel with multipath delay spread, the received signal is a summation of the
transmitted signal with different (complex) gains and delays as shown in the next Fig.
 Here we assume that the transmitted signal has a proper cyclic suffix extension and the
length of the cyclic extension, 𝑻𝒈 , is larger than the delay span or channel length, 𝑻𝒉, of
the multipath fading channel.
 Furthermore, we assume that the starting time of integration/observation is between 𝑻𝒉,
and 𝑻𝒈, that is, 𝑻𝒉 ≤ 𝝉 ≤ 𝑻𝒈

Figure 4.24 Effect of delay spread on OFDM signal.


 Let the gain and delay of each path be 𝜸𝒊 and, 𝝉𝒊 respectively. As shown in Figure , the
received signal can be expressed as

255
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝐱 𝐭 = 𝛄𝐢 𝐬 𝐭, 𝛕 − 𝛕𝐢 (𝟒. 𝟒𝟗)
𝐢
 Therefore, the demodulated signal at the receiver is

𝟏 𝐓𝐬
𝐗𝐦 = 𝐫 𝐭 𝐞−𝐣𝟐𝛑𝐟𝐦𝐭𝐝𝐭 (𝟒. 𝟓𝟎)
𝐓𝐬 𝟎
𝟏 𝐓𝐬
= 𝛄𝐢 𝐬 𝐭, 𝛕 − 𝛕𝐢 𝐞−𝐣𝟐𝛑𝐟𝐦𝐭𝐝𝐭 (𝟒. 𝟓𝟏)
𝐓𝐬 𝟎
𝐢

 When 𝝉𝒎𝒂𝒙 ≤ 𝝉 ≤ 𝑻𝒈 , we have

𝟏 𝐓𝐬
𝐬 𝐭, 𝛕 − 𝛕𝐢 𝐞−𝐣𝟐𝛑𝐟𝐦𝐭𝐝𝐭 = 𝐬𝐦 𝐞𝐣𝟐𝛑𝐟𝐦(𝛕−𝛕𝐢) (𝟒. 𝟓𝟐)
𝐓𝐬 𝟎
 Hence,

𝐗𝐦 = 𝛄𝐢 𝐬𝐦 𝐞𝐣𝟐𝛑𝐟𝐦 𝛕−𝛕𝐢 (𝟒. 𝟓𝟑)


𝐢

= 𝐬𝐦 𝐞𝐣𝟐𝛑𝐟𝐦𝛕 𝛄𝐢 𝐬𝐦 𝐞−𝐣𝟐𝛑𝐟𝐦𝛕𝐢 (𝟒. 𝟓𝟒)


𝐢
= 𝐇 𝐟𝐦 𝐞𝐣𝟐𝛑𝐟𝐦𝛕𝐬𝐦 (𝟒. 𝟓𝟓)
 where H ( f ) is the frequency response of the multipath channel defined as

𝐇 𝐟 = 𝛄𝐢 𝐞−𝐣𝟐𝛑𝐟𝛕𝐢 (𝟒. 𝟓𝟔)


𝐢
 From 𝑿𝒎 equation, the received symbol is the original symbol with
o A phase shift determined by the timing offset.
o And multiplicative distortion determined by the frequency response at each sub-
channel.
 This makes signal detection very simple and is also a crucial difference between OFDM
and single-carrier modulation.
o For single-carrier modulation, delay spread or frequency selectivity of wireless
channels will cause ISI, which makes signal detection very complicated.

4.10 synchronization in OFDM systems


 To overcome Effect of Timing Offset Effect of Sampling Clock Offset time
synchronization techniques is used in OFDM systems.
 There are many techniques for synchronization three of them will be discussed
o Time stamp technique.

256
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o Correlation analysis technique.


o Phase difference method.

4.10.1 Time stamp technique


 At the beginning of each transmission frame, the signal will be set to zero for the duration
of (approximately) one OFDM symbol.
 This null symbol can be detected by a classical analog envelope detector tilling where the
symbol begins.
 The time stamp can be a known sequence of data to match channel estimation.

4.10.2 Correlation analysis technique


 The cyclically extended part occurs twice in every OFDM symbol of duration 𝑻𝒔.
 Using the correlation between each two adjacent symbols, The signal y(t) has peaks at t =
L𝑻𝒔.

Figure 4.25 Correlation analysis technique.

257
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.10.3 Phase difference method


 This is a new technique not used in Wi-MAX but do the job efficiently neglecting other
modulation phase shifts.
 Each tone phase is differentially detected (in frequency) with respect to its neighbor.

Figure 4.26 Phase difference method.


4.11 Channel Estimation in OFDM Systems
 Modulation can be classified as differential or coherent.
 When using differential modulation there is no need for a channel estimate, since the
information is encoded in the difference between two consecutive symbols.
 This is a common technique in wireless communication system, which, since no channel
estimates is needed, reduces the complexity of the receiver.
 Differential modulation is used in European DAB standard. The drawbacks are about a 3
dB noise enhancement, and inability to use efficient multiamplitude constellations.
 An interesting alternative of DPSK is differential amplitude phase shift keying, where a
spectral efficiency greater than DPSK is achieved by using a differential coding of
amplitude as well. Obviously, this requires a non uniform amplitude distribution.
 However, in wired systems, where channel is not changing with time, coherent
modulation is an obvious choice. But, in wireless systems, the efficiency of coherent
modulation makes it an ideal choice when the bit error rate is high, such as in DVB.
 Channel estimation in wired systems is straightforward, channel is estimated at startup,
and since channel remains the same, therefore no need to estimate it continuously. Hence,
in this thesis, we concentrate on channel estimation, regarding wireless OFDM systems
only.
 There are mainly two problems in the design of channel estimators for the wireless
systems.
o The first problem is concerned with the choice of how the pilot information
should be transmitted.
o Pilot symbols along with the data symbols can be transmitted in a number of
ways, and different patterns yields different performances.
o The second problem is the design of an interpolation filter with both low
complexity and good performance.

258
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o These two problems are interconnected, since the performance of the interpolator
depends on how pilot information is transmitted.

4.11.1 Pilot Symbol Assisted Modulation


 Channel estimation usually needs some kind of pilot information as a point of reference.
 Channel estimates are often achieved by multiplexing known symbols, so called, pilot
symbols into the data sequence, and this technique is called Pilot Symbol Assisted
Modulation (PSAM).
 This method relies upon the insertion of known phasors into the stream of useful
information symbols for the purpose of channel sounding.
 These pilot symbols allow the receiver to extract channel attenuations and phase rotation
estimates for each received symbol, facilitating the compensation of fading envelope and
phase.
 Closed form formula for the BER of PSAM were provided by Cavers for binary phase
shift keying (BPSK) and quadrature phase shift keying (QPSK), while for 16-QAM he
derived a tight upper bound of the BER.
 A fading channel requires constant tracking, so pilot information has to be transmitted
more or less continuously.
 Decision directed channel estimation can also be used, but even in these types of schemes
pilot information has to be transmitted regularly to mitigate error propagation.
 Pilot symbols are transmitted at certain locations of the OFDM frequency time lattice,
instead of data.
 It was addressed how you choose those locations.
 An example of this is shown in Figure 4.27, which shows both scattered and continual
pilot symbols.
 In general fading channel can be viewed as a 2-D signal (time and frequency), which is
sampled at pilot positions and channel attenuations between pilots are estimated by
interpolations.

259
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure 4.27: An Example of Pilot Information Transmission both as Scattered and Continual on certain
subcarriers

4.11.2 Pilot Arrangements Used in Literature


 There is little published work on how to transmit pilot symbols in wireless OFDM
systems.
 Use of pilot symbols for channel estimation introduces overhead and it is desirable to
keep the number of pilot symbols as minimum as possible.
 The problem is to decide where and how often to insert pilot symbols.
 The spacing between pilot symbols is small enough to make channel estimates reliable
and large enough not to increase overhead too much.

 The number of pilot tones necessary to sample the transfer function can be determined on
the basis of sampling theorem as follows The frequency domain channel‘s transfer
function H(f) is the Fourier transform of the impulse response h(t).
 Each of the impulses in the impulse response will result a complex exponential function
−𝒊𝟐𝝅𝝉
𝒆 𝑻𝒔 in the frequency domain, depending on its time delay 𝝉 where 𝑻𝒔 is the symbol
time.
 In order to sample this contribution to H(f) according to the sampling theorem, the
maximum pilot spacing ∆𝒑 in the OFDM symbol is:
𝐍
∆𝐩 ≤ ∆𝐟 (𝟒. 𝟓𝟕)
𝟐𝛕/𝐓𝐬
 Using a dense pilot patterns means that the channel is oversampled, implying that low-
rank estimation methods can work well.
 This type of low complexity estimation projects the observations into a subspace of
smaller dimension and performs the estimation in that subspace.
 By oversampling the channel, that is placing the pilot symbols close to each other, the
observations essentially lie in a subspace and low rank estimation is very effective.
 The channel estimation can be performed by either inserting pilot tones into all of the
subcarriers of OFDM symbols with a specific period or inserting pilot tones into each
OFDM symbol.
 The first one, block type pilot channel estimation, has been developed under the
assumption of slow fading channel.
 This type of pilot arrangements works well when the channel transfer function is not
changing very rapidly.
 The later one, comb type pilot arrangement, can be used easily for tracking fast channels.
 In comb arrangements, every OFDM symbol has some pilot tones.
 therefore these types of patterns works well in highly varying environments.
 Block and comb arrangements are shown in Figure 4.28 and 4.29 respectively.

260
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure 4.28: Block Pilot Patterns Figure 4.29: Comb Pilot Patterns

4.11.3 Pilot Signal Estimation


Channel can be estimated at pilot frequencies by two ways:
1. (LS) Estimation
2. (LMMSE) Estimation

 For block type arrangements, channel at pilot tones can be estimated by using LS or
LMMSE estimation
 It also assumes that channel remains the same for the entire block.
 So in block type estimation, we first estimate the channel, and than use the same
estimates within the entire block.
 LMMSE estimation has been shown to yield 10-12dB gain in signal to noise ratio (SNR)
over LS estimation for the same mean square error of channel estimation.
 A low rank approximation is applied to linear MMSE by using the frequency correlations
of the channel to eliminate the major drawback of MMSE, namely complexity.
 Comb type pilot tone estimation, has been introduced to satisfy the need for equalizing
when the channel changes even in one OFDM block.
 The comb-type pilot channel estimation consists of algorithms to estimate the channel at
pilot frequencies and to interpolate the channel, as will be discussed next.
 The estimation of channel at pilot frequencies for comb type based channel estimation
can be based on LS, LMMSE or Least-Mean-Square (LMS).
 MMSE has been shown to perform much better than LS.
 The complexity of MMSE is reduced by deriving an optimal low rank estimator with
singular value decomposition (in actual it‘s basically Eigen value decomposition).

4.11.3.1 Least Square Estimation

261
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The idea behind least squares is to fit a model to measurements in such a way that
weighted errors between the measurements and the model are minimized.
 The LS estimate of the attenuations h, given the received data Y and the transmitted
𝐲 𝐲 𝐲
symbols X is: 𝐡𝐥𝐬 = 𝐗 −𝟏𝐘 = [ 𝟎 𝟏 … 𝐍−𝟏 ]𝐓 (𝟒. 𝟓𝟖)
𝐱𝟎 𝐱𝟏 𝐱 𝐍−𝟏
 For comb type pilot subcarrier arrangement, the 𝑵𝒑 pilot signals 𝑿𝒑 𝒎 , 𝒎 =
𝟎, 𝟏, … . , 𝑵𝒑 − 𝟏 are uniformly inserted into 𝑿 𝒌 .
𝑵
 That is, the total N subcarriers are divided into 𝑵𝒑 groups, each with 𝑮𝑰 = adjacent
𝑵𝒑
subcarriers.
 In each group, the first subcarrier is used to transmit pilot signal. The OFDM signal
modulated on the 𝑲𝒕𝒉 subcarrier can be expressed as

𝐱𝐩 𝐦 , 𝐥=𝟎
𝐗 𝐤 = 𝐗 𝐦𝐆𝐈 + 𝐥 = . (𝟒. 𝟓𝟗)
𝐢𝐧𝐟𝐢𝐧𝐢𝐭𝐞 𝐝𝐚𝐭𝐚, 𝐥 = 𝟏, … . . , 𝐍 − 𝟏

 The pilot signal 𝑿𝒑 (𝒎)can be either complex values c to reduce the computational
complexity, or random generated data that can also be used for synchronization.
 Let
𝐓
𝐇𝐩 = 𝐇𝐩 𝟎 𝐇𝐩 𝟏 … … … 𝐇𝐩 𝐍𝐩 − 𝟏 (𝟒. 𝟔𝟎)
𝐓
= 𝐇 𝟎 𝐇 𝐆𝐈 − 𝟏 … … … 𝐇 (𝐍𝐩 − 𝟏 . 𝐆𝐈 − 𝟏) (𝟒. 𝟔𝟏)

Be the channel response of pilot subcarriers, and


𝐓
𝐘𝐩 = 𝐘𝐩 𝟎 𝐘𝐩 𝟏 … … … 𝐘𝐩 𝐍𝐩 − 𝟏 (𝟒. 𝟔𝟐)
Be the vector of received pilot signals. The received pilot signal vector 𝒀𝒑 can be expressed as
𝐘𝐩 = 𝐗𝐩 . 𝐇𝐩 + 𝐈𝐩 + 𝐖𝐩 (𝟒. 𝟔𝟑)
Where
𝐗𝟎 𝟎 𝟎
𝐗𝐩 = 𝟎 ⋱ 𝟎 (𝟒. 𝟔𝟒)
𝟎 𝟎 𝐗 𝐩 𝐍𝐩 − 𝟏
 𝑰𝒑 is a vector of ICI and 𝑾𝒑 is the vector of Gaussian noise in pilot subcarriers.
 In conventional comb type pilot estimation, the estimate of pilot signals based on least
square (LS) criterion, is given by

𝐓
𝐇𝐩,𝐥𝐬 = 𝐇𝐩,𝐥𝐬 𝟎 𝐇𝐩,𝐥𝐬 𝟏 … 𝐇𝐩,𝐥𝐬 𝐍𝐩 − 𝟏 = 𝐗𝐩−𝟏 𝐘𝐩 =
𝐘𝐩 (𝟎) 𝐘𝐩 (𝟎) 𝐘𝐩 (𝐍𝐩 −𝟏) 𝐓
… (𝟒. 𝟔𝟓)
𝐗 𝐩 (𝟎) 𝐗 𝐩 (𝟎) 𝐗 𝐩 (𝐍𝐩 −𝟏)

 The LS estimate of 𝑯𝒑 is susceptible to Gaussian noise and inter-carrier interference


(ICI).
 because the channel responses of data subcarriers are obtained by interpolating the
channel responses of pilot subcarriers, the performance of OFDM system based on comb

262
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

type pilot arrangement is highly dependent on the rigorousness of estimate of pilot


signals.
 Thus an estimate better than LS estimate is required.

4.11.3.2 Linear Minimum Mean Square Error Estimation

 The linear minimum mean square error (LMMSE) estimate has been shown to be better
than the LS estimate for channel estimation in OFDM systems based on block type pilot
arrangement.
 Regarding the mean square error estimation shown the LMMSE estimate has about 10 -
15dB gain in SNR over LS estimate for the same MSE values.
 The major drawback of the LMMSE estimate is its high complexity, which grows
exponentially with observation samples.
 A low rank approximation is applied to a linear minimum mean squared error estimator
(LMMSE estimator) that uses the frequency correlations of the channel.
 Assume that all the available LS estimates are arranged in a vector 𝑷 and the channel
values that have to be estimated from 𝑷 are in a vector h.
 The channel estimation problem is now to find the channel estimates 𝒉 as a linear
combination of pilot LS estimates 𝑷.
 he minimum mean square error estimate for this problem is given by

𝐡𝐥𝐦𝐦𝐬𝐞 = 𝐑𝐡𝐩 (𝐑 𝐩𝐩 )−𝟏𝐏 (4.66)


𝑹𝒉𝒑 is the cross-covariance matrix between h and the noisy pilot estimates 𝒑, given by
𝐑𝐡𝐩 = 𝐄{𝐡𝐏 𝐇 } (𝟒. 𝟔𝟕)
𝑹 𝒑𝒑 is the auto-covariance matrix of the pilot estimates, and is given by
𝐑 𝐏𝐏 = 𝐄 𝐏𝐏 𝐇
= 𝐑𝐏𝐏 + 𝛔𝟐𝐧 (𝐏𝐏 𝐇 )−𝟏 (𝟒. 𝟔𝟖)
 Where 𝝇𝟐𝒏 is the variance of additive channel noise.
 The superscript . 𝑯denotes Hermitian transpose.
 Now for the case of block-type pilot channel estimation, Equation
(4.66) can be modified as:
𝒉𝒍𝒎𝒎𝒔𝒆 = 𝑹𝒉𝒉 (𝑹𝒉𝒉 + 𝝇𝟐𝒏 (𝑷𝑷𝑯 )−𝟏)−𝟏𝑷 (4.69)
 In the following, we assume, without loss of generality, that the variances of the channel
attenuations in h are normalized to unity, i.e.𝑬 𝒉𝒌 𝟐 = 𝟏.
 The LMMSE estimator defined in Equation (4.69) is of considerable complexity, since a
matrix inversion is needed every time the training data in p changes.
 The complexity of this estimator can be reduced by averaging over the transmitted data
i.e., we replace the term (𝑷𝑷𝑯 )−𝟏 in Equation (4.69) with its expectation 𝑬{ 𝑷𝑷𝑯 −𝟏}.
 Assuming the same signal constellation on all tones and equal probability on all
𝟏 𝟐
constellation points, we have 𝑬 𝑷𝑷𝑯 −𝟏
=𝑬 𝑰, where I is the identity matrix.
𝑷𝒌
 Defining the average signal-to-noise ratio as:
𝟐
𝐄 𝐏𝐤
𝐒𝐍𝐑 = (𝟒. 𝟕𝟎)
𝛔𝟐𝐧

263
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 A simplified estimator can be obtained

4.11.4 Channel Interpolation

 After the estimation of the channel transfer function of pilot tones, the channel transpose
of data tones can be interpolated according to adjacent pilot tones.
 The linear interpolation is shown to be better than piecewise constant interpolation.
 Here the following interpolation schemes are considered:

1. Linear Interpolation
2. Spline Interpolation
3. Cubic Interpolation
4. Low Pass Interpolation
 Cubic and spline interpolations have been shown to perform better than the linear
interpolation.

4.11.4.1 Linear Interpolation


 In the linear interpolation algorithm, two successive pilot subcarriers are used to
determine the channel response for data subcarriers that are located in between the pilots.
 For data subcarrier k, 𝐦𝐆𝐈 ≤ 𝐤 ≤ (𝐦 + 𝟏)𝐆𝐈, the estimated channel response using
linear interpolation method is given by:
𝒍 𝒍
𝑯 𝒌 = 𝑯 𝒎𝑮𝑰 + 𝒍 = 𝟏 − 𝑯 𝒎 + 𝑯 𝒑 (𝒎 + 𝟏) (4.72)
𝑮𝑰 𝑮𝑰
 The linear channel interpolation can be implemented by using digital filtering such as
Farrow-structure.
 Furthermore, by carefully inspecting Equation (4.72), we find that if GI is chosen as a
power of 2, the multiplications operations involved in Equation (4.66) can be replaced by
shift operations, and therefore no multiplication operation is needed in the linear channel
interpolation.

4.11.4.2 Spline and Cubic Interpolation


 Spline and Cubic interpolations are done by using‖interp1‖ function of MATLAB.
 Spline and Cubic interpolations produce a smooth and continuous polynomial fitted to
given data points.
 Spline interpolations works better than linear interpolation for comb pilot arrangement.

4.11.4.3 Low Pass Interpolation


 The low pass interpolation is performed by
o Inserting zeros into the original sequence.
o Applying a low pass FIR filter that allows the original data to pass through
unchanged and interpolates between such that the mean-square error between the
interpolated points and the ideal values is minimized.

264
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure 4.30: Block Diagram of Channel Estimation algorithm based on Comb-type pilots using
Low pass FIR filter.

4.12 Simulation Results and Discussion


4.12.1 GUI Description

 This program enable us to study effect of different parameter in each block in OFDM
systems, as the following

 Coding
1- Effect of Different Code Rate
2- Effect of Different Constrain Length
3- Effect of Decision Type

265
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Modulation
1- Effect of Modulation Scheme
2- Effect of Symbol Order
 OFDM Transmitter
1- Effect of Number of Sub-Carriers
2- Effect of Cyclic Prefix Length
3- Display OFDM Spectrum

 Channel Section
1- Change Channel Model.
a- Additive White Gaussian Noise AWGN only.
b- Additive White Gaussian Noise and Fading environment.

2- Control Fading Parameters


a- Symbol Time.
b- Doppler shift value.

3- Control Fading Type


a- Flat Fading.
b- Frequency Selective Fading.

4- Plot Channel
a- In Time Domain.
b- In Frequency Domain.
 Channel Estimation
1- No Channel Estimation
2- Using Least Square ‗LS‘
3- Using Minimum Mean Square Error
 In this Program Also we can determine the Signal to Noise Ratio SNR range and
determine the Number of OFDM Frames.

266
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.12.2 OFDM Spectrum

 This simulation views the Transimitted OFDM spectrum.

 Not of all sub-carriers contain data but some using for padding because of the low pass
pulse shaping filters required for analog-to-digital (A/D) and digital-to-analog(D/A)
conversion of transmitted and received base band signals.

 For example N=64

o Only 52 carry signal and the other 12 are zeros.


o Also the zero-frequency (DC) sub carrier might be heavily distorted by DC offset
of the ADCs and DACs and should this be avoided for data.

267
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.12.3 Plot Channel


4.12.3.1 Time Domain

4.12.3.2 Frequency Domain

268
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.12.4 Performance in AWGN Channels


4.12.4.1 Different Code Rate

This simulation discusses the Convolutional Code Rate effect on performance of OFDM
systems.
 3/4 Code Rate get higher Bit Rate, but in price of high BER.
 In this simulation use different Convolutional Code Rate using Puncture Matrix.
 Puncture remove bits from the Code Rate 1/2 output in order to convert it into Code Rate
2/3 or 3/4, etc, and replace it by zeros at receiver.
 Puncture reduces the complexity of Encoding and also decoding process. But, in price of
quality.
 To convert Code Rate from 1/2 to 2/3 for example, remove one bit from each four output
bits from Code Rate 1/2, remove two bit from each six output bits from Code Rate 1/2 to
get Code Rate 3/4.
 Puncture Matrix for Code Rate 2/3 equal [1 1 0 1] i.e., remove the third bit in each four
bits output.
 Puncture Matrix for Code Rate 3/4 equal [1 1 0 1 1 0] i.e., remove the third and sixth bits
in each six bits output.
 Clearly Code Rate 1/2 gets the best BER then 2/3.

269
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.12.4.2 Different Constrain Length

 This simulation discusses the effect of Constrain Length on the OFDM systems
performance.
 This simulation uses three different Constrain lengths K=3, 7 and 9.
 Constrain length equal three get Encoder and Decoder less complex. But, Constrain
length equal nine get more powerful Code.
 Clearly Constrain length = 9 get the best BER the Constrain length=7.

270
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.12.4.3 Different Decision Type

 This simulation discusses the effect of Soft and hard decision method in Viterbi decoder.

 In hard decision method, input to Vitrbi decoder is only two levels zero or one.

 In soft decision method, input to Viterbi decoder is eight,sixteen or more levels.

 To prepare soft decision decoder input, use demodulation with Log Likelihood Ratio
LLR decision method and quantizer to ger required levels for soft decision decoder.

 Soft decision decoder is more complex than hard decision decoder due to multi-input
levels and the need for quantizer.

 Clearly soft decision decoder gets the best BER then hard decision decoder.

271
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.12.4.4 Different Modulation Scheme

 This simulation discusses the effect of modulation scheme on the OFDM systems
performance.

 This simulation studies three different modulation scheme, QPSK,16 QAM and 64 QAM.

 64 QAM get higher Bit Rate, but in price of high BER.

 Clearly QPSK get the best BER then 16 QAM.

272
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.12.4.5 Different Symbol Order

 This simulation discusses the effect of symbol order on the OFDM systems performance.
 The symbol order affect on the BER performance either Binary or Gray.
Gray order of symbols improves the BER in comparison of Binary symbol order.

273
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.12.5 Performance in Fading Channels


4.12.5.1 Cyclic Prefix Effect

 In this simulation, cyclic prefix effect is studied


 Cyclic prefix function to convert channel‘s linear convolution into circular convolution.

 If the cyclic prefix length is less than channel‘s maximum average delay spread,
equalization fails (because linear convolution isn‘t converted to circular convolution).
 If the cyclic prefix length is more than channel‘s maximum average delay spread,
equalization succeeds ((because linear convolution is converted to circular convolution).
 Here maximum channel‘s average delay spread is 63 symbols, while cyclic prefix length
is varied from 16 symbols up to 128 symbols.
 So cyclic prefix length must be longer than 63 symbols to succeed.
 As shown only 64, 128 symbols cyclic prefix lengths succeed.
 While 16, 32 symbols cyclic prefix lengths fail.

274
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.12.5.2 Channel’s Coherence Time Effect

 In this simulation, Channel‘s coherence time effect is studied


 Channel‘s coherence time must be large enough so each symbol see‘s a non varying
channel.

 If the symbol time is less than channel‘s coherence time, equalization succeeds (because
the whole symbol is seeing the same non varying channel).
 If the symbol time is more than channel‘s coherence time, equalization fails (because the
whole symbol is seeing a varying channel).
 Here channel‘s coherence time is 17.9 µsec (refer to chapter 2 equation 2.79 b), while
symbol time is varied from 64, 32 and 16 µsec corresponding to 1 MHz, 2 MHz and 4
MHz respectively.
 So cyclic prefix length must be less than 17.9 µsec to succeed.
 As shown only 64, 32 µsec symbols time fails.
 While 16 µsec symbol time succeeds somehow since it‘s less than channel‘s coherence
time.

275
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4.12.5.3 Estimation Type Effect

 In this simulation channel estimation techniques are compared (refer to 4.11).

 This performance in flat fading channel.

 Convolutional encoder is used with rate ½, constrain length 7 and with hard Viterbi
decoder.

 Also 16 QAM modulation Scheme is applied with gray symbol order.

 This is using OFDM 256 Subcarriers with Cyclic Prefix Length 64 symbols.

 It‘s obvious that MMSE gives better Performance than LS but this is in expense of
receiver‘s complexity.

 In fading channels estimation is needed it‘s obvious that no estimation gives BER 0.5
which means receiver fails to know the message.

 In MMSE

o Correlation matrix of channel‘s frequency response is assumed to be known at


receiver.

276
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o Also SNR or noise power assumed to be known.

o Each frame needs matrix inversion for estimation which requires high processing
time.

 While the LS algorithm is very simple it‘s only like division of received pilots and
transmitted ones.

4.12.5.4 Number of Subcarriers Effect


I-Flat Fading Case (In Case no Doppler shift):

 In this simulation different Numbers of Subcarriers are compared.

 This performance in flat fading channel.

 Convolutional encoder is used with rate ½, constrain length 7 and with hard Viterbi
decoder.

 Also 16 QAM modulation Scheme is applied with gray symbol order.

 In flat fading channels the number of subcarriers doesn‘t affect the performance.

277
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 This is because dividing the total signal bandwidth into smaller bandwidths makes no
difference since no selectivity in channel.

 It‘s obvious from the results that no effect for different number of subcarriers in flat
fading case.

II Frequency Selective Fading Case (In Case No Doppler Shift)

 In this simulation different Numbers of Subcarriers are compared.

 This performance in frequency selective fading channel.

 Convolutional encoder is used with rate ½, constrain length 7 and with hard Viterbi
decoder.

 Also 16 QAM modulation Scheme is applied with gray symbol order.

 In frequency selective fading channels increasing number of subcarriers gives better


performance.

 This is because dividing the total signal bandwidth into smaller bandwidths converts each
sub channel to be like flat fading.

278
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Channel‘s coherence bandwidth is 6.3 KHz (refer to chapter 2 equation 2.78).

 64 subcarriers make subchannel bandwidth 15 KHz > coherence bandwidth (fails).

 128 subcarriers make subchannel bandwidth 7.5 KHz. > coherence bandwidth (fails).

 256 subcarriers make subchannel bandwidth 3.75 KHz < coherence bandwidth
(succeeds).

 More than 256 subcarriers make subchannel bandwidth <3.75 KHz < coherence
bandwidth (succeeds).

279
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

280
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

281
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Chapter
Five

Space Time Codes and MIMO Multi-Antenna Systems


5.1 Diversity:
The diversity is a technique that combating the fading by ensuring that there will be many copies
of the transmitted signal which effected with different fading over time, frequency or space.

5.1.1 Diversity types:


There are three types of the diversity:
1. Time (temporal) diversity.
2. Frequency diversity.
3. Spatial (antenna) diversity.

5.1.1.1 Time diversity:


In this type of diversity we averaging the fading of the channel over time by using the channel
coding and interleaving to let every part of the codeword effected by different fading along the
time so if a deep fading occurs only part of the codeword will being missing not all the codeword
to explain this we will see an example:

Fig 5.1 Examples on the time diversity using the interleaving

282
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

As we can see in the Fig 5.1 every codeword is consists of 4 symbols so(the number of diversity
branches) L=4 ,here there is a deep fading in the 3 rd time which will affecting on the 3 rd
codeword so if we transmit the data without interleaving the codeword x2 will be distorted and
we cannot cover it again but in case of interleaving occurs the deep fading will distorting only
one symbol from each codeword so we can recover this symbol from the channel coding at the
receiver so we can see here that each part of the codeword effected with different fading over the
time and if there is a deep fading occurs we can recover the missing part from the received parts
of the codeword and this depending on the type and the rate of the channel coding.
Note:
The length of the codeword L is also called the number of diversity branches and when it
increases the probability of error decrease as it presents the number of the different symbols
which sufferings from different fading during the time we can see that when L increase the
codeword parts effected with un correlated values of fading which increase the performance by
decreasing the probability of error.

5.1.1.2 Frequency diversity:


In time diversity we assumed that the channel is occurs flat fading but it changes with the time
but what about the frequency selective fading channels?
With this type of channels we will using the frequency diversity as in the high bit rate systems
the transmitted signal suffering from the selective fading as the band width is more than the
coherent band width of the channel so we will use some techniques to compete the fading over
the frequency and some of these techniques are:
 The multi carrier techniques like OFDM which divided the available band to a smaller
sub bands on sub carriers to let every sub band affected with flat fading as shown in this
Fig 5.2

Fig 5.2 OFDM spectrum

Here we can see that every sub band will effecting with different flat fading so the diversity here
achieved as we assures that different parts of the relevant spectrum will suffer independent fades
and see a flat fading that can be equalized .

283
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Frequency hop spread-spectrum can be viewed as special case where one carrier is
used at a time.
 Direct sequence spread-spectrum can achieve the frequency diversity also as in the
wireless channels there exists often multipath propagation as there is more than one path
from the transmitter to the receiver and by using the DSSS the original signal will
multiplied with a pesudonoise sequence(PN code) and transmitted over a band width
larger than the original band width so if we assumed that the receiver is synchronized to
the time delay and RF phase of the direct path so that the PN code that arrives from the
non-direct channels is not synchronized to the PN code of the direct path and it rejected
and that is due to the autocorrelation function of the PN code with the property:
𝑝𝑛 𝑡 . 𝑝𝑛 𝑡 = 1 (5.1)
𝑝𝑛 𝑡 . 𝑝𝑛 𝑡 − 𝜏 ≠ 1 (5.2)

5.1.1.3 Spatial (antenna) diversity:


In this type of diversity we assures that we will have many copies of the transmitted signal or a
coded versions effects with different fading over the space as we use multi antenna systems at
the transmitter or the receiver to have finally at the receiver many copies of the transmitted signal
suffers from different fading and the type of the diversity defined by where the multi antenna
system so we have this types of the spatial diversity:
1. MISO (transmit diversity).
2. SIMO (receive diversity).
3. MIMO.
4. MIMO multi user.

5.1.1.3.1 Transmit diversity:


It also called multiple input single output system (MISO) as we use multi antenna at the
transmitter as shown in Fig 5.3

Fig 5.3 2x1 MISO system

The receiver will receiving two (in our case) signals one from each antenna to the same
transmitted data so if one of them has a deep fading he can get the information from the second
one as each path here will effecting with different fading so the diversity occurs over the space
(paths) but note here that the transmitted data from the antennas is the same so the data rate here
does not changes and the more antennas we uses the better performance we get so we have to
define a term called diversity order and it is the number of direct paths for the transmitted signal
to go through from the transmitter to the receiver which is equal in our case the number of
transmitter antennas.
Note:

284
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

This type of diversity requires complete channel information at the transmitter to make this
possible but with some schemes like Alamouti's scheme (STC schemes) make it possible without
this condition and we will see it in STC part.

5.1.1.3.2 Receive diversity:


It called also SIMO (single input multiple output system) as we use multi antenna at the receiver
as shown in Fig 5.4

Fig 5.4 1x2 SIMO system

Here the receiver will has many copies of the transmitted signal at its antennas as each one of
them will effecting with different fading due to the path it passed through so if one of them has a
deep fading the receiver can get the information from the other received signal so the diversity
here occurs over the space and in this type the transmitter not required to know an information
about the channel so this type of the diversity is the commonly used type and the diversity order
in this type is the number of receiver antennas.
Now for the receive diversity how the receiver get the signal from the many copies reached
to him?
The answer is by using one technique of the diversity combining techniques which is many but
we will select three types:
1) Selective combining (SC).
2) Maximal ratio combining (MRC).
3) Equal gain combining (EGC).
We will start with the first type SC
1) Selective combining (SC):
In this type the receiver has many diversity branches he get the information from the branch has
the largest signal-to-noise ratio only so this technique is impractical to the continuous
transmission systems as we have to monitor all the diversity branches and select of them the
largest SNR branch .
2) Maximal ratio combining (MRC):
With maximal ratio combining, the diversity branches are weighted by their respective complex
fading gains and combined.
3) Equal gain combining (EGC):

285
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Equal gain combining is similar with MRC with the only difference that the diversity branches
not weighted.
These techniques will explained in part (5.1.3) and we will see the performance of each type with
the variable numbers of diversity orders and SNR.

5.1.1.3.3 MIMO (Multiple Input Multiple Output):


In this type we use multi antennas at both the transmitter and receiver as shown
Fig 5.5

Fig 5.5 2x2 MIMO system

Here the diversity order is equal to the number of the transmitter antennas multiplies with the
number of receiver antennas (𝑀𝑇 × 𝑀𝑅 ) which is the number of independent possible paths
between the transmitter and receiver so each antenna of transmitter antennas will transmitting a
copy of message which will passing through different paths to reach the receiver antennas so
here we have a 𝑀𝑅 paths for each one of the transmitter antenna so that the total number of paths
which considered the diversity order is (𝑀𝑇 × 𝑀𝑅 ) .

Note:
The higher diversity order we have the better we combat the fading as shown in Fig 5.6 the
fading margins for a single input single output system and a 2x2 MIMO system we can see that
deep fading is eliminated due to the diversity :

Fig 5.6
286
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

So we can see that the diversity reduces the BER of the communication system and its effect will
be better as the diversity order increasing and the system is being near to the case of no fading as
shown in Fig 5.7 which shows us the difference between the system with and without fading:

Fig 5.7 𝑃𝑏 for BPSK of SISO system in case of with and without fading

5.1.1.3.4 MIMO multi-user (MIMO-MU):


The main difference here with the MIMO system is that we have many receivers each one has an
antenna as shown in Fig 5.8

Fig 5.8 2x2 MIMO-MU system

287
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

This type is a typical example of the mobile communication systems or the transmit and received
system between the BTS and users in the cell as each user has only one antenna to transmit and
receive with it but the BTS has two antennas to transmit and receive data from or to the users.
Notes:
1) The diversity order in the SIMO & MISO systems is the same in case of we use the same
number of antennas but it is found that the SIMO performance is better than the MISO as
shown in Fig 5.9
0
Transmit vs. Receive Diversity
10
No Diversity (1Tx, 1Rx)
Alamouti (2Tx, 1Rx)
Maximal-Ratio Combining (1Tx, 2Rx)
-1
10

-2
10
BER

-3
10

-4
10

0 2 4 6 8 10 12 14 16 18 20
Eb/No (dB)

Fig 5.9 16-QAM system with transmit and receive diversity

We can see that the receive diversity has BER less than the transmit diversity and there
is a difference of 3db between the two types for the same BER as the power is divided to
the transmitter antennas in case of the transmit diversity but in case of the receive
diversity there is now power division and the two cases is better than the SISO system.

2) In this types of diversity (spatial diversity) the distance between the antennas must be
larger than the coherent distance to ensure that each antenna will transmitting data stream
not correlated to the one transmits from the other one as shown in Fig 5.10 the array
antenna is consists of many antenna near to each other and the transmitted data stream is
depend to each other (correlated) but the separated ones with distance more than the
coherent distance which is equal to half the wave length (or to be exact =0.38𝜆)is not
correlated :

288
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.10 the difference between correlated and un-correlated antennas

How we can ensure that there is no correlation without worrying about the coherent
distance?
The solution is using one of the following categories:
1. Polarization diversity.
2. Angle diversity.

5.1.1.4 Polarization diversity:


In this type of diversity horizontal and vertical polarization signals are transmitted by two
different polarized antennas and received correspondingly by two different polarized antennas at
the receiver.
Different polarizations ensure that there is no correlation between the data streams, without
having to worry about coherent distance of separation between the antennas.

5.1.1.5 Angle diversity:


This applies at carrier frequencies in excess of 10 GHz. At such frequencies, the transmitted
signals are highly scattered in space. In such an event the receiver can have two highly
directional antennas facing in totally different directions. This enables the receiver to collect two
samples of the same signal, which are totally independent of each other.

5.1.2 Spatial multiplexing:


In the diversity we were sending the same data from the antennas or in other meaning the same
bit rate from the antennas as we seen before so the relation between the 𝑇𝑋 and 𝑅𝑋 signals as
shown in Fig 5.11

289
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.11 the relation between the transmitted and received signals

As X1 & X2 is the same data (the same data stream) but here in the spatial multiplexing we
divides the bit rate on the antennas or in other expression we send different data from the
antennas (divides the data stream on the antennas or send a different data stream from the
antennas in the same time) so we can see that the data rate is increasing due to the spatial
multiplexing but if we use the spatial multiplexing at the transmitter and has only one
receiver(MISO system) we have here a high data rate more than the SISO system but we have
the same problem with fading as if any path here suffering from a deep fading the information
will be lost and we cannot recover it again and if we use a diversity at the transmitter we has
combat the fading without increasing our data rate so:
 Spatial multiplexing (increase the data rate but we will not combating the fading).
 The diversity (combat the fading without increasing the data rate).

How can we get the advantages of both spatial diversity and multiplexing?

We can do this by using spatial multiplexing at the transmitter and using receive diversity by this
way we will increasing the data rate by sending different data from the transmitter antennas and
also has many copies of them at the receiver antennas to combat the fading.

5.1.3 Diversity combining techniques:


A diversity system combines the independent fading paths to obtain a resultant signal that is then
passed through a standard demodulator. The combining can be done in several ways which vary
in complexity and overall performance. We will use space diversity as a reference to describe the
diversity systems and the different combining techniques, although the techniques can be applied
to any type of diversity.

290
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

In this part it will be explained four types of the combining techniques:


1. Selection combining.
2. Switch and set (threshold) combining.
3. Maximal ratio combining.
4. Equal gain combining.

Most combining techniques are linear: the output of the combiner is just a weighted sum of the
different fading paths or branches, as shown in Fig 5.12 for M-branch diversity. Specifically,
when all but one of the complexes 𝛼𝑖 𝑠 are zero, only one path is passed to the combiner output.
When more than one of the 𝛼𝑖 𝑠 is nonzero, the combiner adds together multiple paths, where
each path may be weighted by different value. Combining more than one branch signal requires
co-phasing, where the phase Өi of the i th branch is removed through the multiplication by
𝛼𝑖 = 𝑎𝑖 𝑒 −𝑗 𝜃 𝑖 for some real-valued 𝑎𝑖 . This phase removal requires coherent detection of each
branch to determine its phase Өi. Without co-phasing, the branch signals would not add up
coherently in the combiner, so the resulting output could still exhibit significant fading due to
constructive and destructive addition of the signals in all the branches.

Fig 5.12 linear combiner

Combining is typically performed post detection, since the branch signal power and/or phase is
required to determine the appropriate 𝛼𝑖 value. Post-detection combining of multiple branches
requires a dedicated receiver for each branch to determine the branch phase, which increases the
hardware complexity and power consumption, particular for a large number of branches.
The main purpose of diversity is to combine the independent fading paths so that the effects of
fading are mitigated. The signal output from the combiner equals the original transmitted signal s
(t) multiplied by a random complex amplitude term 𝛼Σ = 𝑖 𝛼𝑖 𝑟𝑖 𝑒 −𝑗 𝜃 𝑖 that results from the path
combining. This complex amplitude term results in a random SNR 𝛾Σ at the combiner output,
where the distribution of 𝛾Σ is a function of:
1. The number of diversity paths.

291
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2. The fading distribution on each path.


3. And the combining technique.

As shown in more detail below. Since the combiner output is fed into a standard demodulator
for the transmitted signal s (t), the performance of the diversity system in terms of 𝑃𝑠 and 𝑃𝑜𝑢𝑡 is:


𝑃𝑠 = 0 𝑠
𝑃 𝛾 𝑃𝛾 𝛾 𝑑𝛾 (5.3)

Which is the average error probability (𝑃𝑠 ) in term of the symbol error rate in AWGN with SNR
𝛾Σ (𝑃𝑠 (𝛾)) and the probability density function of the complex SNR from the combiner (𝑃𝛾Σ (𝛾)).
𝛾0
𝑃𝑜𝑢𝑡 = 𝑃(𝛾 ≤ 𝛾0 ) = 0
𝑃𝛾 (𝛾)𝑑𝛾 (5.4)
Which it is the outage probability (probability that SNR from the combiner falls below a given
value corresponding to the maximum allowable error probability).

5.1.3.1 The selection combining:


In selection combining (SC), the combiner outputs the signal on the branch with the highest SNR
𝑟𝑖2 𝑁𝑖 .
With SC the path output from the combiner has an SNR equal to the maximum SNR of all the
branches. Moreover, since only one branch output is used, co-phasing of multiple branches is not
required, so this technique can be used with either coherent or differential modulation.
Since only one branch is used at a time, SC often requires just one receiver that is switched into
the active antenna branch. However, a dedicated receiver on each antenna branch may be needed
for systems that transmit continuously in order to simultaneously and continuously monitor SNR
on each branch.
The branch with the highest SNR is equivalent to choosing the branch with the highest 𝑟𝑖2 + 𝑁𝑖 if
the noise Ni = N is the same on all branches as in practice 𝑟𝑖2 + 𝑁𝑖 is easier to measure than SNR
since it just entails find the total power in the received signal.
For M branch diversity, the CDF of 𝛾Σ is given by
𝑃𝛾 (𝛾) = 𝑃(𝛾 < 𝛾) = 𝑃(𝑚𝑎𝑥[𝛾1 , 𝛾2 , . . … , 𝛾𝑀 ] < 𝛾) = 𝑀 𝑖=1 𝑃( 𝛾𝑖 < 𝛾) (5.5)
As the output SNR from the combiner is the maximum SNR of all the branches so that if it is less
than a value 𝛾 it means that all the SNR on all the branches is less than this value and from the
CDF of 𝛾Σ we can get the outage probability at 𝛾=𝛾0 .
Assume that we have M branches with uncorrelated Rayleigh fading amplitudes ri. The
instantaneous SNR on the i th branch is therefore given by 𝛾i = 𝑟𝑖2 𝑁 .
Defining the average SNR on the i th branch as 𝛾𝑖 = E[i], the SNR distribution will be
exponential
1
𝑃 𝛾𝑖 = 𝑒 −𝛾 𝑖 𝛾𝑖 (5.6)
𝛾𝑖
The outage probability for a target 𝛾0 on the i th branch in Rayleigh fading is:

292
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑃𝑜𝑢𝑡 𝛾0 = 1 − 𝑒 −𝛾0 𝛾𝑖 (5.7)


So that from (5.5) and (5.7) we can get that the outage probability of the selection-combiner for
the target 𝛾0 is then:
𝑃𝑜𝑢𝑡 𝛾0 == 𝑀 𝑖=1 𝑃 𝛾𝑖 < 𝛾0 =
𝑀
𝑖=1[ 1 − 𝑒
−𝛾0 𝛾𝑖
] (5.8)
So we can see that it depends on the minimum SNR 𝛾0 and the average SNR on each branch 𝛾𝑖
so that If the average SNR for all of the branches are the same (𝛾𝑖 = 𝛾 for all i), then the outage
probability reduces to:
𝑃𝑜𝑢𝑡 𝛾0 = 𝑃(𝛾 < 𝛾0 ) = [1 − 𝑒 −𝛾0 𝛾 ]𝑀 (5.9)
So that from (5.9) we can see that the outage probability is depends on the number of diversity
branches and the ratio between 𝛾 and 𝛾0 and the Fig 5.13 is shown this relation:

Fig 5.13 Outage Probability of Selection Combining in Rayleigh Fading.

From the final form of the Pout in SC and the general form of it in equation (5.4) so that
differentiating (5.9) relative to 𝛾0 yields the PDF for 𝛾Σ :
𝑀
𝑃𝛾 (𝛾) = 𝑃(𝛾 = 𝛾) = [1 − 𝑒 −𝛾 𝛾 ]𝑀−1 𝑒 −𝛾 𝛾 (5.10)
𝛾

From (5.10) we see that the average SNR of the combiner output in i.i.d. Rayleigh fading is:

𝛾 = 𝛾𝑃𝛾 (𝛾) 𝑑𝛾
0
∞ 𝛾𝑀
= 0 𝛾
[1 − 𝑒 −𝛾 𝛾 ]𝑀−1 𝑒 −𝛾 𝛾 𝑑𝛾
𝑀 1
=𝛾 𝑖=1 𝑖 . (5.11)

293
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

And that is mean that the increasing of the diversity branches increasing the average SNR out
𝑀 1
from the combiner and the term 𝑖=1 𝑖 is called the diversity gain and it presents the increasing
of the average SNR due to the diversity and we can see that it will be equal to:
1 1 1
𝛾Σ = 𝛾 1 + + + ⋯ + (5.12)
2 3 𝑀

So it is clear that the largest increasing in the average SNR due to the diversity is from the case
1
that M=1→2 as the increasing will be by and it was clear in Fig 5.13.
2
The average probability of symbol error is obtained from (5.3) with Ps (𝛾) the probability of
symbol error in AWGN for the signal modulation and 𝑃𝛾Σ (𝛾) the distribution of the combiner
SNR. For most fading distributions and coherent modulations, this result cannot be obtained in
closed-form and must be evaluated numerically or by approximation.
In Fig 5.14 Closed-form results do exist for differential modulation under i.i.d. Rayleigh fading
on each branch and the equation for DPSK is

𝑀 −1
∞1 𝛾 𝑀 𝑀−1 𝑚
𝑃𝑏 = 0 2
𝑒 𝑃𝛾 (𝛾)𝑑𝛾 = 𝑚=0(−1) 1+𝑚 +𝛾
𝑚
(5.13)
2

In the above derivations we assume that there is no correlation between the branch amplitudes. If
the correlation is nonzero, then there is a slight degradation in performance which is almost
negligible for correlations below 0.5.
SC for systems that transmit continuously may require a dedicated receiver on each branch to
continuously monitor branch SNR.

294
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.14 𝑃𝑏 of DPSK under SC in i.i.d. Rayleigh Fading.

5.1.3.2The switch and stay (threshold) combining:


Is a simpler type of combining avoids the need for a dedicated receiver on each branch by
scanning each of the branches in sequential order and outputting the first signal with SNR above
a given threshold 𝛾𝑇 .
Once a branch is chosen, as long as the SNR on that branch remains above the desired threshold,
the combiner outputs that signal. If the SNR on the selected branch falls below the threshold, the
combiner switches to another branch.
As in SC, since only one branch output is used at a time, co-phasing is not required. Thus, this
technique can be used with either coherent or differential modulation.
There are several criteria the combiner can use to decide which branch to switch to and the
simplest criterion is to switch randomly to another branch.
Special case at diversity order =2:
The combiner switching to the other branch when the SNR on the active branch falls below 𝛾𝑇 ,
this method is called switch and stay combining (SSC) and Fig 5.15
shows this technique and how the combiner switch from one branch to another:

Fig 5.15 SNR of the SSC technique with time


Since the SSC does not select the branch with the highest SNR, its performance is less than the
SC but still better than the case of no diversity.
For two-branch diversity with i.i.d. branch statistics the CDF of the combiner output 𝑃𝛾Σ 𝛾 =
𝑝(𝛾Σ ≤ 𝛾)can be expressed in terms of the CDF 𝑃𝛾 𝑖 𝛾 = 𝑝(𝛾𝑖 ≤ 𝛾)and PDF 𝑝𝛾 𝑖 𝛾 of the
individual branch SNRs as:

𝑃𝛾1 𝛾𝑇 𝑃𝛾2 (𝛾) 𝛾 < 𝛾𝑇


𝑃𝛾 𝛾 = (5.14)
𝑃(𝛾𝑇 ≤ 𝛾1 ≤ 𝛾) + 𝑃𝛾1 𝛾𝑇 𝑃𝛾2 𝛾 𝛾 ≥ 𝛾𝑇

And it is clear that in case of that 𝛾𝑇 is more than 𝛾 then the error will happened when𝛾1 is less
than the threshold so that the combiner will switching to 𝛾2 which will be less than certain value

295
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

of 𝛾 and in case of 𝛾𝑇 is less than 𝛾 we have two probabilities to get error the first one just the
same as (𝛾T ≤ 𝛾) and the second case is that if 𝛾1 is more than 𝛾𝑇 and the combiner still using it
but it is less than the certain value of 𝛾 .
So if we use the equation (5.7) and subs tut with it in (5.14) we get:

1 − 𝑒 −𝛾 𝑇 𝛾 − 𝑒 −𝛾0 𝛾 + 𝑒 −(𝛾 𝑇 +𝛾0 ) 𝛾


𝛾 < 𝛾𝑇
𝑃𝑜𝑢𝑡 𝛾0 = 𝑃𝛾 (𝛾0 ) = (5.15)
1 − 2𝑒 −𝛾0 𝛾 + 𝑒 −(𝛾 𝑇 +𝛾0 ) 𝛾 𝛾 ≥ 𝛾𝑇

To get the pdf of 𝛾Σ we will differentiating (5.15) relative to 𝛾0 and get:

1
(1 − 𝑒 −𝛾 𝑇 𝛾 ) 𝑒 −𝛾 𝛾
𝛾 < 𝛾𝑇
𝛾
𝑃𝛾 (𝛾0 ) = 1 (5.16)
(2 − 𝑒 −𝛾 𝑇 𝛾 ) + 𝑒 −𝛾 𝛾
𝛾 ≥ 𝛾𝑇
𝛾

As with SC, for most fading distributions and coherent modulations, the resulting average
probability of error is not in closed-form and must be evaluated numerically. However, closed-
form results do exist for differential modulation under i.i.d. Rayleigh fading on each branch. In
particular, the average probability of symbol error for DPSK is given by:

∞ 1 −𝛾 1
𝑃𝑏 = 0 2
𝑒 𝑃𝛾 𝛾 𝑑𝛾 = 1 − 𝑒 −𝛾𝑇 𝛾
+ 𝑒 −𝛾𝑇 𝑒 −𝛾𝑇 𝛾
(5.17)
2(1+𝛾 )

5.1.3.3 The maximal ratio combining:


In maximal ratio combining (MRC) the output is a weighted sum of all branches due to its SNR.
Since we will using more than one signal so that the signals are co-phased and 𝛼𝑖 = 𝑎𝑖 𝑒 −𝑗 𝜃 𝑖 is
multiplied with each branch as 𝜃𝑖 is the phase of the incoming signal on the ith branch. Thus, the
envelope of the combiner output will be r = 𝑖 𝑎𝑖 𝑟𝑖 . Assuming the same noise power N in each
branch yields a total noise power 𝑁𝑡𝑜𝑡 at the combiner output of 𝑁𝑡𝑜𝑡 = 𝑀 2
𝑖=1 𝑎𝑖 𝑁. Thus, the
output SNR of the combiner is:

𝑀
𝑟2 1 ( 𝑖=1 𝑎 𝑖 𝑟 𝑖 )
2
𝛾 = = 𝑀 𝑎 (5.18)
𝑁𝑡𝑜𝑡 𝑁 𝑖=1 𝑖

The goal is to choose the 𝛼𝑖 s to maximize 𝛾Σ . Intuitively, branches with a high SNR should be
weighted more than branches with a low SNR, so the weights 𝑎𝑖2 should be proportional to the
branch SNRs 𝑟𝑖2 𝑁.
We find the 𝑎𝑖 s that maximize 𝛾Σ by taking partial derivatives of (5.18) or using the Swartz
inequality. Solving for the optimal weights yields 𝑎𝑖2 = 𝑟𝑖2 𝑁, and the resulting combiner SNR
becomes:

296
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2
𝑀 𝑟𝑖 𝑀
𝛾Σ = 𝑖=1 𝑁 = 𝑖=1 𝛾𝑖 (5.19)

Thus, the SNR of the combiner output is the sum of SNRs on each branch. The average
combiner SNR increases linearly with the number of diversity branches M, and note that the
pervious solution for 𝛾𝑖 is the same if we used 𝛾𝑖 = 𝑕𝑖∗ in each branch and to show that we will
see a special case in diversity order =2 as shown:

Fig 5.16 Maximum ratio combining with 1 𝑇𝑋 and 2 𝑅𝑋 .

Now To obtain the distribution of 𝛾Σ we take the product of the exponential moment generating
or characteristic functions. Assuming i.i.d. Rayleigh fading on each branch with equal average
branch SNR 𝛾 , the distribution of 𝛾Σ is chi-squared with 2M degrees of freedom, expected value
𝛾Σ = M 𝛾, and variance 2M 𝛾:

𝛾 𝑀 −1 𝑒 −𝛾 𝛾
𝑃𝛾 𝛾 = , 𝛾≥0 (5.20)
𝛾 𝑀 𝑀−1 !

By using the pdf of the outage SNR from the combiner we can get the outage probability as
shown:
𝛾0 𝑘−1
𝑀 (𝛾0 𝛾 )
𝑃𝑜𝑢𝑡 = 𝑃 𝛾 < 𝛾0 = 0
𝑃𝛾 (𝛾) 𝑑𝛾 = 1 − 𝑒 −𝛾0 𝛾
𝑘=1 𝑘−1 ! (5.21)
The Fig 5.17 shows the outage probability in case of using the maximal ratio combining
technique with the variation of both the number of diversity order and the ratio between the
average SNR on the branches 𝛾 and the minimum SNR 𝛾0 .

297
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.17 𝑃𝑜𝑢𝑡 for MRC with i.i.d. Rayleigh fading.

For BPSK modulation with i.i.d Rayleigh fading, where P𝛾Σ (𝛾) is given by (5.21), it can be
shown that:

∞ 𝑀−1
1−Γ 𝑀 𝑀−1+𝑚 1−Γ 𝑚
𝑃𝑏 = 𝑄( 2𝛾 )𝑃𝛾 𝛾 𝑑𝛾 = ( ) ( )
0 2 𝑚 2
𝑚 =0
Γ= 𝛾 (1 + 𝛾) (5.22)

and Fig 5.18 shows 𝑃𝑏 for MRC with i.i.d. Rayleigh fading:

Fig 5.18 𝑃𝑏 for MRC with i.i.d. Rayleigh fading

298
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Form Figs 5.17 and 5.18 we can see that MRC has performance better than the SC and the SSC
also and this increasing in the performance not for free but it increased the complexity of the
system.

5.1.3.4 The equal gain combining:


A simpler technique is equal-gain combining, which co-phases the signals on each branch and
then combines them with equal weighting.
We will co-phasing also the signals of all branches before add them together with equal
weighting, so that we can see that 𝛼𝑖 = 𝑒 −𝑗 𝜃 𝑖 .
The SNR of the combiner output, assuming equal noise power N in each branch, is then given
by:
1 𝑀 2
𝛾Σ = 𝑖=1 𝑟𝑖 (5.23)
𝑁𝑀
The pdf and CDF of 𝛾Σ do not exist in closed-form. For i.i.d. Rayleigh fading and two-branch
diversity and average branch SNR 𝛾 , an expression for the CDF in terms of the Q function can
be derived as:

𝜋𝛾
𝑃𝛾 𝛾 = 1 − 𝑒 −2𝛾 𝛾
𝑒 −𝛾 𝛾
1 − 2𝑄 2𝛾 𝛾 (5.24)
𝛾

The resulting outage probability is given by:

𝑃𝑜𝑢𝑡 𝛾0 = 1 − 𝑒 −2𝛾𝑅 − 𝜋𝛾𝑅 𝑒 −𝛾𝑅 1 − 2𝑄 2𝛾𝑅 (5.25)

Fig 5.19 shows the outage probability of the special case of two diversity branches with the
variation of the ratio between the average SNR on the branches 𝛾 and the minimum SNR 𝛾0 .

299
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.19 𝑃𝑜𝑢𝑡 for EGC for diversity order =2

Substituting this into (5.1.2.1) for BPSK yields the average probability of bit error:

∞ 1 2
𝑃𝑏 = 0
𝑄 2𝛾 𝑃𝛾 𝛾 𝑑𝑦 = 0.5 1 − 1− (2.26)
1+𝛾

So that we can represent this special case in Fig 5.20 which represent the 𝑃𝑏 for BPSK with
diversity order =2 with the variation of the average SNR as shown below:

Fig 5.20 the 𝑃𝑏 for BPSK with diversity order =2

5.1.3.5 Comparison between MRC, EGC and SC:


The outage probability in case of two diversity branches

300
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.21 𝑃𝑜𝑢𝑡 comparison for the techniques at diversity order=2

From the Fig 5.21 it is clear that the best performance is for the maximal ratio combining
technique and after it the equal gain combining technique and the last one is the selection
combining technique.
The 𝑃𝑏 for BPSK with diversity order =2 with the variation of the average SNR in case of MRC
and EGC techniques we can see that:

Fig 5.22
Comparison between EGC and MRC for 𝑃𝑏 for BPSK with diversity order =2

for diversity order =2 ,the performance of the system using BPSK and the EGC technique is
very near to the same system using MRC technique and that is because the increasing if the
performance not being clear in the small order of diversity and it being so clear when we
increase the diversity order.

301
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.1.4 The simulation results:


In this simulations the used modulation type is BPSK and it is used for modulate a one million
bit and without using channel coding to show the increasing in the performance due to the using
of the diversity with different combining techniques as explained before .

5.1.4.1 The selection combining:


the Fig 5.23 shows the results for the system using the selection combining technique at the
receiver with the BPSK modulation and shows the effect of increasing the diversity order from 1
to 2 and finally to 3 and it is clear that the largest amount of increasing in the performance is
when the system used diversity order =2 from 1, but the best performance for the system is at the
diversity order =3.

Fig 5.23 the 𝑃𝑏 for BPSK with SC technique

5.1.4.2 The maximal ratio combining:


The Fig 5.24 shows the results for the system using the maximal ratio combining technique at
the receiver with the BPSK modulation and shows the effect of increasing the diversity order
from 1 to 2 and finally to 3, and it is clear that the performance at any diversity order of this
system is better than the system using the selection combining.

302
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.24 the 𝑃𝑏 for BPSK with MRC technique 5.1.4.3 Comparison between the MRC and
SC techniques:
The Figs 5.25, 5.26 and 5.27 shows the difference between the performance of the system using
the BPSK nodulation in case of using the SC technique or using the MRC technique for diversity
order=2 and diversity order =3.

Fig 5.25 the 𝑃𝑏 for BPSK with MRC & SC technique with diversity order =2

303
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.26 the 𝑃𝑏 for BPSK with MRC & SC technique with diversity order =3

Fig 5.27 the 𝑃𝑏 for BPSK with MRC & SC technique with diversity orders =2 &3

From the three Figs we can get that the performance of the MRC is better than the performance
of SC but the amount of the improvement in the system is being clear when we increase the order

304
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

of the diversity as in case of diversity order=3 it is clear that the amount of the improvement in
the performance is larger than the case of the system using diversity order=2.

305
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2 MIMO concepts and capacity


5.2.1 Introduction
Wireless system designers are faced with numerous challenges, including limited availability of
radio frequency spectrum and transmission problems caused by such factors as fading and
multipath distortion. Meanwhile, there is increasing demand for higher data rates, better quality
service, fewer dropped calls, and higher network capacity. Meeting these needs requires new
techniques that improve spectral efficiency and network links‘ operational reliability.
Multiple-input-multiple-output (MIMO) technology promises a cost-effective way to provide
these capabilities.
MIMO uses antenna arrays at both the transmitter and receiver. Algorithms in a radio chipset
send information out over the antennas. The radio signals reflect off objects, creating multiple
paths that in conventional radios cause interference and fading. But MIMO sends data over these
multiple paths, thereby increasing the amount of information the system carries. The data is
received by multiple antennas and recombined properly by other MIMO algorithms.
This technology promises to let engineers scale up wireless bandwidth or increase transmission
ranges. MIMO is an underlying technique for carrying data. It operates at the physical layer,
below the protocols used to carry the data, so its channels can work with virtually any wireless
transmission protocol. For example, MIMO can be used with the popular IEEE 802.11 (Wi-Fi)
technology, and in the upcoming mobile generations and broadband solutions such as IEEE
802.16 (WiMAX) and Long Term Evolution (LET).
For these reasons, MIMO eventually will become the standard for carrying almost all wireless
traffic; it is thought that MIMO will become a core technology in wireless systems. It is really
the only economical way to increase bandwidth and range.
MIMO still must prove itself in large scale, real-world implementations, and it must overcome
several obstacles to its success, including energy consumption, cost, and competition from
similar technologies.

5.2.1.1 Historical review


MIMO was originally conceived in the early 1970s by Bell Labs engineers trying to address the
bandwidth limitations that signal interference caused in large, high-capacity cables. At the time,
however, the processing power necessary to handle MIMO signals was too expensive to be
practical.
Cost reductions and advances in signal-processing technology, coupled with increased demands
to overcome the limits of existing mobile communications approaches, have since led
researchers to reconsider MIMO for wireless systems.

306
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.1.2 Why MIMO in a key feature in the modern wireless communication


systems?
There are many reasons to justify why it is thought that MIMO will become a core technology in
wireless systems, some reasons are listed here but the coming future will demonstrate the
powerful and importance of MIMO technology.
MIMO technique is able to
 Exploit multipath by taking advantage of random fading, as it is known that the main
impairment to the performance of a wireless communication systems is fading due to
multipath and interference.
 Achieve very high spectral efficiency and it is a perfect solution to the limited bandwidth
availability.
 Save the system power consumption, as it increases the system capacity and reliability
without consume excessive power.
 Increase the system capacity so it can support many number of users.
 Increase the system throughout as it can support high data rates.
 Increase both the quality of service and the revenues significantly.

From the previous reasons, there is no doubt about the importance of MIMO technique, so the
aim of this section is to provide a complete and concise overview about this promising technique.

5.2.2 Basic definitions


It is best to begin at the beginning. First it should be started by examining a few terms that are
part of the MIMO antenna systems. These terms will be used throughout this section and, in fact,
they are important to understand the MIMO antenna system.

5.2.2.1 MIMO definitions


 A MIMO system is a physical layer technique that uses antenna arrays at both
the transmitter and receiver in combination with space-time modulation and
coding techniques to achieve very high spectral efficiencies.
 A MIMO system is a space-time signal processing approach in which the time
dimension is complemented with the spatial dimension through the use of
multiple spatially distributed antennas.

5.2.2.2 Capacity and throughput definitions


Capacity C (bits/sec/Hz):

The maximum possible transmission rate such that the probability of error
is arbitrarily small.
 Error-free spectral efficiency.
 Data rate per unit bandwidth that can be sustained reliably over a wireless
link.
If the operating bandwidth is W Hz, the maximum achievable data rate over this bandwidth is
WC bit/s.
Throughput (bit /sec):

307
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Output data rate of a wireless channel at any time.

5.2.2.3Array Gain
 Array gain is the average increase in the signal-to-noise ratio (SNR) at the
receiver that arises from the coherent combining effect of multiple antennas at
the receiver or transmitter or both.
Transmitter array gain (MISO case)
If the channel is known to the multiple antenna transmitters, the transmitter will weight the
transmission with weights, depending on the channel coefficients, so that there is coherent
combining at the single antenna receiver.
Receiver array gain (SIMO case)
If there is only one antenna at the transmitter and no knowledge of the channel and a multiple
antenna receiver, which has perfect knowledge of the channel, then the receiver can suitably
weight the incoming signals so that they coherently add up at the output (combining), thereby
enhancing the signal.

5.2.2.4 Data Pipes


The term data pipe is derived from fluid mechanics. Pipes are used to transfer water to a
tank/reservoir. The more the number of pipes, the greater the quantum of flow of water into a
tank/reservoir. This is similar to data pipes, but the analogy of communications with fluid
mechanics ends there. We consider a case of two data pipes between the transmitter and receiver.
In this situation there are two cases; either the data in the data pipes are identical to each other
(diversity case) or they are independent samples (spatial multiplexing), completely different from
each other. In the diversity case, effectively the data going through is as if it is going through one
data pipe, with the other pipe merely being a replica of the first one. This is a case of full
correlation and because of this correlation; we do not get any throughput (bits per second)
advantage. However, we do get a diversity advantage of two, and it is the situation when it is
needed to combat fading in a bad fading channel.
The latter case (spatial multiplexing case) deals with a situation where there is absolutely no
correlation between the data carried by the two pipes. The data streams are independent. Hence,
there is no diversity, but the throughput is definitely higher than in the first case.
Therefore, the more the data pipes, the higher the throughput provided the signals in the data
pipes are not replicas of each other or correlated. In such an event the same signal is going
through both pipes, so no new information is getting transferred.
Therefore, correlation is not a good thing and it does reduce capacity, as we shall see. Remember
that transmit diversity comes at the cost of throughput and vice versa. If we wish to eat the cake
and still have it, then one way out is to sacrifice transmit diversity at the cost of throughput and
incorporate diversity in the receiver (receive diversity). This way we at least have receive
diversity, rather than no diversity at all in the system. This is what is done in spatial
multiplexing.

5.2.2.5 Spatial Multiplexing


Spatial multiplexing offers a linear (in the number of transmit-receive antenna pairs or min
(𝑀𝑅 , 𝑀𝑇 ) increase in the transmission rate (or capacity) for the same bandwidth and with no
additional power expenditure. It is only possible in MIMO channels. Consider the case of two
transmit and two receive antennas. This can be extended to more general MIMO channels. The

308
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

bit stream is split into two half-rate bit streams, modulated and transmitted simultaneously from
both the antennas. The receiver, having complete knowledge of the channel, recovers these
individual bit streams and combines them so as to recover the original bit stream. Since the
receiver has knowledge of the channel it provides receive diversity, but the system has no
transmit diversity since the bit streams are completely different from each other in that they carry
totally different data. Thus spatial multiplexing increases the transmission rates proportionally
with the number of transmit-receive antenna pairs.
This concept can be extended to MIMO-MU. In such a case, two users transmit their respective
information simultaneously to the base station equipped with two antennas. The base station can
separate the two signals and can likewise transmit two signals with spatial filtering so that each
user can decode his or her own signal correctly. This allows capacity to increase proportionally
to the number of antennas at the base station and the number of users.

5.2.3 Channel estimation techniques at the transmitter


Channel State Information (CSI) is not usually available at the transmitter. In order for the
transmitter to obtain the CSI, two basic methods are used
 Feedback method
 The reciprocity principle.

5.2.3.1 Feedback method


In this method, the forward channel (from the transmitter to receiver) is calculated by the
receiver and information is sent back to the transmitter through the reverse channel (from
receiver to transmitter).
This method does not function properly if the channel is changing fast. In that case, in order for
the transmitter to get the right CSI, more frequent estimation and feedback are needed. As a
result, the overhead for the reverse channel becomes prohibitive.

5.2.3.2 The reciprocity principle


In this method, the forward and reverse channels are identical when the time, frequency and
antenna locations are the same. Based on this principle the transmitter may use the CSI obtained
by the reverse link for the forward link. The main problem with this method emerges when
frequency duplex schemes are employed.

5.2.4 Deterministic channels capacity


In general, we define the number of transmit antennas as 𝑀𝑇 and the number of receive antennas
as 𝑀𝑅 . We can think of the wireless channel as a vector channel with dimensionality 𝑀𝑅 × 1 or
𝑀𝑇 × 1 . If we use only one antenna for transmission, the data rate will always be limited by the
performance of that single antenna. One way to recover from the channel impairments would be
by using a multi-antenna at the receive end to reverse all or part of the channel effects. In this
case the single transmit antenna becomes the bottleneck in a way.
However, the performance is often superior to that obtained with single antennas at both ends.
The same effect can be accomplished using multiple antennas at the transmitter end in situations
309
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

where implementation issues prevent their use at the receiver end, such as in mobile handsets to
try to create signal conditions at the receiver similar or close to those present had it been
equipped with multiple antennas to enable it to take advantage of additional degrees of freedom,
such as higher diversity orders. The resulting performance is roughly the same when the same
number of elements is used. On the other hand, in MIMO systems data are transmitted over a
matrix channel created by 𝑀𝑇 transmit and 𝑀𝑅 receive antennas rather than a vector channel,
creating new types of gains beyond just diversity or array gain benefits.
Let us denote the transmit signal by 𝑠 𝑡 , the received signal by 𝑦 𝑡 , the received noise by 𝑛 𝑡 ,
and the channel matrix by𝐻 𝜏, 𝑡 . We can then write
𝑦 𝑡 = 𝐻 𝜏, 𝑡 ⊗ 𝑠 𝑡 + 𝑛 𝑡 (5.27)
So we will start the wireless channel capacity investigation by analyzing the traditional case in
wireless communication which is the SISO case, then the multi-antenna systems will be
introduce by detailed analysis of the MIMO case through the MIMO system model to know how
MIMO can enhance the wireless channel capacity and achieve the previously mentioned
benefits, then the SIMO and MISO cases will be investigated as a special case of the MIMO
multi-antenna systems.

5.2.4.1 SISO capacity


Shannon‘s capacity formula approximated theoretically the maximum achievable transmission
rate for a given channel with bandwidth B, transmitted signal power P and single side noise
spectrum 𝑁𝑜 , based on the assumption that the channel is white Gaussian (i.e., fading and
interference effects are not considered explicitly) the Shannon‘s capacity is given by

𝑃
𝐶 = 𝐵 𝑙𝑜𝑔2 (1 + ) (5.28)
𝐵 𝑁𝑜

In other words we can say, In (SISO) systems, shown in Fig 5.28, 𝑀𝑇 = 𝑀𝑅 =1, 𝑦 𝑡 and
𝑠 𝑡 are 1 × 1 vectors, and the channel matrix 𝐻 𝜏, 𝑡 = 𝑕 is also a 1 × 1 vector. The normalized
Shannon capacity in this case is given by

𝐶 = 𝑙𝑜𝑔2 1 + 𝑕 2 ∗ 𝑆𝑁𝑅 (5.29)

The limitation of SISO systems is that the capacity increases very slowly with the log of SNR
and in general it is low. Moreover, fading can cause large fluctuations in the signal power level,
increasing the variance. Only temporal and frequency domain processing are possible but the
spatial domain is neglected.

Fig 5.28 SISO scheme

310
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.4.2 MIMO system model


We consider a MIMO system with a transmit array of 𝑀𝑇 antennas and a receive array of
𝑀𝑅 antennas. The block diagram of such a system is shown in Fig 5.29.

Fig 5.29 Block diagram of a MIMO system.

The transmitted matrix is a 𝑀𝑇 × 1 column matrix s where 𝑠𝑖 is the i th component, transmitted


from antenna i and is given by
𝑠1
𝑠2
𝑠= ⋮ (5.30)
𝑠𝑖
𝑠𝑀𝑇
𝑀𝑇 ×1
We consider the channel to be a Gaussian channel such that the elements of s are considered to
be independent identically distributed (i.i.d.) Gaussian variables. If the channel is unknown at the
transmitter, we assume that the signals transmitted from each antenna have equal powers of
𝐸𝑠 𝑀𝑇 .the covariance matrix for this transmitted signal is given by
𝐸𝑠
𝑅𝑠𝑠 = 𝐼𝑀𝑇 (5.31)
𝑀𝑇

Where 𝐸𝑠 is the power across the transmitter irrespective of the number of antennas 𝑀𝑇 , and
𝐼𝑀𝑇 is an 𝑀𝑇 × 𝑀𝑇 identity matrix. The transmitted signal bandwidth is so narrow that its
frequency response can be considered flat (i.e., the channel is memoryless).

The channel matrix H is a 𝑀𝑅 × 𝑀𝑇 complex matrix, which is given by


311
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑕11 𝑕12 … 𝑕1,𝑀𝑇


𝑕21 𝑕22 … 𝑕2,𝑀𝑇
𝐻= (5.32)
⋮ ⋮ ⋮ ⋮
𝑕𝑀𝑅 ,1 𝑕𝑀𝑅 ,2 … 𝑕𝑀𝑅 ,𝑀𝑇
𝑀𝑅 ×𝑀𝑇

The component 𝑕𝑖,𝑗 of the matrix is the fading coefficient from the j th transmit antenna to the i
th receive antenna. We assume that the received power for each of the receive antennas is equal
to the total transmitted power 𝐸𝑠 . This implies we ignore signal attenuation, antenna gains, and
so on. Thus we obtain the normalization constraint for the elements of H, for a deterministic
channel as

𝑀𝑇 2
𝑗 =1 𝑕𝑖.𝑗 = 𝑀𝑇 , 𝑖 = 1 ,2 ,3 … … … 𝑀𝑅 (5.33)

The elements of H can be deterministic or random, if the channel elements are not deterministic
but random, the normalization will apply to the expected value of (5.33).
We assume that the channel matrix is known at the receiver but unknown at the transmitter. The
channel matrix can be estimated at the receiver by transmitting a training sequence. If we require
the transmitter to know this channel, then we need to communicate this information to the
transmitter via a feedback channel.

The noise at the receiver is another column matrix of size 𝑀𝑅 × 1 , denoted by n and is given by
𝑛1
𝑛2
𝑛= ⋮ (5.34)
𝑛𝑖
𝑛𝑀𝑅
𝑀𝑅 ×1
The components of n are zero mean circularly symmetrical complex Gaussian (ZMCSCG)
variables. The covariance matrix of the receiver noise is

𝑅𝑛𝑛 = 𝐸 𝑛𝑛𝐻 (5.35)


If there is no correlation between components of n, the covariance matrix is obtained as

𝑅𝑛𝑛 = 𝑁𝑜 𝐼𝑀𝑅 (5.36)


Each of the 𝑀𝑅 receive branches has identical noise power of 𝑁𝑜 .

The receiver operates on the maximum likelihood detection principle over 𝑀𝑅 receive antennas.
The received signals constitute a 𝑀𝑅 × 1 column matrix denoted by r, and is given by

312
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑟1
𝑟2
𝑟= ⋮ (5.37)
𝑟𝑖
𝑟𝑀𝑅
𝑀𝑅 ×1
Where each complex component refers to a receive antenna. Since we assumed that the total
received power per antenna is equal to the total transmitted power, the SNR can be written as
𝐸𝑠
𝑆𝑁𝑅 = (5.38)
𝑁𝑜
Therefore, the received vector can be expressed as
𝑟 = 𝐻𝑠 + 𝑛 (5.39)

Or in the matrix form as


𝑟1 𝑕11 𝑕12 … 𝑕1,𝑀𝑇 𝑠1 𝑛1
𝑟2 𝑠2 𝑛2
𝑕21 𝑕22 … 𝑕2,𝑀𝑇
⋮ = ⋮ + ⋮
𝑟𝑖 ⋮ ⋮ ⋮ ⋮ 𝑠𝑖 𝑛𝑖
𝑟𝑀𝑅 𝑕𝑀𝑅 ,1 𝑕𝑀𝑅 ,2 … 𝑕𝑀𝑅 ,𝑀𝑇 𝑠𝑀𝑇 𝑛𝑀𝑅
𝑀𝑅 ×1 𝑀𝑅 ×𝑀𝑇 𝑀𝑇 ×1 𝑀𝑅 ×1

(5.40)
The received signal covariance matrix defined as 𝐸 𝑟𝑟 𝐻 , is given by [using equation 5.39]
𝑅𝑟𝑟 = 𝐻𝑅𝑠𝑠 𝐻𝐻 (5.41)
While the total signal power can be expressed as tr (𝑅𝑟𝑟 ).

The assumptions of the MIMO system model can be summarize in the following points
 The channel is a deterministic Gaussian channel.
 Channel frequency response is flat as the 𝑇𝑥 signal BW is so narrow.
 The channel matrix is known at the 𝑅𝑥 but unknown at the 𝑇𝑥 .
 𝐸𝑠 is the power across the 𝑇𝑥 irrespective of the number of antennas.
 The signals transmitted from each antenna have equal powers of 𝐸𝑠 𝑀𝑇 (channel
unknown to 𝑇𝑥 ).
 The received power for each of the receive antennas is equal to the total transmitted
power Es (ignore signal attenuation, antenna gains, and so on).
 Each of the 𝑀𝑅 receive branches has identical noise power of 𝑁𝑜 .
𝐸
 𝑆𝑁𝑅 = 𝑠
𝑁𝑜

5.2.4.3 General MIMO capacity equation capacity


The capacity of MIMO channel is mathematically defined as

𝐶 = max𝑓(𝑠) 𝐼 𝑠; 𝑦 (5.42)
Where f (s) is the probability distribution of the vector s and I (s; y) is the mutual information
between vectors s and y. We note that

313
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝐼 𝑠; 𝑦 = 𝐻 𝑦 − 𝐻(𝑦|𝑠) (5.43)
Where 𝐻 𝑦 is the differential entropy of the vector y, while 𝐻(𝑦|𝑠) is the conditional
differential entropy of the vector y, given knowledge of the vector s. Since the vectors s and n are
independent, 𝐻 𝑦 𝑠 = 𝐻 𝑛 , From (5.43),

𝐼 𝑠; 𝑦 = 𝐻 𝑦 − 𝐻(𝑛) (5.44)
If we maximize the mutual information 𝐼 𝑠; 𝑦 reduces to maximizing 𝐻 𝑦 . The covariance
matrix of y, 𝑅𝑦𝑦 = 𝐸 𝑦𝑦 𝐻 satisfies

𝐸𝑠
𝑅𝑦𝑦 = 𝐻𝑅𝑠𝑠 𝐻𝐻 + 𝑁𝑜 𝐼𝑀𝑅 (5.45)
𝑀𝑇
Where 𝑅𝑠𝑠 = 𝐸 𝑠𝑠 𝐻 is the covariance matrix of s. Among all vectors y with a given covariance
matrix 𝑅𝑦𝑦 , the differential entropy 𝐻 𝑦 is maximized when y is ZMCSCG. This implies that s
must also be ZMCSCG vector, the distribution of which is completely characterized by 𝑅𝑠𝑠 . The
differential entropies of the vectors y and n are given by

𝐻 𝑦 = log 2(det⁡(𝜋𝑒𝑅𝑦𝑦 )) bps\Hz (5.46)

𝐻 𝑛 = log2 (det⁡(𝜋𝜍 2 𝐼𝑀𝑅 )) bps\Hz (5.47)


Therefore, 𝐼 𝑠; 𝑦 in equation (5.44) is reduced to

𝐸𝑠
𝐼 𝑠; 𝑦 = log 2 det( 𝐼𝑀𝑅 + 𝐻𝑅𝑠𝑠 𝐻𝐻 ) bps\Hz (5.48)
𝑀𝑇 𝑁𝑜

and from (5.42), the capacity of the MIMO channel is given by

𝐸𝑠
𝐶 = max 𝑇𝑟 𝑅𝑠𝑠 =𝑀𝑇 log 2 det( 𝐼𝑀𝑅 + 𝐻𝑅𝑠𝑠 𝐻𝐻 ) bps\Hz (5.49)
𝑀𝑇 𝑁𝑜

which is the general capacity equation of MIMO and the multi-antenna systems.

5.2.4.4 MIMO capacity


In all the coming analysis, the capacity will be investigated in two situations, the first, when the
channel is unknown to the transmitter and the second situation is when the channel is known to
the transmitter to know the effect of CSI on the channel capacity.

5.2.4.4.1 Channel unknown to the transmitter


When the channel is unknown to the transmitter, then the vector s is statistically independent
(i.e., 𝑅𝑠𝑠 = 𝐼𝑀𝑇 ).
This implies that the signals are independent and the power is equally divided among the
transmit antennas. The capacity in such a case is, (from 5.49)
𝐸𝑠
𝐶 = log 2 det( 𝐼𝑀𝑅 + 𝐻𝐻𝐻 ) bps\Hz (5.50)
𝑀𝑇 𝑁𝑜

314
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

It should be noted that this is not Shannon capacity since it is possible to outperform 𝑅𝑠𝑠 = 𝐼𝑀𝑇 ,
if the transmitter has the channel knowledge. Nevertheless we shall refer to (5.50) as capacity.
Now 𝐻𝐻𝐻 is an 𝑀𝑅 × 𝑀𝑅 positive semi-definite Hermitian matrix. The Eigen decomposition of
such a matrix is given by 𝑄𝛬𝑄𝐻 where Q is a 𝑀𝑅 × 𝑀𝑅 matrix satisfying 𝑄𝐻 𝑄 = 𝑄𝑄𝐻 =
𝐼𝑀𝑅 and Λ = diag {𝜆1 𝜆2 … … . . 𝜆𝑀𝑅 } with 𝜆𝑖 ≥ 0. We assume that the eigenvalues are ordered so
that 𝜆𝑖 ≥ 𝜆𝑖+1 Then

𝜍𝑖2 , 𝑖𝑓 𝑖 = 1,2, … … . . 𝑟
𝜆𝑖 = (5.51)
0, 𝑖𝑓 𝑖 = 𝑟, 𝑟 + 1, … … . . 𝑀𝑅

Where 𝜍𝑖2 are the singular values obtained as = diag {𝜍1 𝜍2 … … 𝜍𝑟 } from the singular value
decomposition of H = U 𝑉 𝐻 . Then the capacity of the MIMO channel is given by

𝐸𝑠
𝐶 = log 2 det( 𝐼𝑀𝑅 + 𝑄𝛬𝑄𝐻 ) bps\Hz (5.52)
𝑀𝑇 𝑁𝑜

Using det 𝐼𝑚 + 𝐴𝐵 = 𝑑𝑒𝑟 𝐼𝑛 + 𝐵𝐴 for matrices 𝐴 𝑚 × 𝑛 and 𝐵 𝑛 × 𝑚 and 𝑄𝐻 𝑄 =


𝐼𝑀𝑅 , (5.52) simplifies to
𝐸𝑠
𝐶 = log 2 det( 𝐼𝑀𝑅 + 𝛬) bps\Hz (5.53)
𝑀𝑇 𝑁𝑜

𝑟 𝐸𝑠
𝐶= 𝑖=1 log 2 ( 1+ 𝜆𝑖 ) bps\Hz (5.54)
𝑀𝑇 𝑁𝑜

Where r is the rank of the channel and 𝜆𝑖 (i = 1, 2, …. r) are the positive eigen values of 𝐻𝐻𝐻 .
Equation (5.54) expresses the capacity of the MIMO channel as a sum of the capacities of r SISO
channels, each having a power gain of 𝜆𝑖 (i = 1, 2, . . . , r) and transmit power 𝐸𝑠 𝑀𝑇 .
This means that the technique of multiple antennas at the transmitter and receiver opens up
multiple scalar spatial data pipes between the transmitter and receiver. Furthermore, equal
transmit energy is allocated to each spatial data pipe. This is for the case when the channel is
unknown at the transmitter.
2
To maximize the MIMO channel capacity, we define the squared Frobenius norm of H, as 𝐻 𝐹
𝑀𝑅 𝑀𝑇 2
= Tr (𝐻𝐻𝐻 ) = 𝑖=1 𝑗 =1 𝑕𝑖,𝑗 . Frobenius norm is interpreted as the total power gain of the
𝑀𝑇
channel. Also 𝐻 2𝐹 = 𝑗 =1 𝜆𝑖 where 𝜆𝑖 (i = 1, 2, . . . ,𝑀𝑅 ) are eigen values of 𝐻𝐻𝐻 .

We fix this total power so that 𝐻 2𝐹 = 𝛽. Then if the channel matrix is of full rank such that
𝑀𝑇 = 𝑀𝑅 = 𝑀 , the capacity C in (5.54) is maximized when 𝜆𝑖 = 𝜆𝑗 = 𝛽 𝑀 , (𝑖, 𝑗 =
1,2, … … . . 𝑀) (remember, the channel is unknown, so equal power distribution). To achieve this,
𝐻𝐻 𝐻 = 𝐻𝐻 𝐻 = (𝛽 𝑀)𝐼𝑀 , (i.e., the channel matrix H should be orthogonal) and is given by

315
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑕11 0 … 0
0 𝑕22 … 0
𝐻= ⋮ (5.55)
⋮ ⋮ ⋮
0 0 … 𝑕𝑀𝑅 ,𝑀𝑇
𝑀𝑅 ×𝑀𝑇
Hence, the channel capacity is maximized when the channel matrix is diagonal (i.e., when the
sub-channels are uncorrelated, such as parallel independent sub-channels). Any correlation
between the different sub-channels results in increased fading and a reduction in channel
capacity. To achieve this very high capacity, the channel matrix must be made diagonal through
signal processing at the receiver. The capacity can then be rewritten in the simple form
𝛽 𝐸𝑠
𝐶 = 𝑀 log2 ( 1 + ) bps\Hz (5.56)
𝑁𝑜 𝑀 2

2
If the elements of H have ones along the diagonal, then 𝐻 𝐹 = 𝑀2 and

𝐸𝑠
𝐶 = 𝑀 log 2( 1 + ) bps\Hz (5.57)
𝑁𝑜
The capacity of an orthogonal MIMO channel is therefore M times the scalar channel capacity.

5.2.4.4.2 Channel known to the transmitter


Consider a ZMCSCG signal vector 𝑠 of dimension 𝑟 × 1 where 𝑟 is the rank of the channel H to
be transmitted. We note from Fig 5.30 that the vector is multiplied by a matrix V prior to
transmission (based on the fact that H = U 𝑉 𝐻 through singular value decomposition). At the
receiver, the received signal vector y is multiplied by the
matrix 𝑈 𝐻 .

Fig 5.30 Decomposition of H when the channel is known to the transmitter and receiver

The input-output relationship for this operation is given by

𝐸𝑠 𝐸𝑠
𝑦= 𝑈 𝐻 𝐻𝑉𝑠 + 𝑈 𝐻 𝑛 = 𝑠+𝑛 (5.58)
𝑀𝑇 𝑀𝑇
where 𝑦 is the transformed received signal vector of size 𝑟 × 1 and 𝑛 is the ZMCSCG
transformed noise vector of size 𝑟 × 1 with the covariance matrix 𝐸 𝑛 𝑛 𝐻 = 𝑁𝑜 𝐼𝑟 . The vector
𝑠 satisfies 𝐸 𝑠𝑠 𝐻 = 𝑀𝑇 to constrain the total transmit energy. Equation (5.58) shows us that
with channel knowledge at the transmitter, H can be explicitly decomposed into r parallel SISO
channels satisfying

316
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝐸𝑠
𝑦𝑖 = 𝜆𝑖 𝑠 + 𝑛𝑖 , 𝑖 = 1,2, … … … , 𝑟 (5.59)
𝑀𝑇
The capacity of the MIMO channel is the sum of the individual parallel SISO channel capacities
and is given by
𝑟 𝐸𝑠 𝛾 𝑖
𝐶 = max 𝑟
𝑖=1 𝛾 𝑖 𝑖=1 log 2 ( 1+ 𝜆𝑖 ) bps\Hz (5.60)
𝑀𝑇 𝑁𝑜

2
Where 𝛾𝑖 = 𝐸 𝑠𝑖 (i = 1, 2, . . . , r) is the transmit energy in the i th sub-channel such that
𝑟
𝑖=1 𝛾𝑖 = 𝑀𝑇 .

5.2.4.5 SIMO capacity


SIMO antenna configuration is illustrated in the Fig 5.31.
5.2.4.5.1 Channel unknown to the transmitter
In a SIMO channel, 𝑀𝑇 = 1 and there are 𝑀𝑅 receive antennas. In such a case the channel matrix
is a column matrix given by
𝑕1
𝑕2
𝐻= ⋮ (5.61)
𝑕𝑖
𝑕𝑀𝑅
𝑀𝑅 ×1
Since𝑀𝑅 > 𝑀𝑇 , (5.50) is modified as

𝐸𝑠
𝐶 = log 2 det( 𝐼𝑀𝑇 + 𝐻𝐻 𝐻) bps\Hz (5.62)
𝑀𝑇 𝑁𝑜

𝑀𝑅
Now (𝐻𝐻 𝐻) = 𝑖=1 𝑕𝑖 2
and 𝑀𝑇 = 1. hence,

𝐸𝑠 𝑀𝑅
𝐶 = log 2 det( 1 + 𝑖=1 𝑕𝑖 2 ) bps\Hz (5.63)
𝑁𝑜

If the channel matrix elements are equal and normalized as


2 2 2
𝑕1 = 𝑕2 = ⋯ 𝑕𝑀𝑅 =1 (5.64)
The capacity when the channel is unknown to the transmitter, is

𝐸𝑠
𝐶 = log 2 det( 1 + 𝑀𝑅 ) bps\Hz (5.65)
𝑁𝑜
The system achieves a diversity gain of 𝑀𝑅 relative to the SISO case. For 𝑀𝑅 = 4 and SNR = 10
dB, the SIMO capacity is 5.258 bit/s/Hz. The addition of receive antennas yields a logarithmic
increase in capacity in SIMO channels.

317
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.31 SIMO scheme

5.2.4.5.2 Channel known to the transmitter


Knowledge of the channel at the transmitter in this case provides no additional benefit to the
capacity than the previous case where the channel is unknown.

5.2.4.6 MISO capacity


MISO antenna configuration is illustrated in the Fig 5.32.

5.2.4.6.1 Channel unknown to the transmitter


In a MISO channel, 𝑀𝑅 = 1 and there are 𝑀𝑇 transmit antennas. In this case, since 𝑀𝑇 > 𝑀𝑅 ,
we use (5.50) as it is. The channel is represented by the row matrix and is given by
𝐻 = 𝑕1 𝑕2 … 𝑕𝑀𝑇 𝑀𝑇 ×1 (5.66)
𝑀𝑇 2
As (𝐻𝐻𝐻 ) = 𝑖=1 𝑕𝑗 , from equation (5.50) we obtain

𝐸𝑠 𝑀𝑇 2
𝐶 = log 2 det( 1 + 𝑖=1 𝑕𝑗 ) bps\Hz (5.67)
𝑀𝑇 𝑁𝑜
𝑀𝑇 2
If the channel coefficients are equal and normalized as 𝑖=1 𝑕𝑗 = 𝑀𝑇 , then the capacity for the
MISO case becomes
𝐸𝑠
𝐶 = log 2( 1 + ) bps\Hz (5.68)
𝑁𝑜
We note that (5.68) is the same as for a SISO case (i.e., the capacity did not increase with the
number of antennas). This is for the case when the channel is unknown at the transmitter. The
reason for this result is that there is no array gain at the transmitter because the transmitter has no
knowledge of the channel parameters. Array gain is the average increase in the SNR at the
receiver that arises from the coherent combining effect of multiple antennas at the receiver or
transmitter or both. If the channel is known to the transmitter, the transmitter will weight the
transmission with weights depending on the channel coefficients, so that there is coherent
combining at the receiver (MISO case).

318
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.32 the MISO scheme

5.2.4.6.2 Channel known to the transmitter


If we considered the case when the channel is known at the transmitter, we apply (5.60). Since
the channel matrix has rank 1, there is only one term in the sum in (5.60) and only one nonzero
eigen-value given by
𝑀𝑇 2
𝜆= 𝑖=1 𝑕𝑗
Hence the capacity is
𝐸𝑠 𝑀𝑇 2
𝐶 = log 2 det( 1 + 𝑖=1 𝑕𝑗 ) bps\Hz (5.69)
𝑁𝑜
𝑀𝑇 2
If the channel coefficients are equal and normalized as 𝑖=1 𝑕𝑗 = 𝑀𝑇 , then the capacity
becomes
𝐸𝑠
𝐶 = log 2( 1 + 𝑀𝑇 ) bps\Hz (5.70)
𝑁𝑜
For 𝑀𝑇 = 4 and SNR = 10 dB, the MISO capacity is 5.258 bit/s/Hz. This is with channel
knowledge at the transmitter. In both cases of SIMO and MISO there is only one spatial data
pipe (i.e., the rank of the channel matrix is one). Basically, the channel matrix is a 𝑀𝑅 ×
𝑀𝑇 matrix. In a MISO case, 𝑀𝑅 = 1 and in a SIMO case, 𝑀𝑇 = 1. In either case, the channel
matrix has only one eigenvalue and its rank is 1. Physically, this means that there is only one
route from transmitter to receiver for the signals to pass through. Hence, we have one data pipe.
If we had 𝑀𝑇 = 𝑀𝑅 = 2, then we would have a MIMO case with a channel matrix of rank 2 and
having two eigenvalues, hence, two routes from transmitter to receiver (i.e., we have two data
pipes and so on). Table 5.1 shows a comparison of all the possible combinations of multiantenna
techniques
Scheme 𝑴𝑻 𝑴𝑹 Example Benefits
SISO 1 1 No transmit or receive diversity No diversity
SIMO 1 >1 Receive diversity, beamforming, - Diversity proportional to 𝑀𝑅 .
beam steering - Array gain interference reduction
with beamforming
MISO >1 1 Transmit diversity, beamforming, - Diversity proportional to 𝑀𝑇 .
beam steering - Array gain interference reduction
with beamforming.
MIMO >1 >1 Use of multiple antennas at both Diversity proportional to 𝑀𝑇 × 𝑀𝑅
the transmitter and receiver Array gain (coherent combining
assuming prior channel estimation).

Table 5.1 Multi-antenna Spatial Schemes

319
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.4.7 How multi-antenna systems work?


Consider the multi-antenna system diagrams in Fig 5.33. A digital input signal is fed to a serial
to parallel splitter after error control coding and mapping to complex modulation symbols. The
splitter produces several separate symbol streams and each are then mapped onto one of the
multiple transmit antennas,

Fig 5.33 Multi-antenna systems

which may include spatial weighting of the antenna elements or antenna space-time precoding.
At the receiver, the signals are captured by multiple antennas and the signals are recovered after
demodulation and demapping.
This can be considered as an extension to conventional smart antenna applications.
The intelligence of the multi-antenna system lies in the weight selection algorithm and can offer
a more reliable communications link in the presence of adverse propagation conditions such as
multipath fading and interference.
Fig 5.34 compares the performance of all four schemes versus the SINR and number of receive
and transmit array elements. For the adaptive antenna array case (beamforming), only the effect
of the increased array gain was considered in the comparison. The actual performance is better
when the interference reduction capabilities are factored in.

Fig 5.34 Performance comparisons between different spatial techniques

320
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.5 Power allocation strategies


It is important to realize that the performance improvements achievable with MIMO systems by
going to multiple transmit antenna do not derive from increased transmit power, which would be
a very inefficient approach to overcome interference or improve spectral efficiency. Rather, in
MIMO systems a peak power constraint of 𝑃𝑚𝑎𝑥 is imposed on each transmit antenna so that the
total power available at the transmitter is 𝑃𝑡𝑜𝑡 = 𝑀𝑇 𝑃𝑚𝑎𝑥 and is equivalent to the single
transmit antenna case. It is possible to allocate this total power over all N nonzero eigenmodes of
the channel in a variety of ways, as long as the per-antenna power limit and the total power limit
are not exceeded.
Common methods for power allocation are
 Water filling or water pouring principle.
 Uniform power allocation.
 Beamforming.
 Beam steering.

5.2.5.1 Water filling principle


 The principle: More power is allocated to the channel that is in good condition
(with lowest noise variance or power) and less or none at all to the bad channels
(with high noise variance or power).
Assuming a total transmit power constraint, 𝑃𝑡𝑜𝑡 = 𝑀𝑇 𝑃𝑚𝑎𝑥 , the optimum capacity achieving
power allocation strategy for the N parallel channels when the channel information is known to
the transmitter is found by water filling principle. The water filling method performs a
distribution of the available power over the eigenmodes in such a way that the mode with the
lowest noise variance receives the greatest fraction of total power. The water filling power
allocation technique is optimal under constraint of total power. However, performance degrades
when the per-antenna power limit is taken into account.

Now the water filling algorithm applied to enhance the capacity when the channel is known to
the transmitter is investigated.
When the channel is known to the transmitter, it was proven previously in section 5.2.4.4.2 the
capacity is given by
𝑟 𝐸𝑠 𝛾 𝑖
𝐶= 𝑖=1 log 2 ( 1+ 𝜆𝑖 ) bps\Hz
𝑀𝑇 𝑁𝑜
To maximize mutual information, the transmitter can access the individual sub-channels and
allocate variable power levels to them. Hence, the mutual information maximization problem
becomes,
𝑟 𝐸𝑠 𝛾 𝑖
𝐶 = max 𝑟
𝑖=1 𝛾 𝑖 𝑖=1 log 2 ( 1+ 𝜆𝑖 ) (5.71)
𝑀𝑇 𝑁𝑜
2
Where 𝛾𝑖 = 𝐸 𝑠𝑖 (i = 1, 2, . . . , r) is the transmit energy in the i th sub-channel such that
𝑟
𝑖=1 𝛾𝑖 = 𝑀𝑇 .

321
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Using Lagrangian methods, the optimal energy allocation procedure is


𝑜𝑝𝑡 𝑀𝑇 𝑁𝑜
𝛾𝑖 = 𝜇− , 𝑖 = 1 ,2, … … … 𝑟 𝑎𝑛𝑑 (5.72)
𝐸𝑠 𝜆 𝑖
𝑟 𝑜𝑝𝑡
𝑖=1 𝛾𝑖 = 𝑀𝑇
where μ is a constant
The water filling algorithm which is described now is used to determine this optimal energy
allocation iteratively.
We set the iteration count p to 1 and calculate the constant μ in (5.72)
𝑀𝑇 𝑁0 𝑟−𝑝+1 1
𝜇= 1+ 𝑖=1 (5.73)
(𝑟−𝑝+1) 𝐸𝑠 𝜆𝑖
Using this value of μ, the power allocated to the i th sub-channel is calculated as

𝑀𝑇 𝑁0 𝑟−𝑝+1 1
𝛾𝑖 = 𝜇 − 𝑖=1 , 𝑖 = 1,2, … … . . , 𝑟 − 𝑝 + 1 (5.74)
𝐸𝑠 𝜆 𝑖 𝜆𝑖
If the power allotted to the channel with the lowest gain is negative (i.e., 𝜆𝑟−𝑝+1 < 0), we
𝑜𝑝𝑡
discard this channel by setting 𝛾𝑟 −𝑝+1 = 0 and rerun the algorithm with the iteration count p
incremented by 2. The optimal power allocation strategy, therefore, allocates power to those
spatial sub-channels that are non-negative. Fig 5.35 illustrates the water-pouring algorithm.
Obviously, since this algorithm only concentrates on good-quality channels and rejects the bad
ones during each channel realization, it is to be expected that this method yields a capacity that is
equal or better than the situation when the channel is unknown to the transmitter.

Fig 5.35 Schematic of the water-pouring algorithm.

5.2.5.2 Uniform power allocation


This is the common used method when the channel is unknown to the transmitter; in which the
total power is allocated evenly over all modes.
This uniform power allocation method assigns power 𝑃𝑡𝑜𝑡 𝑁 to each mode; this power allocation
results in equal power on each antenna. When the number of modes is less than the number of

322
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

transmit antennas, a scaling coefficient can be used to meet the constraint. It is worth noting that
the effective result is the same as if the maximum power 𝑃𝑚𝑎𝑥 were allocated to each mode.

5.2.5.3 Beamforming
The beamforming power allocation strategy places all of the available power on a single
eigenmode. To approach capacity, the total transmit power is assigned to the eigenmode
corresponding to the highest eigenvalue. Thus, the SINR is maximized given the constraint of
using a single mode.

5.2.5.4 Beam steering


In the beamforming transmission strategy already described, both the amplitude and phases of
the principal eigenmode are used at the transmitter. The beam steering transmission strategy also
places the total available power on the single data stream (eigenmode); however, instead of using
both the amplitude and phase information of the principal eigenmode, only the phase information
is used. The amplitude information is discarded by normalizing the principal eigenvector such
that all coefficients of the vector have unity amplitude. To ensure that the power across each
transmit antenna is 𝑃𝑚𝑎𝑥
a rescaling coefficient equal to 𝑃𝑚𝑎𝑥 𝑃𝑡𝑜𝑡 is applied.
The beam steering approach better uses the total available power by increasing the transmitted
power in the direction of the desired user. In the beamforming strategy, the scaling ensures that
the highest antenna power is equal to the per-antenna power limit, whereas the beam steering
scheme forces the power on all transmit antennas to equal 𝑃𝑚𝑎𝑥 , thus, resulting in a higher
overall transmit power and a higher effective SINR.

5.2.6 Random channels capacity


We have until now discussed MIMO capacity when the channel is a deterministic channel. We
now consider the case when H is chosen randomly according to a Rayleigh distribution in a
quasi-static channel. This is a real-life situation encountered, for example, in wireless LANs with
high data rates and low fade rates. We assume that the receiver has perfect knowledge of the
channel and the transmitter has no knowledge of the channel. Since the channel is random, the
information rate associated with it is also random. The cumulative distribution function (CDF) of
the information rate of a flat fading MIMO channel is shown in Fig 5.36 for a 2 × 2 system. The
SNR is 10 dB and the channel is unknown to the transmitter.

5.2.6.1 Ergodic capacity


Definitions
 The ergodic capacity of a MIMO channel is the ensemble average of the information rate
over the distribution of the elements of the channel matrix H.
 The ergodic capacity is the median of the CDF curve.
 It is the capacity of the channel when every channel matrix H is an independent
realization [i.e., it has no relationship to the previous matrix but is typically representative
of it class (ergodic)]. This implies that it is a result of infinitely long measurements.
Since the process model is ergodic, this implies that the coding is performed over an infinitely
long interval.

323
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.36 CDF of information rate for i.i.d. channel matrix with a 2 × 2 system and SNR = 10 dB.
Hence, it is the Shannon capacity of the channel. Based on (5.54) the ergodic capacity is
expressed as
𝑟 𝜌
𝐶=𝜖 𝑖=1 log 2 (1 + 𝑀 𝜆𝑖 ) (5.75)
𝑇
where 𝜌 = 𝐸𝑠 𝑁𝑜 . The expectation operator applies in this case because the channel is random.
Since H is random, the information rate associated with it is also random. The CDF of the
information rate is depicted in Fig 5.36.
As the ergodic capacity is the median of the CDF curve. In this case it is 7.0467 bit/s/Hz. Fig
5.37 shows the ergodic capacity over different system configurations as a function of 𝜌. We note
that ergodic capacity increases with increasing 𝜌 and with increasing 𝑀𝑇 and 𝑀𝑅 .
Ergodic capacity when the channel is known to the transmitter is based on the water-filling
algorithm and is given from (5.60)
𝑟 𝐸𝑠 𝛾 𝑖
𝐶=𝜖 𝑖=1 log 2 (1 + 𝑀 𝑁 𝜆𝑖 ) (5.76)
𝑇 0
Equation (5.76) is the ensemble average of the capacity achieved when the water-filling
optimization is performed for each realization of H. Fig 5.38 shows the performance comparison
of ergodic capacity of a MIMO channel with 𝑀𝑇 = 𝑀𝑅 = 4 when the channel is unknown to the
transmitter and also when known to the transmitter and the channel is Rayleigh i.i.d.

324
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig5.37 Ergodic capacity for different antenna configurations with 𝑀𝑇 = 𝑀𝑅 = 𝑀.

Fig 5.38 Ergodic capacity of an M = 4 channel with and without channel knowledge at the
transmitter. The difference in ergodic capacities decreases with SNR.

325
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The ergodic capacity when the channel is known to the transmitter is always higher then when it
is unknown. This advantage reduces at high SNRs. This is because at high SNRs (5.75) tends to
(5.67). Another way of looking at this situation is to appreciate the fact that at high SNRs, all
eigenchannels perform equally well (i.e., there is no difference in quality between them). Hence,
all the channels will perform to their capacities, making both cases nearly identical.

5.2.6.2 Outage capacity


In reality, the block lengths are finite. The common example is speech transmission.
In such cases, we speak of outage capacity. Outage capacity is the capacity that is guaranteed
with a certain level of reliability. We define p% outage capacity as the information rate that is
guaranteed for (100-p) % of the channel realizations, that is,
P(C < Cout) = p%. We show 10% outage capacity in Fig 5.39. Fig 5.39 shows the 10% outage
capacity for several MIMO cases, when the channel is i.i.d. and unknown at the transmitter. We
note that as the SNR increases, the capacity increases and as the number of antennas increases,
so does the capacity.

Fig 5.39 10% outage capacity for various antenna configurations. Outage capacity improves
with rising 𝑀𝑇 = 𝑀𝑅 = 𝑀.

From (5.50) for the case when 𝑀𝑇 = 𝑀𝑅 = 𝑀 and the channel is i.i.d.,
1
𝐻𝜔 𝐻𝑤𝐻 𝐼𝑀 𝑎𝑠 𝑀 ∞ (5.77)
𝑀 𝑡𝑒𝑛𝑑𝑠 𝑡𝑜 𝑡𝑒𝑛𝑑𝑠 𝑡𝑜
Therefore,
𝐶 𝑀 log 2 1 + 𝜌 (5.78)
𝑡𝑒𝑛𝑑𝑠 𝑡𝑜

326
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Where 𝜌 is the SNR


Asymptotically in M, the capacity in spatially white MIMO channel becomes deterministic and
increases linearly with M for a fixed SNR. Also for every 3-dB increase in SNR, we get M
bit/s/Hz increase in capacity for a MIMO channel, compared with 1 bit/s/Hz in a SISO channel.
The outage capacity curves substantiate this conclusion. If the channel is known at the
transmitter, Fig 5.40 shows that water-filling is a superior solution.

Fig 5.40 10% outage capacity of an M = 4 channel with and without channel knowledge
at the transmitter. The difference in outage capacities decreases with SNR.

The same arguments for convergence of the curves at high SNRs apply to Fig 5.40 as for Fig
5.38 but in the context of outage capacities.

5.2.7 MIMO advantages and key performance issues


The biggest advantage of MIMO systems is their ability to provide tremendous capacity gains
under certain conditions compared with other spatial techniques
 In SIMO/MISO systems capacity improves by about 1 bps/Hz when the SINR is doubled,
whereas in a MIMO system doubling SNR improves capacity
by ~ N bps/Hz, N = min(𝑀𝑇 , 𝑀𝑅 )
 Another benefit of MIMO systems that derives from the increased diversity order is
improved link reliability. As diversity increases, the probability that a given data rate
cannot be reliability sustained is reduced:
𝑃𝑜𝑢𝑡𝑎𝑔𝑒 = 𝑘 ∗ (𝑆𝑁𝑅)−𝑁 (5.79)

327
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

where 𝜅 is a constant and N is the diversity order.


 In a SIMO or MISO system the diversity order 𝑁 = 𝑀𝑅 & 𝑀𝑇 , respectively, whereas in
a MIMO system 𝑁 = 𝑀𝑅 ∗ 𝑀𝑇 which increases the diversity significantly.

5.2.8 Factors influencing MIMO system capacity


The superior performance of the MIMO systems is enhanced by several factors as it was shown
in the analysis equations such as
 The channel knowledge existence to the transmitter,
 Increasing the SNR
 Increasing the number of used antennas.
In this section, we aim to compare between the benefit or the enhancement that we get in the
MIMO capacity when we increase a certain factor and the price paid to increase this factor.

5.2.8.1 CSI existence or not (channel known or unknown to the transmitter)


In this case, we have a trade off between the overhead on the system when it estimates the
channel, and the enhancement achieved in the MIMO capacity.
There are several approaches to implement MIMO systems that are based on the presence or
absence of channel information at the transmitter.
The best performance can be achieved with fixed terminals where the receive array size is not
severely constrained by the physical dimensions. Low fading rates or, more precisely, lack of
mobility allows for accurate channel estimation, hence the full CSI-based approach is possible.
Moreover, the use of directional antennas in the receive array helps improve performance.
For portable terminals, which are usually stationary during usage (e.g., laptop), the array size is
somewhat more constrained but the use of directional and/or Omni receive antennas is still
possible. In this case, a mixed approach is more suitable where the mode can be adaptively
selected between full, partial, or no CSI.
Finally, for mobile terminals, the array size is severely constrained (e.g., phone) and the
terminals are typically restricted to Omni receive antennas. This results in a low to moderate
SINR environment that favors a partial or no CSI-based approach. This is because in high
mobility the fade rates are also high, leading to less accurate channel estimates. Although the
feedback frequency can be increased so that the transmitter has meaningful channel estimates
faster than changes in the channel, this would significantly increase the overhead. A summary of
the different MIMO implementation schemes discussed here is shown in Table 5.2
MIMO schemes Advantages Disadvantages Applications
Transmitter equipped Best performance Increased overhead Fixed terminals
with channel state since a CSI feedback
information (full CSI) channel is required
Transmitter does not No CSI feedback Worst performance Mobile terminals
have CSI (non-CSI) channel required
Transmitter has limited A CSI limited feedback Performance between Portable terminals
CSI (partial CSI) channel is till required CSI and non-CSI
→reduced overhead schemes
relative to CSI
Table 5.2 MIMO implementation schemes

328
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.8.2 Effect of SNR


MIMO gains over diversity systems increase with the SINR, as was demonstrated in Fig 5.34.
Fig 5.41 shows how the performance compares for low to moderate SINR. It follows that in
situations where the system is interference limited (low SINR), MIMO gains will be reduced. In
those situations, enhanced interference management techniques, such as power control and
directional antennas, can be employed to improve a MIMO system performance.

Fig 5.41 Performance comparison between different spatial techniques at low to moderate SINR.

MIMO capacity gain over that of a SISO system also increases as the SINR is increased. This is
shown in Fig 5.42, where we can see that the gain is marginal for SINRs below 0 dB, but
significant gains can be achieved at high SINRs. It can be shown that as the SNR → ∞,
𝐶𝑀𝐼𝑀𝑂 𝐶𝑆𝐼𝑆𝑂 → N, where N= min(𝑀𝑇 , 𝑀𝑅 ) , assuming the same total power for both schemes.
This can also be seen in Fig 5.42, where the gain converges toward two and four for the 2 × 2
and 4 × 4 cases, respectively.

Fig 5.42 Benefits of MIMO systems over SISO systems as the SNR increase.

329
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.43 also illustrates how MIMO and receive diversity performances compare for the same
total number of antenna elements. We can see that MIMO starts outperforming receive diversity
only at high SINRs, above 10 dB, and this gain improves as the SINR is increased.

Fig 5.43 Comparison between MIMO and receive diversity for four total antennas

The performance of MIMO systems with respect to the SINR is summarized in Table 5.3
Low SINR region  Some diversity order.
 Reduced outage probability →lower link margin
required →better coverage.
 No significant increase in average data rate.
Moderate to high SINR region  Significant increase in average data rates over SIMO
(by exploiting parallel channels to increase link
throughput).
 High SNR→throughput increases with link
dimensionality (see Fig5.42).
 Large diversity reduces variability of link data rate.
Table 5.3 MIMO Performance Comparison verses different SNR

5.2.8.3 Effect of Number of used antennas


The combined use of transmit and receive arrays offers capacities that increase linearly with the
number of array elements. Spatial multiplexing in MIMO systems can be employed in cases
where high peak data rates and very low service outage probability are required. The operating
requirements necessary to achieve those gains with spatial multiplexing can be summarized as
follows:
 Sufficiently rich signal scattering;
 Data rate much higher than maximum Doppler spread (e.g., fixed or stationary users).
 Moderate to high SNRs.
Here a brief comparison between the performance of MIMO systems and beam forming, receive,
and transmit diversity is made. Transmit diversity gains and capacity improvements increase as
the number of array elements or diversity branches is increased but the gains diminish beyond
four elements.

330
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Beam forming performance improves with increasing the number of elements as the beamwidth
decreases and the array gain increases. However, since decreasing the beamwidth below the
angle spread does not provide additional gains, there is an upper bound on the number of
elements. This is shown in Fig5.44, where we can see that in macrocells where the angle spread
(AS) is generally below 15°, the upper bound on the number of elements is 20 for AS of 5° and
about eight for AS of 12°.

Fig 5.44 Upper bound on number of elements M versus angle spread AS.

A depiction of the performance of the different approaches is shown in Fig 5.45. We can see that
for users with high SINR (i.e., those close to the base station); spatial multiplexing outperforms
all other techniques. In moderate SINR, both beam forming and spatial multiplexing have similar
performances, whereas beamforming outperforms all others for users with low SNR (e.g., at cell
edge).

5.2.9 Problems that degrade MIMO system capacity


In this section the problems that degrade the superior performance of MIMO system are
investigated, briefly, those problems are:
 Influence of fading correlation on MIMO capacity.
 Influence of LOS on MIMO capacity.
 Influence of XPD on MIMO capacity.
 Keyhole effects.

5.2.9.1 Influence of fading correlation on MIMO capacity


In reality, the channel is not ideally Rayleigh i.i.d. There are various factors that cause it to
deviate from this and, as a result, the performance of MIMO systems deteriorate. One of these is
correlation. Correlation problems arise because of the separation distance between antenna
elements in a base station.

331
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.45 Comparison between MIMO, beam forming, and diversity.

Usually this separation distance is in the order of a few centimeters, whereas the separation
between the mobile and the base station is in the order of a few kilometers! Hence, the signals
arriving at the base station from a receiver will necessarily be very close together, giving rise to
correlation between them. This occurs because all the antenna elements receive the same signal,
due to the geometry of the phenomenon.
The degree of ‗‗sameness‘‘ determines the correlation coefficient with 1 as maximum correlation
and 0 as no correlation.

This is overcome in a base station by:


 Using independent dipole antennas separated by a distance D that exceeds the coherent
distance for that channel.
 Using two separate antenna arrays separated by a distance D that exceeds the coherent
distance for that channel.
These cases are illustrated in Fig 5.46.
In Fig5.46, both cases (a) and (b) are feasible. The separation distance D is usually of the order
of 10 to 16 wavelengths for a base station, because it is on a high vantage point and far from the
mobile receivers. The problem is not so severe for mobile phones because they are invariably
located in a high scattering environment. In such cases the separation distance is usually 2 to 3
wavelengths.

332
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.46 the correlation problem. We can deploy


(a) Separate dipole antennas well separated from each other or
(b) Antenna arrays well separated from each other.

In the event of correlation, the elements of the channel matrix are correlated and may be modeled
as
𝑣𝑒𝑐 𝐻 = 𝑅1 2 𝑣𝑒𝑐 (𝐻𝑊 ) (5.80)

where 𝐻𝜔 is a Rayleigh i.i.d. spatially white MIMO channel matrix of size 𝑀𝑅 × 𝑀𝑇 and R is a
𝑀𝑇 𝑀𝑅 × 𝑀𝑇 𝑀𝑅 covariance matrix defined as

𝑅 = 𝜖 𝑣𝑒𝑐 𝐻 𝑣𝑒𝑐 (𝐻)𝐻 (5.81)

R is a positive semidefinite Hermitian matrix. If R is full rank (i.e.,𝑅 = 𝐼𝑀𝑇 𝑀𝑅 ), then in such a
case 𝐻 = 𝐻𝜔 . The idea of such a model is to efficiently portray the correlation effects in the
channel. This approach is elaborated by using a more generalized model given by
1 2 1 2
𝐻 = 𝑅𝑟 𝐻𝜔 𝑅𝑡 (5.82)

where R t is the 𝑀𝑇 × 𝑀𝑇 transmit covariance matrix and R r is the 𝑀𝑅 × 𝑀𝑅 receive covariance


matrix. Both 𝑅𝑡 𝑎𝑛𝑑 𝑅𝑟 are positive semidefinite Hermitian matrixes. Equation (5.82) is
explained as follows:
 The transmitted signal, when it reaches the receiver, is correlated by virtue of the
geometry at the receiver (𝑅𝑟 ). The channel per se has been portrayed as Rayleigh i.i.d.
(𝐻𝜔 ).
 The transmitted signal is correlated at the transmitter itself due to the geometry at the
transmitter (𝑅𝑡 ) or due to a low angle of spread.
 𝑅 , 𝑅𝑡 𝑎𝑛𝑑 𝑅𝑟 are related by 𝑅 = 𝑅𝑡𝑇 ⊗ 𝑅𝑟 where ⊗denotes Kronecker product.

333
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

We note that 𝐻𝜔 is full rank per se, but the effective rank of H gets reduced due to correlation at
the transmitter or at the receiver or both and this effective rank is expressed as min (𝑟 𝑅𝑟 , 𝑟(𝑅𝑡 )
) where r (A) denotes rank of A.
If we assume that both the matrixes 𝑅𝑟 and 𝑅𝑡 are normalized so that they have unity values
2
along their diagonals, this yields 𝜖 𝑕𝑖,𝑗 = 1. The capacity of the MIMO channel in the
presence of spatial fading correlation without channel knowledge at the transmitter follows from
(5.50) as

𝜌 1 2 𝐻 2
𝐶 = log 2 det⁡(𝐼𝑀𝑅 + 𝑅𝑟 𝐻𝜔 𝑅𝑡 𝐻𝜔𝐻 𝑅𝑟 ) (5.83)
𝑀𝑇
Assume 𝑀𝑅 = 𝑀𝑇 = 𝑀 and that the receive and transmit correlation matrixes are full rank.
Then, at high SNR, the capacity can be approximated as
𝜌
𝐶 = log 2 det( 𝐻𝜔 𝐻𝜔𝐻 ) + log 2 det 𝑅𝑟 + log 2 det 𝑅𝑡 (5.84)
𝑀
We note from (5.84) that both correlation matrixes have the same impact on the channel
capacity. We now examine the conditions on 𝑅𝑟 that maximize capacity.The same arguments
apply to 𝑅𝑡 .
det(𝑅𝑟 ) = 𝑀 𝑖=1 𝜆𝑖 ( 𝑅𝑟 ) ≤ 1 (5.85)

Remember that there is a power constraint in that 𝑀 𝑖=1 𝜆𝑖 𝑅𝑟 = 𝑀. This means that
log2 det 𝑅𝑟 ≤ 0. It can only equal zero if all eigenvalues of 𝑅𝑟 are equal (i.e., 𝑅𝑟 = 𝐼𝑀 ).
Therefore, fading signal correlation does reduce the number of eigenvalues and thereby reduces
the MIMO channel capacity. This loss in ergodic or outage capacity is given by
(log2 det 𝑅𝑟 + log2 det 𝑅𝑡 )bit/s/Hz.
If we assume an orthogonal channel where 𝑀𝑅 = 𝑀𝑇 = 2 and further assume that there is
correlation only at the receiver, then we choose a receive correlation matrix as
1 𝜍
𝑅𝑟 = (5.86)
𝜍 1
We take a correlation coefficient of 0.8.
We note from Fig 5.47 that there is a loss of 2.47 bit/s/Hz at high SNR compared with the case
with no correlation. This is the loss expected from the log2 det 𝑅𝑟 component. If the correlation
coefficient of either or both of 𝑅𝑟 and 𝑅𝑡 is unity, then the H matrix will also become rank 1 (i.e.,
it becomes an SISO channel). Hence, correlation is not a good thing!

5.2.9.2 Influence LOS on MIMO capacity


We now examine another aspect, which makes a channel deviate from Rayleigh i.i.d. Until now
we have only considered a Rayleigh i.i.d. channel. This is far removed from reality. It is better to
depict the real-world channel as
1 2 1 2
𝐻 = 𝐻𝑅𝑖𝑐 + 𝑅𝑟 𝐻𝜔 𝑅𝑡 (5.87)

where 𝐻𝑅𝑖𝑐 is the Rician or line-of-sight (LOS) component. The other terms were discussed in
the previous section. The LOS is a component that exists by virtue of a direct path between the
transmitter and the receiver, which are so located as to be within

334
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.47
Ergodic
capacity
with high and
low correlation. The loss in ergodic capacity is about
2.47 bit/s/Hz when 𝜍 = 0.8.

line of sight of each other. The LOS in (5.87) can also be shown as a sum of a fixed component
and a scattered component as follows
𝐾 1
𝐻= 𝐻+ 𝐻𝜔 (5.88)
𝐾+1 𝐾+1

where 𝐾 (𝐾 + 1) 𝐻 = 𝜖 𝐻 is the LOS component of the channel and 1 (𝐾 + 1) 𝐻𝜔 is


the fading component that assumes uncorrelated fading. The elements of H are assumed to have
unit power.
K in (5.88) is the Rician K-factor of the system and is essentially the ratio of the power in the
LOS component of the channel to the power in the fading component. K = 0 corresponds to a
pure Rayleigh i.i.d. channel, whereas K = ∞ corresponds to a nonfading channel.
The LOS component manifests itself in the following two cases:
 The separation distance between antennas as previously discussed.
 LOS component created due to a poor scattering environment. This is shown in Fig 5.48.
In Fig5.48, we discuss two indoor wireless environment cases, like a WLAN environment. We
have a laptop with two receiving antennas. In a poor scattering environment we are likely to
encounter a situation as shown in the left half of the Fig. Due to colocated antennas, we have a

335
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

LOS component. If the scattering is rich enough, the antennas do not appear colocated, as shown
in the right half of the Fig. This sort of

Figu 5.48 Colocation problem in a fixed WLAN environment.

environment is close to Rayleigh i.i.d. and is desirable. The former gives rise to a LOS
component. Hence, we note that the LOS phenomenon can occur both in indoor as well as
outdoor environments.
In either case, the end result is the same regarding correlation.
We take a 𝐻 matrix of
1 0.8
𝐻= (5.89)
0.8 1
Equation (5.89) pertains to a correlation coefficient of 0.8, similar to the correlation effect in the
example in Section5.2.9.1. In Fig 5.49, we have plotted ergodic capacity using this channel
matrix with varying K-factor.
We note from Fig 5.49 that rising K-factor is detrimental to capacity. Hence, we must be careful
to minimize the LOS component. This is one of the major engineering hurdles in MIMO
technology.

Fig 5.49 Ergodic capacity versus K-factor for a MIMO channel.

336
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.9.3 Influence XPD on MIMO capacity


The channel models discussed so far assume that the antennas at the base station and at the
receivers have identical polarizations. The use of antennas with orthogonal polarizations at the
transmitter and receiver leads to a gain (or power) and correlation imbalance between the
elements of H. These polarizations are usually ±45° or horizontal/vertical (0°/90°).
Basically they require being orthogonal to each other. This ideally ensures zero coupling
between the antennas. Therefore, signals with vertical polarizations, for example, are transmitted
by one set of antennas and received by another set of vertical polarized antennas at the receiver.
The same is the case with the horizontal polarized antennas. In view of the fact that these
polarizations are orthogonal to each other, the signals do not ‗‗see‘‘ each other (i.e., they are
independent). This is the ideal case. The reality is quite different, a certain amount of each signal
‗‗leaks‘‘ into the other signal and vice versa. So the terms cross polarization discrimination
(XPD) and cross-polarization coupling (XPC) are introduced. The former tells us as to how well
one antenna discriminates its polarization from the other antenna. The latter term refers to the
coupling between these polarizations during their propagation through the channel and is caused
due to the rich scattering nature of the environment.
These phenomena are collectively defined by a constant 𝛼 (0 ≤ 𝛼 ≤1), where in the absence of
XPC, 0 implies that we have good XPD (i.e., the antennas discriminate between each other‘s
polarizations extremely well (no interference) and 1 implies no XPD, meaning that the antennas
cannot discriminate at all between each other‘s signals). It was found that, typically, at distances
of 2.6 kms and above, 𝛼 = 1, due to the rich scattering nature of the environment. If we assume
the power in the individual channel elements to be
2 2
𝜖 𝑕1,1 = 𝜖 𝑕2,2 =1 (5.90)

2 2
𝜖 𝑕1,2 = 𝜖 𝑕2,1 = 𝛼 (5.91)

Assuming a Rayleigh i.i.d. channel, the channel H with cross-polarized antennas may be
modeled approximately as
1 2 1 2
H = β ⊙ 𝑅𝑟 𝐻𝜔 𝑅𝑡 (5.92)
Where
1 𝛼
𝛽= (5.93)
𝛼 1
and ⊙ stands for the Hadamard product (if A = B ⊙ C then 𝐴 𝑖,𝑗 = 𝐵 𝑖,𝑗 𝐶 𝑖,𝑗 ).
The covariance matrixes 𝑅𝑟 and 𝑅𝑡 are already well known to us as portraying the correlations
extant at the receiver and the transmitter, respectively, and also include XPD, XPC, and antenna
spacing as factors influencing their structure. The XPC phenomenon occurs in a scattering
environment. If the environment through which the signal propagates is nonscattering, then
𝐻 = 𝛽 (i.e., the right half of (5.92) vanishes).

If we assume the environment as nonscattering (i.e., deterministic), then the capacity for a 2 ×
2 system is given by [from (5.56)]

337
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝜌
𝐶𝛼 =0 = 2 log 2(1 + ) (5.94)
2
and
𝐶𝛼=1 = log 2 (1 + 2𝜌 ) (5.95)
where 𝜌 is the SNR. For (5.95) the H matrix is all ones, yielding eigenvalues of 0 and 4.

At very low SNR ( 𝜌 << 1),


using log 2 1 + 𝑥 ≈ 𝑥 log 2 𝑒 for x ≪ 1

𝐶𝛼=0 ≈ 𝜌 log 2 𝑒 (5.96)


and
𝐶𝛼=1 ≈ 2 𝜌 log 2 𝑒 (5.97)

Hence, good XPD is detrimental to capacity at low SNR.

In high SNR conditions ( 𝜌 1),


𝜌
𝐶𝛼 =0 ≈ 2 log 2 ( ) (5.98)
2
and
𝐶𝛼=1 ≈ log 2(2𝜌 ) (5.99)

Hence, in high SNR conditions, good XPD (α = 0) performs better than poor XPD, which is
exactly the reverse of the case at low SNRs. Fig 5.50 confirms this performance for a 2 ×
2 channel.

Fig 5.50 Ergodic capacity of a MIMO channel with good XPD (α = 0) and no XPD (α= 1).

338
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.9.4 Influence of key hole on MIMO capacity


We consider a system with two transmit and two receive antennas surrounded by scatterers.
These antennas are uncorrelated. If the channel were a Rayleigh i.i.d. channel, this would have
yielded a channel matrix of full rank and size as 𝑀𝑅 × 𝑀𝑇 . Now suppose, as shown in Figure
5.51, a screen separates these two sets of antennas with a small hole in it. This gives rise to a
propagation condition called ‗‗keyhole.‘‘ The only way for the transmitted signals to propagate is
to pass through the keyhole.

Figure 5.51 the keyhole effect

The transmitted signal vector is given by


𝑠1
𝑠= 𝑠 (5.100)
2
where 𝑠1 and 𝑠2 are the signals transmitted from the first and second antennas, respectively. The
signal incident at the keyhole is given by
𝑟1 = 𝐻𝑡 𝑠 (5.101)
where 𝐻𝑡 is given by
𝐻𝑡 = 𝑕1 𝑕2 (5.102)

where 𝑕1 and 𝑕2 are the channel coefficients corresponding to transmitted signals 𝑠1 and 𝑠2
respectively. 𝑕1 and 𝑕2 are independent complex Gaussian variables. The signal across the
keyhole is given by
𝑟2 = 𝜗 𝑟1 (5.103)
where 𝜗 is the keyhole attenuation.
The signal vector at the receive antenna across the keyhole, denoted by 𝑟3 , is given by
𝑟3 = 𝐻𝑟 𝑟2 (5.104)
where 𝐻𝑟 is the channel matrix describing the propagation on the right-hand side of the keyhole
and is given by
𝑕3
𝐻𝑟 = (5.105)
𝑕4
where 𝑕3 and 𝑕4 are the channel coefficients corresponding to the first and second receive
antennas, respectively.

339
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The effective channel H is given by


𝐻 = 𝐻𝑟 𝐻𝑡𝑇 (5.106)

The received signal vector at the right-hand side of the keyhole is then given by

𝑟3 = 𝜗 𝐻𝑆 (5.107)
The channel matrix (effective channel matrix) from (5.106) is

𝑕1 𝑕3 𝑕2 𝑕3
𝐻= (5.108)
𝑕1 𝑕4 𝑕2 𝑕4

Since H is constructed from the product of two vectors, every realization of the channel H is
rank-deficient with a rank of 2. The distribution of H is double Rayleigh and is given by
𝜔 4 +𝑥 2
∞ 𝑥 −
𝑓 𝑥 = 𝑒 2𝜔 2 𝜍 2
𝑟 𝑑𝜔 , 𝑥 ≥ 0 (5.109)
0 𝜔𝜍𝑟4

where the amplitude distribution is the product of two independent Rayleigh distributions, each
with the power of 2𝜍𝑟2 . There is only one channel or data-pipe between the transmitter and the
receiver. The corresponding channel capacity is given by [from (5.54)]
𝜌
𝐶 = log 2 (1 + 𝜆) (5.110)
2
where 𝜌 is SNR and λ is the solitary eigenvalue. The capacity with increasing SNR increases
logarithmically, although the underlying channel is a MIMO channel.
Figure 5.52 shows the performance of a degenerate channel. The drop in capacity compared with
a regular channel is evident.

Figure 5.52 Performance of a degenerate channel due to hole effect for a 2 ×2 system.
Keyhole effects occur sometimes when the transmitted wavefront arrives with no angle spread
(e.g., signals penetrating into buildings through small windows and also in narrow streets).

340
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.10 capacity of frequency selective MIMO channels


We now consider a real-life situation wherein the channel is not narrowband but frequency
selective. Intuitively, subdividing the wideband channel into N narrowband ones, and then
summing the capacities of these N frequency flat channels can achieve this. The bandwidth of
each of these subchannels will be B/N Hz where B is the overall channel bandwidth. This is
provided the coherent bandwidth of the channel permits this (i.e., it is more than or equal to B/N
Hz), as otherwise the subchannels will not be frequency flat.
We take the i th subchannel. The input-output relationship is defined as [from (5.39)],

𝑟𝑖 = 𝐻𝑖 𝑠𝑖 + 𝑛𝑖 (5.111)

where 𝑟𝑖 is the 𝑀𝑅 × 1 received signal vector, 𝑠𝑖 is the 𝑀𝑇 × 1 transmitted signal vector and 𝑛𝑖 is
the 𝑀𝑅 × 1 noise vector for the i th subchannel.
Hence, for the overall wideband channel we deal with block matrixes as
ℛ = ℋ𝑆 + 𝒩 (5.112)

where ℛ = [𝑟1𝑇 𝑟1𝑇 … … … 𝑟𝑁𝑇 ]𝑇 is 𝑀𝑅 𝑁 × 1, 𝑆 = [𝑠1𝑇 𝑠1𝑇 … … … 𝑠𝑁𝑇 ]𝑇 is 𝑀𝑇 𝑁 × 1,


𝒩 = [𝑛1𝑇 𝑛1𝑇 … … … 𝑛𝑁 𝑇 𝑇
] is 𝑀𝑅 𝑁 × 1, ℋ is an 𝑀𝑅 𝑁 × 𝑀𝑇 𝑁 block diagonal matrix with 𝐻𝑖 as
block diagonal element. 𝑅𝑠𝑠 = 𝜖 𝑆𝑆 𝐻 is the covariance matrix of S, constrained so that
𝑇𝑟 𝑅𝑠𝑠 = 𝑁𝑀𝑇 . This constrains the total average transmit power to 𝐸𝑠 . From (5.49), the
capacity of such a channel is given by

𝐵 𝐸𝑠
𝐶𝐹𝑆 = max 𝑇𝑟 𝑅𝑠𝑠 =𝑁𝑀𝑇 log 2 det( 𝐼𝑀𝑅 𝑁 + ℋ𝑅𝑠𝑠 ℋ𝐻 ) bps\Hz (5.113)
𝑁 𝑀𝑇 𝑁𝑜

We now examine the two usual cases of when the channel is unknown to the transmitter and
when it is known to the transmitter.

5.2.10.1 Channel unknown to the transmitter


In this case, we should choose 𝑅𝑠𝑠 = 𝐼𝑀𝑇 𝑁 , which implies that the covariance matrix is of full
rank (no correlation) and this in turn means that transmit power is allocated evenly across space
(transmit antennas) and frequency (subchannels).
This yields a deterministic capacity of [from (5.50)]

𝐵 𝑁 𝐸𝑠
𝐶𝐹𝑆 ≈ 𝑖=1 log 2 det( 𝐼𝑀𝑅 + 𝐻𝑖 𝐻𝑖𝐻 ) bps\Hz (5.114)
𝑁 𝑀𝑇 𝑁𝑜

If the frequency response of the channel is flat (we are talking about the entire channel being
narrowband), [i.e., 𝐻𝑖 = H (i = 1, 2, . . . , N)], then

𝐸𝑠
𝐶𝐹𝑆 = log 2 det( 𝐼𝑀𝑅 + 𝐻𝐻𝐻 ) bps\Hz (5.115)
𝑀𝑇 𝑁𝑜
which is the same as (5.50), the capacity of a frequency flat MIMO channel.

341
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Further if all 𝐻𝑖 are i.i.d. (i.e., the coherence bandwidth is B/N Hz), then as


𝑁 ∞, 𝐶𝐹𝑆 𝐶𝐹𝑆 (5.116)
𝑡𝑒𝑛𝑑𝑠 𝑡𝑜 𝑡𝑒𝑛𝑑𝑠 𝑡𝑜

(i.e., the capacity of such a frequency selective channel approaches a fixed quantity).
If the channel is random, we then have the usual two cases of ergodic and outage capacities. The
ergodic capacity is given by

𝐵 𝑁 𝐸𝑠
𝐶𝐹𝑆 ≈ 𝜖 𝑖=1 log 2 det( 𝐼𝑀𝑅 + 𝐻𝑖 𝐻𝑖𝐻 ) bps\Hz (5.117)
𝑁 𝑀𝑇 𝑁𝑜

The outage capacity is similarly defined. However, this outage capacity will be much better
(higher) than for the earlier examined cases of frequency flat channels (at low outage rates). This
is due to the high amount of frequency diversity present in the frequency selective channel. This
is manifest in Figure 5.53. In Figure 5.53, as the number of narrowband channels increases, with
increasing frequency selectivity, the outage capacity also rises proportionately because of rising
frequency diversity. Hence, the more the frequency selectivity, the higher the outage capacity.
Note also the tendency of the curve to flatten with rising frequency selectivity and rising N. This
bears out the statement in (5.116) that as 𝑁 ∞, the capacity tends to a fixed value. This
𝑡𝑒𝑛𝑑𝑠 𝑡𝑜
means that asymptotically (in N), the outage capacity of a sample realization of the frequency
selective MIMO channel equals its ergodic capacity (because 𝑁 ∞,).
𝑡𝑒𝑛𝑑𝑠 𝑡𝑜

Figure 5.53 Performance of frequency selectivity versus 10% outage capacity.

342
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.10.2 Channel known to the transmitter


The treatment regarding this case is similar as was done earlier for frequency flat channels. In
this case, we need to distribute the energy or power across space (antennas) and frequency
(subchannels) so as to maximize spectral efficiency. This is called space-frequency water-filling.
Since water-filling is applicable only to purely orthogonal channels, it becomes necessary to
achieve orthogonal channels by using OFDM techniques to convert a frequency select channel
into a set of parallel frequency flat channels, which are orthogonal to each other.
In such an event, if the composite channel H is known to the transmitter, the channel may be
decomposed into r (ℋ) space-frequency modes, where r (A) of matrix A stands for rank. The
capacity is then given by [using (5.60)]

𝐵 𝑟 ℋ 𝐸𝑠 𝛾 𝑖
𝐶𝐹𝑆 = max 𝑟 (ℋ) 𝑖=1 log 2 ( 1 + 𝜆𝑖 (ℋ ℋ 𝐻 )) bps\Hz (5.118)
𝑁 𝑖=1 𝛾 𝑖 =𝑁 𝑀𝑇 𝑀𝑇 𝑁𝑜

where 𝜆𝑖 (ℋ ℋ 𝐻 ) (i = 1, 2, . . . , r (ℋ) )are the positive eigenvalues of ℋ ℋ 𝐻 and 𝛾𝑖 is the energy


allocated to the i th space-frequency subchannel. We can define ergodic and outage capacities of
such channels, as was done earlier for frequency flat channels.

343
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.11 Simulation results


5.2.11.1 Effect of increasing either the number of used antennas or the
operating Signal to noise ratio

 The Fig 5.54 shows the ergodic capacity for different antenna configurations and
the variation of the signal to noise ratio in the range from (0 up to 25 dB).
 It is obvious that as either the number of used antennas or the signal to noise ratio
increases the capacity increases.
 Also the increase in the capacity due to the enhancement in SNR is not linear as it
increases with increasing the SNR
 The Fig compares the SISO case with MIMO cases from 2 antenna system
(2 transmit and 2 receive antennas) up to 8 antenna system

Fig 5.54 ergodic capacity performance verses the signal to noise


ratio as the number of used antennas increases

344
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The Fig 5.55 shows 10% outage capacity for different antenna configurations and
the variation of the signal to noise ratio in the range from (0 up to 25 dB).
 It is obvious that as either the number of used antennas or the signal to noise ratio
increases the capacity increases.
 Also the increase in the capacity due to the enhancement in SNR is not linear as it
increases with increasing the SNR
 The Fig compares the SISO case with MIMO cases from 2 transmit and 2 receive
antenna system up to 8 antenna system.

Fig 5.55 10% outage capacity performance verses the signal to


noise ratio as the number of used antennas increases

345
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The Fig 5.56 shows the ergodic capacity for MIMO 2X2 & SISO configurations
and the variation of the signal to noise ratio in the range from (-15 up to 25 dB)
 This Fig depicts the great enhancement in the capacity when 2 antennas where
used. It is almost 2 bits/sec/Hz.
 It also shows the performance when the noise power is grater than the signal
power

Fig 5.56 ergodic capacity performance verses the signal to noise ratio
in the range (-15 to 25 dB) in 2 X 2 MIMO and SISO cases.

346
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The Fig 5.57 shows 10% outage capacity for MIMO 2X2 & SISO configurations
and the variation of the signal to noise ratio in the range from (-15 up to 25 dB)
 This Fig depicts the great enhancement in the capacity when 2 antennas where
used. It is almost 2 bits/sec/Hz.
 It also shows the performance when the noise power is grater than the signal
power

Fig 5.57 10% outage capacity performance verses the signal to noise
ratio in the range (-15 to 25 dB) in 2 X 2 MIMO and SISO cases.

347
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.11.2 Effect of channel knowledge at the transmitter applying the water


filling algorithm to the case when channel is unknown to the transmitter

 The Fig 5.58 depicts the ergodic capacity in 2X2 MIMO antenna system, without the
existence of CSI at the transmitter and when the channel is known to the transmitter
and water filling algorithm is applied.
 As the SNR increase (high SNR range), all the channels performs equally well (there
is no difference in quality between them). Hence all the channels will perform to their
capacities, making both cases nearly identical.

Fig 5.58 ergodic capacity performance verses the signal to noise ratio in
the range (0 to 20 dB) in 2 X 2 MIMO system without CSI existence at
𝑇𝑋 and when CSI exist and water filling algorithm is applied

348
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The Fig 5.59 depicts the ergodic capacity in 4X4 MIMO antenna system, without the
existence of CSI at the transmitter and when the channel is known to the transmitter
and water filling algorithm is applied.
 As the SNR increase (high SNR range), all the channels performs equally well (there
is no difference in quality between them). Hence all the channels will perform to
their capacities, making both cases nearly identical.
 The performance also is enhanced as the number of used antennas increases.

Fig 5.59 ergodic capacity performance verses the signal to noise ratio in
the range (0 to 20 dB) in 4 X 4 MIMO system without CSI existence at
𝑇𝑋 and when CSI exist and water filling algorithm is applied

349
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The Fig 5.60 depicts the ergodic capacity in 2X2 MIMO antenna system, without the
existence of CSI at the transmitter and when the channel is known to the transmitter
and water filling algorithm is applied.
 The superior performance of the water filling algorithm is so obvious in the small
SNR range where the channel knowledge increases the capacity of the system
effectively with low SNR

Fig 5.60 ergodic capacity performance verses the signal to noise ratio in
the range (-5 to 10 dB) in 2 X 2 MIMO system without CSI existence at
𝑇𝑋 and when CSI exist and water filling algorithm is applied the superior
performance of water filling algorithm is clear in small SNR

350
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The Fig 5.61 depicts the ergodic capacity in 4X4 MIMO antenna system,
without the existence of CSI at the transmitter and when the channel is
known to the transmitter and water filling algorithm is applied.
 As we increase the number of antennas the performance of the water
filling algorithm is better, in the above 2X2 system Fig 5.60 WFA added
almost 2 bits/sec/Hz at -5 db and in the 4x4 system it added
almost 6 bits /sec/Hz.

Fig 5.61 ergodic capacity performance verses the signal to noise ratio in
the range (-5 to 10 dB) in 4 X 4 MIMO system without CSI existence at
𝑇𝑋 and when CSI exist and water filling algorithm is applied the superior
performance of water filling algorithm is clear in small SNR

351
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.62 ergodic and 10% outage capacity performance verses the signal
to noise ratio in the range (0 to 10 dB) in 4 X 4 MIMO system without
CSI existence at 𝑇𝑋 and when CSI exist and water filling algorithm is
applied, the enhancement of water filling algorithm is so obvious in the
two kinds of capacities.

 The Fig 5.62 illustrates the ergodic and outage capacities in 4X4 MIMO
antenna system, without the existence of CSI at the transmitter and when
the channel is known to the transmitter and water filling algorithm is
applied.
 It is so obvious the improvement that happened to the outage capacity and
ergodic capacity when we applied the water filling algorithm.

352
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.2.11.3 Effects that degenerates the MIMO capacity performance


1) Effect of fading correlation

 The Fig 5.63 shows the effect of correlation on a 2X2 MIMO system it is so
obvious that the capacity decreases as the correlation increases and the Fig
shows the system performance for many correlation coefficient values.
 So spatial correlation is one of the major engineering hurdles in MIMO
engineering

Fig 5.63 2X2 MIMO system capacity performance in cases were no


correlation exist and for different values of correlation coefficient,
the capacity decreases as the correlation coefficient increases.

353
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2) Effect of Line Of Sight (LOS) Existence

 This Fig 5.64 illustrates the effect of LOS on the ergodic capacity of the 2 x2
antenna system when the K factor varies from 0 up to 20 dB,
 The effect of LOS is so harmful, as we lost exactly 50% of the enhancement
gained from using 2 antennas.
 So LOS is so detrimental to MIMO systems

Fig 5.64 2X2 MIMO system capacity performance versus the


increase of line of sight component, as the LOS component increases
the capacity decreases.

354
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 The Fig 5.65 depicts that the operating SNR does not change the effect of
LOS on the capacity
 As we increase the operating SNR, the ergodic capacity suffers from the
same effect due to LOS existence.

Fig 5.65 2X2 MIMO system capacity performance versus the increase of
line of sight component, as the LOS component increases the capacity
decreases and that happens at all operating signal to noise ratio.

355
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.3 Layered Space-Time Coding/Decoding


In this part, the aim is to investigate codes for improving Multiplexing Gain by transmitting
independent data streams from each Antenna at the Transmitter side, achieving multiplexing gain
of order 𝑀𝑇 . This is done by exploiting the orthogonal nature of paths each data can take.
However, this introduces a phenomenon called Multi-Stream Interference (MSI), besides the
fading and additive noise problems.

5.3.1 Layered Space Time Encoders (Transmitters)


There are two major classification in SM technology, Horizontal and Vertical Encoding.

5.3.1.1 Horizontal Encoding


In this method, bits stream are first multiplexed into 𝑀𝑇 data streams, each stream is
independently encoded, interleaved and mapped, then is sent to the RF stage. Number of receive
antennas 𝑀𝑅 , must be equal or greater than number of transmitters 𝑀𝑇 . Here, diversity order
depends only on 𝑀𝑅 . This is a supobtimal diversity order, as 𝑀𝑇 × 𝑀𝑅 is preferred.

Fig 5.66 Horizontal Encoding

5.3.1.2 Diagonal Encoding (DLST)


In this scheme, the initial process is identical to Horizontal Encoding. However, before up-
conversion to the FR stage, the signal stream is rotated so that each data stream is cycled
periodically through each Transmitter. If data stream is long enough, each data stream will be
transmitted from all antennas, giving an overall transmit diversity order of 𝑀𝑇 × 𝑀𝑅 .
This is called Diagonal-Bell Labs Layered Space Time (D-BLAST). Here, the benefit from
rotating the data stream over the antennas is to make sure that none of them will be totally lost if
any of paths went in deep fade. The BLAST receiver uses a multiuser detection strategy based on
a combination of interference, cancellation, and suppression. In D-BLAST, each diagonal layer
constitutes a complete code word, so encoding is performed layer-by-layer. Consider the code
word matrix in Fig 5.67. the entries below the first diagonal layer are zeros.

356
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.67 Diagonal Encoding


To decode the first diagonal, the receiver generates a soft-decision statistic for each entry in that
diagonal. In doing so, the interference from the upper diagonals is suppressed by projecting the
received signal onto the null space of the upper interfaces. The soft statistics are then used by the
corresponding channel decoder to decode this diagonal. The decoder output is then fed back to
cancel the first diagonal contribution in the interface while decoding the next diagonal. The
receiver then proceeds to decode the next diagonal in the same manner.

5.3.1.3 Vertical Encoding


The configuration for Vertical-Bell Labs Layered Space Time (V-BLAST) is shown in Fig 5.68.
In this method the bit stream is encoded, interleaved, and mapped before being fed to a de-
multiplexer. This kind of transmission, however, requires joint decoding at the receiver, making
it very complex. The partial rate is 𝑀𝑇 and the signaling rate is rm rc 𝑀𝑇 bits/transmission. Since
the transmission bits re-spread over 𝑀𝑇 antennas and each stream is received by 𝑀𝑅 antennas,
the diversity order is 𝑀𝑇 × 𝑀𝑅 .

357
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

.
Fig 5.68 V-BLAST Encoding

5.3.2 Layered Space-Time Decoders (Receivers)


The reality of MIMO receivers is that we need to contend with MSI, since the transmitted
streams interfere with each other. In addition to this, we have the usual problem of channel
fading and additive noise. Initially we assume uncoded SM (i.e., the data stream comprises
uncoded data, where no temporal coding has been employed, but only mapping). The three most
common receivers for uncoded SM are ML ZF, and MMSE. We shall now briefly examine these.
We assume a flat fading environment following the law for the received signal
𝑟 = 𝐻𝑠 + 𝑛 (5.119)
where r is the received 𝑀𝑅 × 1vector, H is the channel matrix of size 𝑀𝑅 × 𝑀𝑇 , s is the
𝑀𝑇 × 1 transmitted signal and n is the 𝑀𝑅 × 1 zero-mean Gaussian noise vector with covariance
matrix N 0 𝐼𝑀𝑅 .

5.3.2.1 Maximum Likelihood Receiver


This is an optimum receiver. If the data stream is temporally uncoded, the ML receiver solves
ŝ = 𝑎𝑟𝑔𝑠 𝑚𝑖𝑛 𝑟 − 𝐻𝑠 2 (5.120)
where ŝ is the estimated symbol vector. The ML receiver searches through the entire vector
constellation for the most probable transmitted signal vector. This implies investigating 𝑆𝑀𝑇
combinations, a very difficult task. Hence, these receivers are difficult to implement, but provide
full 𝑀𝑅 diversity and zero power losses as a consequence of the detection process. In this sense it
is optimal. There have been developments based on fast algorithms employing sphere decoding.

5.3.2.2 Zero-Forcing Receiver


The ZF receiver is a linear receiver. It behaves like a linear filter and separates the data streams
and thereafter independently decodes each stream. We assume that the channel matrix H is
invertible and estimate the transmitted data symbol vector as

358
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

ŝ = (𝐻 𝐻 𝐻)−1 𝐻𝑠 = 𝐻 𝑠 (5.121)
where H represents pseudo inverse matrix of the non-square matrix H. The ZF receiver
decomposes the link into 𝑀𝑇 parallel streams, each with diversity gain and array gain
proportional to 𝑀𝑅 − 𝑀𝑇 + 1. Hence, it is suboptimum.

5.3.2.3 MMSE Receiver


In ZF decoding algorithm, the idea is to expose the received signals to the inverse of the channel
matrix, H, but that is also applied to the additive noise received with the signals.
ŝ = 𝐻𝑟 = s + 𝐻𝑛 (5.122)
If the channel matrix‘s determinant is relatively low, which corresponds to not perfectly
independent paths, the inverse will be large, and when applied to the noise component, it will
enhance it resulting bas performance of the decoder. To reach an optimum point between
interference cancellation and noise enhancement, MMSE decoding mechanism was introduced.
Here, we choose a matrix B such that is minimizes the Mean Squared Error (ε 2), where
𝜀 2 = 𝐸[(𝑠 − ŝ)𝑇 (𝑠 − ŝ)] = [(𝑠 − 𝐵𝑦)𝑇 (𝑠 − 𝐵𝑦)] (5.123)
The solution of the linear MMSE is given by
1
ŝ = 𝐵 ∗ 𝑟 = (𝑆𝑁𝑅 𝐼𝑀 𝑅 + 𝐻 𝐻 𝐻)−1 𝐻 𝐻 ∗ 𝑟 (5.124)

5.3.2.4 Successive cancellation receiver


The successive Interference cancellation receiver (SIC) algorithm is usually combined with
V-BLAST receivers. This provides improved performance at the cost of increased computational
complexity. Rather than jointly decoding the transmitted signals, this nonlinear detection scheme
first detects the first row of the signal and then cancels its effect from the overall received signal
vector. It then proceeds to the next row. The reduced channel matrix now has dimension
𝑀𝑅 × (𝑀𝑇 − 1) and the signal vector has dimension (𝑀𝑇 − 1) × 1. It then does the same
operation on the next row. The channel matrix now reduces to 𝑀𝑅 × (𝑀𝑇 − 2) and the signal
vector reduces to (𝑀𝑇 − 2) × 1 and so on. If we assume that all the decisions at each layer are
correct, then there is no error propagation. Otherwise, the error rate performance is dominated by
the weakest stream, which is the first stream decoded by the receiver. Hence, the improved
diversity performance of the succeeding layers does not help. To get around this problem the
ordered successive interference cancellation (OSIC) receiver was introduced. In this case, the
signal with the strongest signal-to-interference-noise (SINR) ratio is selected for processing. This
improves the quality of the decision and reduces the chances of error propagation.
This is like an inherent form of selection diversity wherein the signal with the strongest SNR is
selected.
The OSIC algorithm is as follows:
 Ordering: Determine the optimal detection order by choosing the row with minimum
Euclidian norm (strongest SINR).

359
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Nulling: Estimate the strongest transmit signal by nulling out all the weaker transmit
signals.
 Slicing: Detect the value of the strongest transmit signal by slicing to the nearest signal
constellation value.
 Cancellation: Cancel the effect of the detected signal from the received signal vector to
reduce the detection complexity for the remaining signals.

5.3.2.5 V-BLAST Receivers


Now, we will talk about the decoding of the commonly used multiplexing scheme, V-BLAST,
where ZF/MMSE combined with SIC/OSIC

5.3.2.5.1 V-BLAST decoding with ZF and OSIC


The full ZF detection algorithm is a recursive procedure, including determination of optimal
ordering:
𝑖𝑛𝑖𝑡𝑖𝑎𝑙𝑖𝑧𝑎𝑡𝑖𝑜𝑛 : 𝐺 = 𝐻, 𝑖=1 (5.125.a)
2
𝑟𝑒𝑐𝑢𝑟𝑠𝑖𝑜𝑛 : 𝑗 = 𝑎𝑟𝑔 𝑚𝑖𝑛 (𝐺𝑖 )𝑗 , 𝑤𝑕𝑒𝑟𝑒 𝑗 ∉ {𝑗1 , 𝑗2 , . . 𝑗𝑖−1 } (5.125.b)

𝑤𝑖 = (𝐺𝑖 )𝑗 (5.125.c)

𝑦𝑖 = 𝑤𝑖𝑇 𝑟𝑖 (5.125.d)
â𝑖 = 𝒬(𝑦𝑖 ) (5.125.e)
𝑟𝑖+1 = 𝑟𝑖 − â𝑖 (𝐻)𝑖 (5.125.f)

𝐺𝑖+1 = 𝐻𝑖± , 𝑖 =𝑖+1 (5.125.g)


In this algorithm, first get the pseudo inverse of the channel matrix, G (5.125.a), then search for
the stream with the highest SINR (5.125.b), which corresponds to G row‘s index with max norm,
the zeroing vector is the i th row (5.125.c). Multiplying it‘s transpose by the received signal‘s
vector (5.125.d) and quantizing (5.125.e) yields the estimate of the transmitted symbol, â. Then
cancel this symbol‘s effect from the received vector (5.125.f), and remove the i th column of H,
get the pseudo inverse of the modified channel matrix, and proceed for next symbol (5.125.g).

5.3.2.5.2 V-BLAST decoding with MMSE and OISC


The OSIC is combined with the MMSE algorithm to yield a performance superior to the ZF with
OSUC combination. The MMSE receiver suppresses both the interference and noise
components, whereas the ZF receiver removes only the interference components. This implies
that the mean square error between the transmitted symbols and the estimate of the receiver is
minimized. Hence, MMSE is superior to ZF in the presence of noise.
This decoding algorithm is exactly the same as the ZF algorithm except for equations (5.125.a)
which will be:
𝐺 = (𝐻 𝐻 𝐻 + 𝜍 2 𝐼𝑀𝑅 )−1 𝐻 𝐻 (5.125.a`)

360
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

where ζ2 is the variance of independent and ideally distributed (i.i.d.) complex Gaussian noise,
and equation (5.125.g) will be:
𝐺𝑖+1 = (𝐻𝑖 𝐻 𝐻𝑖 + 𝜍 2 𝐼𝑀𝑅 )−1 𝐻𝑖 𝐻 (5.125.g`)

5.3.3 Effect of imperfect channel estimation


In real time, perfect channel estimation at the receiver is not achieved, so we shall study the
effect of imperfect channel estimation.
Regardless of the way we obtain the training symbols at the receiver, there are numerous ways of
processing the information. First, we shall examine two of the most popular ones.

5.3.3.1 Least Square Estimation:


The channel estimates can be obtained by minimizing the error matrix E2k per symbol where E2k
is given by
𝐸𝑘2 = (𝑅𝑘 − 𝑆𝑘 𝑕𝑘 )𝐻 (𝑅𝑘 − 𝑆𝑘 𝑕𝑘 ) (5.126)
where 𝑅𝑘 is the received vector with the 𝐾𝑡𝑕 symbol, 𝑆𝑘 is the 𝐾𝑡𝑕 transmitted sample, and 𝑕𝑘 is
the channel coefficient for the 𝐾𝑡𝑕 symbol. The least squares solution for the channel estimates is
obtained as
𝑕𝑘 = (𝑆𝑘𝐻 𝑆𝑘 )−1 (𝑆𝑘𝐻 𝑅𝑘 ) (5.127)

5.3.3.2 Minimum Mean Squared Error:


The channel fading coefficients are estimated by inserting pilot sequences in the transmitted
signals. In general, with 𝑀𝑇 transmitting antennas we need 𝑀𝑇 different pilot sequences
𝑃1 , 𝑃2 , . . . , 𝑃𝑀𝑇 . These pilot sequences are transmitted as a preamble of k symbols.
𝑃𝑖 = (𝑃𝑖,1 , 𝑃𝑖,2 , … … . . 𝑃𝑖,𝑘 ) (5.128)
Obviously, these sequences will be linear superposition of each other at the receiver. Hence, it is
necessary that they be orthogonal to each other. The received signal at antenna j at time t is given
by
𝑗 𝑀𝑇
𝑟𝑡 = 𝑖=1 𝑕𝑗 ,𝑖 𝑃𝑖,𝑡 + 𝑛𝑡𝑖 (5.129)

where 𝑕𝑗 ,𝑖 is the fading coefficient for the path from transmit antenna i to receive antenna j and
𝑛𝑡𝑖 is the noise sample at receive antenna j at time t. The received signal vector at antenna j can
be represented as
𝑟 𝑗 = (𝑟1 𝑗 , 𝑟2 𝑗 , … … … 𝑟𝑘 𝑗 ) (5.130)
The minimum mean squares estimation (MMSE) of 𝑕𝑗 ,𝑖 is given by
𝑟 𝑗 .𝑃 𝑖
𝑕𝑖,𝑗 = (5.131)
𝑃𝑖 2
Now, after we introduce some of channel estimation techniques, we shall investigate the effect of
channel estimation on Multiple Antenna Decoding.
We can present the estimated channel matrix by

361
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝐻𝑒𝑠𝑡 = 1 − 𝑒 2 𝐻 + 𝑒 𝐻𝜔 (5.132)
where H is the original channel matrix, 𝐻𝜔 is a random matrix independent of H and e is the
error defined by:
𝑒 = 𝑁𝑀𝑆𝐸 − 𝑁𝑀𝑆𝐸 2 /4 (5.133)
Suppose an M by N MIMO system, we‘ll study the effect of number of antennas at both
transmitter and receiver.
At transmitter, as the number of transmit antennas increases, the interference between each data
stream increases, adding an irreducible error
0
QPSK, ZF, 10% NMSE
10
1Nt X 5Nr
2Nt X 5Nr
3Nt X 5Nr
4Nt X 5Nr
-1 5Nt X 5Nr
10

-2
10
Bit Error Rate

-3
10

-4
10

-5
10
0 2 4 6 8 10 12 14 16 18 20
SNR

Fig 5.69 effect of number of transmit antennas

At the receiver, increasing the receiving antennas increases the diversity order. Hence, the
performance will be improved.

362
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

0
7% NMSE, 16-QAM, D = 2
10
2x4, MMSE
3x5, MMSE
BER 4x6, MMSE

-1
10

-2
10
0 5 10 15 20 25 30
SNR (dB)

Fig 5.70 effect of number of receive antennas

5.3.4 Simulation Results


5.3.4.1 Effect of transmit antennas in imperfect channel estimation:
1. NMSE = 2% Fig5.71

363
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

As number of transmit antennas increases, while keeping receiving antennas fixed, the bit error
rate increases. Note that the effect of imperfect channel estimation is noticeable in the case of
large number of transmit antennas, as each antenna acts as a source of interference.
2. NMSE = 5% Fig 5.72

In Fig 5.72, the error in channel estimation increased, affecting the cases of lower number of
transmit antennas. Irreducible bit error rate appeared for 4x5, 5x5 schemes.
3. NMSE = 10% Fig 5.73

364
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

In Fig 5.73, with increasing NMSE, the lower transmit antennas schemes are affected by the
estimation error. Note that the 1x5 and 2x5 cases are not greatly affected, as the modulation
scheme used in simulation is QPSK.

5.3.4.2 Effect of Difference between number of 𝑹𝑿 & 𝑻𝑿 .


1. NMSE = 4% Fig 5.74

2. NMSE = 7% Fig 5.75

365
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3. NMSE =10% Fig 5.76

5.3.4.3 Effect of NMSE on a fixed M by N case


1. 2 transmitters by 4 receivers Fig 5.77

366
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

2. 3 transmitters by 5 receivers Fig 5.78

3. 4 transmitters by 6 receivers Fig 5.79

367
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.4.1 Space Time Block Codes (STBC) using Alamouti method


5.4.1.1 MIMO model

Fig 5.80 MIMO model

Multiple antenna system requires perfect channel knowledge at 𝑇𝑋 or 𝑅𝑋 or both.

5.4.1.2 Problem and Solutions


The major Problem of communication channel is the Multi path fading
When signal power drops significantly, the channel is said to be in fade

To solve the fading problem we have two solutions


1. Diversity
2. Spatial multiplexing

5.4.1.3 Diversity
Definition
 Diversity gain:
Is the gain due to creation of multiple independent channels between 𝑇𝑋 and 𝑅𝑋 .

Diversity types based on whether it is applied at 𝑇𝑋 or 𝑅𝑋 is divided into two categories


1. Receive diversity.
2. Transmit diversity

Receiver diversity
• From Mobile Station (MS) to antennas in Base Station (BS) to avoid interference.

Transmit diversity
 Introducing controlled redundancies at 𝑇𝑋 which can be decoded by appropriate digital
signal processing at 𝑅𝑋 .
368
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Generally, it requires complete channel information at 𝑇𝑋 .


 But, it can be established without the need to channel state information by applying
Alamouti method (will be investigated here).

Effectiveness of any diversity technique


 Lies in the fact that at 𝑅𝑋 , we must provide independent replicas of the transmitted signal.

Why independent replicas??


 If a signal path is in fade, it is extremely unlikely that all other paths are also in fade so
loss of power in the fading path will be controlled by the power from a different path.

5.4.1.4 Trade off between bit rate (throughput), transmit diversity (combat
fade)

Fig 5.81 transmit diversity

 Bit rate ( )
 Transmit diversity ( 2 )
 Ability to combat fade.

Conclusion
 Transmit diversity comes at the expense of through put.

To increase the bit rate


 We sacrifice transmit diversity at the cost of throughput and make diversity at the
receiver (spatial multiplexing case).

5.4.1.5 Spatial Multiplexing (used in MIMO)

 Increase bit rate for the same B.W. without increasing power.
 Diversity or multiplexing according to channel state.

369
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

No diversity Have completely information about


channel & can recover the main bit
stream

Fig 5.82 spatial multiplexing case

5.4.1.6 Transmit diversity


 Alamouti method (delay diversity method).
 Types:
1) Open-loop.
2) Closed-loop.

5.4.1.7 Alamouti algorithm


 Basically, Alamouti proposed a very simple scheme for a system to achieve a full
diversity gain with simple maximum Likelihood decoding algorithm.
 It is a very intelligent way to implement transmit diversity without knowledge of channel
at 𝑇𝑋 but we have perfect channel knowledge at 𝑅𝑋 .

5.4.1.8 Assumptions
 We have perfect channel knowledge at Rx.
 Uncorrelated data streams (Flat fading).

This is untrue in reality


So we go and examine behavior of these space time codes in the presence of:
1. Imperfect channel estimation.
2. Correlated slow Rayleigh fading channels.

370
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.4.1.9 Analysis
𝑟𝑀𝑅 ×1 = 𝐻𝑀𝑅 ×𝑀𝑇 𝑆𝑀𝑇 ×1 + 𝑛𝑀𝑅 ×1 (5.134)

MT = 2 MR = 1

Fig 5.83 MISO case.

Transmitter side
Block diagram of Alamouti space time encoder

Fig 5.84 Almouti‘s encoder.

 2 modulated symbols s1 and s2 during 2 transmission periods according to the code matrix
S.

𝑆1 −𝑆2∗ → 𝐹𝑟𝑜𝑚 𝑇𝑋 1
(5.135)
𝑆2 𝑆1∗ → 𝐹𝑟𝑜𝑚 𝑇𝑋 2

@t @ t+T
 Transmitting in both Space and Time.
 Where 𝑠1 and 𝑠2 are information sequence from 𝑇𝑋 1 and 𝑇𝑋 2 .
𝑆1 = 𝑠1 , − 𝑠2∗ (5.136)
𝑆2 = 𝑠2 , 𝑠1∗ (5.137)
 Orthogonally transmitted sequences (inner product = 0).
𝑠1 𝑠2 = 𝑠1 𝑠2∗ − 𝑠2∗ 𝑠1 = 0 (5.138)
 Fading coefficient from antennas 1 and 2 assuming that these coefficient are constant
across 2 consecutive symbol transmission periods. This is a reasonable assumption fDT
<< 1, which is usually true (Owing to the flat-fading assumption, the STBC in an OFDM

371
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

system is generally performed in the frequency domain, where each subcarrier


experiences flat fading).
𝑕1 𝑡 = 𝑕1 𝑡 + 𝑇 = 𝑕1 = 𝑕1 𝑒 𝑗𝜃 1 (5.139)
𝑕2 𝑡 = 𝑕2 𝑡 + 𝑇 = 𝑕2 = 𝑕2 𝑒 𝑗𝜃 2 (5.140)

Receiver side

Fig 5.85 Almouti‘s decoder.


𝑟1 = 𝑕1 𝑠1 + 𝑕2 𝑠2 + 𝑛1 (5.141)
𝑟2 = −𝑕1 𝑠2∗ + 𝑕2 𝑠1∗ + 𝑛2 (5.142)

 Note that we cannot separate s1 and s2 from the received 2 vectors.


 But simply and by linear method we can separate them.

Combiner equations
𝑠1 = 𝑕1∗ 𝑟1 + 𝑕2 𝑟2∗ = 𝛼12 + 𝛼22 𝑠1 + 𝑕1∗ 𝑛1 + 𝑕2 𝑛2∗ (5.143)
𝑠2 = 𝑕2∗ 𝑟1∗ − 𝑕1 𝑟2∗ = 𝛼12 + 𝛼22 𝑠2 − 𝑕1 𝑛2∗ + 𝑕2∗ 𝑛1 (5.144)

Where 1 and 2 equal 𝑕1 2 and 𝑕2 2 resp. and substituting for r 1 and r2 from the above
equations.

Note
We could separate the codes s1 and s2 but we have undesirable terms (noise terms) so we resort
to maximum Likelihood detector to minimize their effects.

Maximum Likelihood detector

372
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 5.86 (a) Gaussian noise cloud


(b) A particular point inside the cloud

 We are given the observation vector and it is required to estimate the message symbol that
is responsible for generating it.
 The received signal point wonders about the message point in a sense it may lay anywhere
inside the Gaussian noise cloud, as shown in Fig 5.86 (a) ,5.86 (b)
 It minimizes the difference between the received vector and the signal vector, as shown in
Fig 5.86 (b)
 Now we could optimally detect s1 and s2.

5.4.1.10 How to evaluate Alamouti code’s behavior?


 By comparing its output with a reference system, which is in our case the Maximal Ratio
Combining (MRC).
General maximal ratio combining system

Fig 5.87 General Maximal Ratio Combining system

𝑞𝑖 = 𝑞𝑖 𝑒 𝑗 ∅𝑖 𝑕𝑖 = 𝑕𝑖 𝑒 𝑗𝜃 𝑖 (5.145)
𝑵𝒓
𝒚 𝒕 = 𝒙 𝒕 𝒊=𝟏 𝒒𝒊 𝒉 𝒊 𝒆𝒙𝒑 𝒋(∅𝒊 + 𝜽𝒊 ) (5.146)

373
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

If we let the phase of the combining coefficient i = - i for all the branches, we get maximum
SNR.

The comparison
If we make number of receiving antennas =2 in MRC system, we found that Alamouti code gives
the same behavior but with a penalty of 3 dB, i.e. MRC with 2 receiving antennas is better than
Alamouti code.
But:
They use the same detection method

5.4.1.11 Summary of Alamouti’s scheme


5.4.1.11.1 Advantages
 The transmissions are orthogonal. This implies that the 𝑹𝑿 antenna ―sees‖ 2
completely orthogonal streams, Hence we obtain full diversity gain = 2.
 Simple maximum Likelihood decoding algorithm based on linear processing of
received signals.
 Open-loop transmit diversity scheme (no feed-back from 𝑹𝑿 to 𝑻𝑿
i.e. no need for channel information at 𝑻𝑿 )
 No B.W. expansion (as redundancy is applied in space across multiple antennas, not
in time or frequency).
 Low complexity decoders.
 Identical to MRC if we doubled total radiated power from that used in MRC.

5.4.1.11.2 Disadvantages
 No coding gain unlike Space –Time Trellis Codes.
 Complexity of maximum Likelihood detectors rises exponentially with the number of
transmits antennas.
 Spatial Interference.

5.4.1.12 Imperfect channel estimation techniques


• Preamble structure (training sequence).
• Pilot structure.

5.4.1.13 Introduction to generalized STBC


• The scheme was generalized to an arbitrary number of transmit antennas by applying the
theory of orthogonality.
• The codes achieve full diversity gain of MR × MT.
• Generating the code matrix such that its rows are orthogonal (there dot product is zero,
i.e. independent rows) (each row contributes an eigenvalue, i.e. the matrix is of full rank).
• The analysis is achieved by Tarokh.

374
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.4.1.14 Simulation Results


The Fig 5.88, shows that using two transmit antennas and one receive antenna provides the same
diversity order as the maximal-ratio combined (MRC) system of one transmit antenna and two
receive antennas. Also observe that transmit diversity has a 3 dB disadvantage when compared to
MRC receive diversity. This is because we modeled the total transmitted power to be the same in
both cases. If we calibrate the transmitted power such that the received power for these two cases
is the same, then the performance would be identical.

0
Transmit vs. Receive Diversity
10
No Diversity (1Tx, 1Rx)
Alamouti (2Tx, 1Rx)
Maximal-Ratio Combining (1Tx, 2Rx)
-1
10

-2
10
BER

-3
10

-4
10

0 2 4 6 8 10 12 14 16 18 20
Eb/No (dB)

Fig 5.88

In Fig 5.89, we study the performance of such a scheme with two receive antennas (i.e., a 2x2
system) with and without channel estimation. In the realistic case where the channel state
information is not known at the receiver, this has to be extracted from the received signal. We
assume that the channel estimator performs this using orthogonal pilot signals. It is assumed that
the channel remains unchanged for the length of the packet (i.e., it undergoes slow fading).
For the 2x2 simulated system, the diversity order is different than that seen for either 1x2 or 2x1
systems in the previous section.

375
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Note that with 8 pilot symbols for each 100 symbols of data, channel estimation causes about 1
dB degradation in performance for the selected 𝐸𝑏 /𝑁0 range. This improves with an increase in
the number of pilot symbols per frame but adds to the overhead of the link. In this comparison,
we keep the transmitted SNR per symbol to be the same in both cases.

0
G2-coded 2x2 System
10
Channel estimated with 8 pilot symbols/frame
Known channel
-1
10

-2
10
BER

-3
10

-4
10

0 2 4 6 8 10 12
Eb/No (dB)

Fig 5.88

376
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.4.2 Space-Time Block Codes


The Alamouti scheme brought in a revolution of sorts in multi-antenna systems by providing full
diversity of two without CSI at the transmitter and a very simple maximum likelihood decoding
system at the receiver. Maximum likelihood decoders provide full diversity gain of 𝑀𝑅 at the
receiver. Hence, such a system provides a guaranteed overall diversity gain of 2 𝑀𝑅 , without
CSI at the transmitter.
This is achieved by the key feature of orthogonality between the sequences generated by the two
transmit antennas. Due to these reasons, the scheme was generalized to an arbitrary number of
transmit antennas by applying the theory of orthogonal designs.
The generalized schemes are referred to as space-time block codes (STBCs)
These codes can achieve the full transmit diversity of 𝑀𝑇 × 𝑀𝑅 , while allowing a very simple
maximum likelihood decoding algorithm, based only on linear processing of received signals

Let 𝑀𝑇 represent the number of transmit antennas and p represent the number of time periods for
transmission of one block of coded symbols. Let us also assume that the signal constellation
consists of 2𝑚 points. Then each encoding operation maps a block of km information bits into
the signal constellation to select k modulated signals 𝑠1 , 𝑠2 , … … 𝑠𝑘 , where each group of m bits
selects a constellation signal. These k modulated signals are then encoded in a space-time block
encoder to generate 𝑀𝑇 parallel signal sequences of length p, as shown in. This gives rise to a
transmission matrix S of size 𝑀𝑇 ∗ 𝑃. These sequences are transmitted through 𝑀𝑇 transmit
antennas simultaneously in p time periods. Therefore, the number of symbols the encoder takes
as its input in each encoding operation is k.
The number of transmission periods required to transmit the entire S matrix is p.
The rate of the space-time block code is defined as the ratio between the number of symbols the
encoder takes as its input and the number of space-time coded symbols transmitted from each
antenna. It is given by

𝑅=𝑘 𝑃 (5.147)
The spectral efficiency of the space-time block code is given by:

𝑟𝑏 𝑟𝑠 𝑚𝑅 𝑘𝑚
𝜇= = = Bits/sec/Hz (5.148)
𝐵 𝑟𝑠 𝑃

where 𝑟𝑏 and 𝑟𝑠 are the bit and symbol rate, respectively, and B is the bandwidth.

The entries of the transmission matrix S are so chosen that they are linear combinations of the k
modulated symbols 𝑠1 , 𝑠2 , … … 𝑠𝑘 and their conjugates 𝑠1 ∗ , 𝑠2 ∗ , … … 𝑠𝑘 ∗ the matrix itself is so
constructed based on orthogonal designs such that

377
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑆. 𝑆 𝐻 = 𝐶 𝑠1 2
+ 𝑠2 2
+ ⋯ … . . 𝑠𝑘 2
|𝑀𝑇 (5.149)

where c is a constant, 𝑀𝑇 is the number of transmit antennas, 𝑆 𝐻 is the Hermitian of S, and 𝐼𝑀𝑇 is
an 𝑀𝑇 × 𝑀𝑇 identity matrix. This approach yields a diversity of𝑀𝑇 .
These code transmission matrixes are cleverly constructed such that the rows and columns of
each matrix are orthogonal to each other (i.e., the dot product of each row with another row is
zero).

Fig 5.89 Encoder for STBC.

If this condition is satisfied, (equation 5.148) will be satisfied, yielding the full transmit diversity
of 𝑀𝑇 . Another way of looking at this problem is recalling from linear algebra, that if the rows of
a matrix are orthogonal (i.e., their dot product is zero), then the rows of that matrix are deemed
independent.
This implies that each row contributes an eigenvalue (i.e., the matrix is of full rank).

Hence, full transmit diversity S is achieved as each transmit antenna contributes to one row in
that matrix. The code rates will, however, vary depending on how the matrix is constructed.
Based on (equation 5.147), we can have R =1, which is a full rate.
This implies that there is no bandwidth expansion involved, whereas a code with rate R<1
implies a bandwidth expansion factor of 1/R. It will be shown in this chapter that code rates of
unity (i.e., full rates) are relatively easily achievable if the matrix is real, but the choice for full-
rate codes is more restricted if the matrix is complex. Using (equation 5.149), the orthogonality
achieved in all cases enables us to achieve full transmit diversity, irrespective of the code rate
and additionally allows the receiver to decouple the signals transmitted from different antennas.

5.4.2.1 STBC for Real Signal Constellations


Based on the type of signal constellation, space-time block codes can be classified into STBC
with real signals or STBC with complex signals. Generation of real transmission matrixes now
will be examined.

At the outset, it should be noted that (equation 5.149) is crucial to our design. Let us consider
square transmission matrixes. Such matrixes exist if the number of transmit antennas MT = 2, 4,
378
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

or 8. These codes are full rate, since the matrix is square, and also full transmit diversity of 𝑀𝑇
The transmission matrixes are given by

𝑠1 −𝑠2
𝑆2 = 𝑠 𝑠1 (5.150)
2

for 𝑀𝑇 = 2 transmit antennas. The reader can verify that for this matrix, (equation 5.149) is
satisfied.

𝑠1 −𝑠2 −𝑠3 −𝑠4


𝑠2 +𝑠1 +𝑠4 −𝑠3
𝑆4 = 𝑠 −𝑠4 +𝑠1 +𝑠2 (5.151)
3
𝑠4 +𝑠3 −𝑠2 +𝑠1
for 𝑀𝑇 = 4 transmit antennas, and

𝑠1 −𝑠2 −𝑠3 −𝑠4 −𝑠5 −𝑠6 −𝑠7 −𝑠8


𝑠2 +𝑠1 −𝑠4 +𝑠3 −𝑠6 +𝑠5 +𝑠8 −𝑠7
𝑠3 +𝑠4 +𝑠1 −𝑠2 −𝑠7 −𝑠8 +𝑠5 +𝑠6
𝑠4 −𝑠3 +𝑠2 +𝑠1 −𝑠8 +𝑠7 −𝑠6 +𝑠5
𝑠8 = 𝑠 +𝑠6 +𝑠7 +𝑠8 +𝑠1 −𝑠2 −𝑠3 −𝑠4 (5.152)
5
𝑠6 −𝑠5 +𝑠8 −𝑠7 +𝑠2 +𝑠1 +𝑠4 −𝑠3
𝑠7 −𝑠8 −𝑠5 +𝑠6 +𝑠3 −𝑠4 +𝑠1 −𝑠2
𝑠8 +𝑠7 −𝑠6 −𝑠5 +𝑠4 +𝑠3 −𝑠2 +𝑠1

for MT = 8 transmit antennas.

The reader can verify that all the preceding matrixes have independent rows in that their dot
product is zero for any real constellation, such as M-ASK. This automatically satisfies
(equation5.149). We can also verify by inspection that the code rate for all these matrixes is
unity. For example, if we consider (equation 5.151), we note that there are four transmit antennas
(i.e., we are dealing with a space-time block code of size 4, corresponding to four rows). There
are also four transmission periods p corresponding to each column of the matrix. There are also
four symbols (i.e., k =4, s1 , s2 , s3 , and s4 ). Hence, during the first transmission interval, s1 , s2 ,
s3 , and s4 are transmitted, wherein s1 is transmitted from the first antenna, s2 from the second
antenna and so on. During the next transmission interval, s2 , s1 ,s4 , and s3 are transmitted,
wherein s2 is transmitted from the first antenna, s1 from the second antenna and so on. This gives
us

R=k/p = 4/4 =1 (5.153)

379
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

(i.e., a code rate of unity).

5.4.2.2 STBC for Complex Signal Constellations


Complex orthogonal design matrixes are defined as matrixes of size 𝑀𝑇 ∗ 𝑃 with complex entries
of s1 , s2 , . . . , sk and their conjugates and satisfying (equation5.149). Such matrixes provide the
full transmit diversity of 𝑀𝑇 with a code rate of k/p.

The Alamouti scheme is itself one such matrix with complex entries for two transmit antennas.
This is represented by

𝑠 −𝑠2 ∗
𝒢2 = 1 (5.154)
𝑠2 𝑠1 ∗

This scheme provides the full diversity of 2 with a full code rate of 1. The Alamouti scheme is
unique in that for complex entries, it is the only such matrix with a code rate of unity. Hence, for
higher order modulations other than binary phase shift keying (BPSK), this has found wide
application.

The design rules for this class of transmission matrixes are identical to those already discussed
for real entries (i.e. design for full diversity by satisfying
(equation 5.149) and minimize the value of p to minimize the decoding delay). We present the
following complex transmission matrixes of size 𝑀𝑇 =3 and 𝑀𝑇 =4 incorporating a code rate of
½

𝑠1 −𝑠2 −𝑠3 −𝑠4 +𝑠1 ∗ −𝑠2 ∗ −𝑠3 ∗ −𝑠4 ∗


𝒢3 = 𝑠2 +𝑠1 +𝑠4 −𝑠3 +𝑠2 ∗ +𝑠1 ∗ +𝑠4 ∗ −𝑠3 ∗ (5.155)
𝑠3 −𝑠4 +𝑠1 +𝑠2 +𝑠3 ∗ −𝑠4 ∗ +𝑠1 ∗ +𝑠2 ∗

𝑠1 −𝑠2 −𝑠3 −𝑠4 +𝑠1 ∗ −𝑠2 ∗ −𝑠3 ∗ −𝑠4 ∗


𝑠2 +𝑠1 +𝑠4 −𝑠3 +𝑠2 ∗ +𝑠1 ∗ +𝑠4 ∗ −𝑠3 ∗
𝒢4 = (5.156)
𝑠3 −𝑠4 +𝑠1 +𝑠2 +𝑠3 ∗ −𝑠4 ∗ +𝑠1 ∗ +𝑠2 ∗
𝑠4 +𝑠3 −𝑠2 +𝑠1 +𝑠4 ∗ +𝑠3 ∗ −𝑠2 ∗ +𝑠1 ∗

The reader can easily verify that the inner product of any two rows of these matrixes is zero. This
proves that the matrix is orthogonal and of full rank yielding full diversity of M T =3 and MT =4,
respectively. In the case of G3 , for example, we note that there are four symbols, s1 , s2 , s3 ,
and s4 and their complex conjugates, yielding k =4, and there are eight transmission periods,
yielding p = 8.

380
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

This gives us a code rate of R = k/p = 4/8 =1/2. Similarly, G4 has a code rate of R =k/p =4/8 =
1/2, but with a diversity of MT =4
The desire for higher code rates leads us to more complex linear processing.
The following are size 3 and 4 codes with rate 3/4 :

(5.157)

(5.158)

The search is still on for codes with rates greater than 0.5. This is still an open field for further
research.

5.4.2.3 Decoding of STBC


The decoding of these codes is similar to the one proposed for Alamouti‘s scheme.
We present the formula for decoding G3 and G4 .The decoder for G3 minimizes the decision
metric for decoding s1 , the decision metric:

(5.159)

381
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

for decoding s2 , the decision metric:

(5.160)

for decoding s3 , the decision metric:

(5.161)

for decoding s4:

(5.162)

The decoder for G4 minimizes the decision metric:


for decoding s1 , the decision metric:

(5.163)

for decoding s2 , the decision metric:

(5.164)

382
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

for decoding s3 , the decision metric:

(5.165)
for decoding s4 :

(5.166)

5.4.2.4 Simulation results


In this part we will study the effect of changing some parameters on simulations.

5.4.2.4.1 Effect of changing number of transmit antennas:

4-PSK 1-Rx
0
10
2-Tx
3-Tx

-1
10
Bit Error Probability

-2
10

-3
10
2 4 6 8 10 12 14 16
SNR, [dB]

Fig 5.90 changing number of transmit antenna

383
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

In Fig 5.90 it is clear that in case of 3-transmit antenna better than 2-antenna ,here 4PSK
modulation is used , there is trade off here between performance and rate, as for higher
modulation the rate decreases for the same capacity ,for the two curves there is one antenna at
the receiver.

In (figure 5.91) 4-PSK used for both with one received antenna, it is clear that for same SNR 4-
Tx is better as it has less PER than 3-Tx

0
4-PSK 1-Rx
10
3-Tx
4-Tx
-1
10
Bit Error Probability

-2
10

-3
10

-4
10

-5
10
2 4 6 8 10 12 14 16
SNR, [dB]

Fig 5.91

384
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

5.4.2.4.2 Effect of changing number of receive antennas:

0
4-PSK 3-Tx
10
1-Rx
2-Rx
-1 4-Rx
10
Bit Error Probability

-2
10

-3
10

-4
10

-5
10
2 4 6 8 10 12 14 16
SNR, [dB]

Fig 5.92 changing number of receive antenna


In Fig 5.92 we find out the effect of changing number of receive antenna, also 4PSK is used here
with rate 3/4

5.3.2.4.3 Effect of changing type of modulation:

3-Tx 1-Rx
0
10
4PSK
16PSK

-1
10
Bit Error Probability

-2
10

-3
10
2 4 6 8 10 12 14 16
SNR, [dB]

Fig 5.93 change type of modulation

385
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

In Fig 5.93 we study the effect of changing the type of modulation on the performance, for the
two curves 3-antennas at the transmitter and 1-antenna at the receiver

386
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

387
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

388
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

389
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

CHAPTER
SIX

WiMAX PHY and MAC


6.1 PHY layer
6.1.1 Introduction

WiMAX stands for Worldwide Interoperability for Microwave Access. After years of
development and uncertainty, a standards-based interoperable solution is emerging for wireless
broadband. A broad industry consortium, WiMAX Forum has begun certifying broadband
wireless products for interoperability and compliance with a standard. WiMAX is based on
wireless metropolitan area networking (WMAN) standards developed by the IEEE 802.16 group
and adopted by both IEEE and the ETSI HIPERMAN group.

6.1.1.1 Background on IEEE 802.16 standards and WiMAX Forum


The IEEE 802.16 group was formed in 1998 to develop an air-interface standard for wireless
broadband. The group‘s initial focus was the development of a LOS-based point-to-multipoint
wireless broadband system for operation in the 10GHz–66GHz millimeter wave band. The
resulting standard—the original 802.16 standard, completed in December 2001—was based on a
single-carrier physical (PHY) layer with a burst time division multiplexed (TDM) MAC layer.
Many of the concepts related to the MAC layer were adapted for wireless from the popular
cable modem DOCSIS (data over cable service interface specification) standard.
The IEEE 802.16 group subsequently produced 802.16a, an amendment to the standard, to
include NLOS applications in the 2GHz–11GHz band, using an orthogonal frequency division
multiplexing (OFDM)-based physical layer. Additions to the MAC layer, such as support for
orthogonal frequency division multiple access (OFDMA), were also included. Further revisions
resulted in a new standard in 2004, called IEEE 802.16-2004, which replaced all prior versions
and formed the basis for the first WiMAX solution. These early WiMAX solutions based on
IEEE 802.16-2004 targeted fixed applications. In December 2005, the IEEE group completed
and approved IFEEE 802.16e-2005, an amendment to the IEEE 802.16-2004 standard that added
mobility support. The IEEE 802.16e-2005 forms the basis for the WiMAX solution for nomadic
and mobile applications.
The basic characteristics of the various IEEE 802.16 standards are summarized in Table 6.1.
Note that these standards offer a variety of fundamentally different design options. For example,
there are multiple physical-layer choices: a single-carrier-based physical layer called Wireless-
MAN-SCa, an OFDM-based physical layer called WirelessMAN-OFDM, and an OFDMAbased
physical layer called Wireless-OFDMA. Similarly, there are multiple choices for MAC

390
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

architecture, duplexing, frequency band of operation, etc. These standards were developed to suit
a variety of applications and deployment scenarios, and hence offer a plethora of design choices
for system developers. In fact, one could say that IEEE 802.16 is a collection of standards, not
one single interoperable standard.
For practical reasons of interoperability, the scope of the standard needs to be reduced, and a
smaller set of design choices for implementation need to be defined. The WiMAX Forum does
this by defining a limited number of system profiles and certification profiles. A system profile
defines the subset of mandatory and optional physical- and MAC-layer features selected by the
WiMAX Forum from the IEEE 802.16-2004 or IEEE 802.16e-2005 standard. It should be noted
that the mandatory and optional status of a particular feature within a WiMAX system profile
may be different from what it is in the original IEEE standard. Currently, the WiMAX Forum has
two different system profiles: one based on IEEE 802.16-2004, OFDM PHY, called the fixed
system profile; the other one based on IEEE 802.16e-2005 scalable OFDMA PHY, called the
mobility system profile. A certification profile is defined as a particular instantiation of a system
profile where the operating frequency, channel bandwidth, and duplexing mode are also
specified.

Table (6.1): Basic Data on IEEE 802.16 Standards.


802.16 802.16-2004 802.16e-2005
Status Completed December 2001 Completed June 2004 Completed December 2005
Frequency band 10GHz–66GHz 2GHz–11GHz 2GHz–11GHz for fixed;
2GHz–6GHz for mobile
applications
Application Fixed LOS Fixed and Fixed NLOS Fixed and mobile NLOS
MAC architecture Point-to-multipoint, mesh Point-to-multipoint, mesh Point-to-multipoint, mesh
Transmission Single carrier only 256 OFDM or 2,048 scalable OFDM with
scheme OFDM 128, 512, 1,024, or 2,048
subcarriers
Modulation QPSK, 16 QAM, QPSK, 16 QAM, QPSK, 16 QAM,
64 QAM 64 QAM 64 QAM
Gross data rate 32Mbps–134.4Mbps 1Mbps–75Mbps 1Mbps–75Mbps
Multiplexing Burst TDM/TDMA Burst TDM/TDMA/ Burst TDM/TDMA/
OFDMA OFDMA
Duplexing TDD and FDD TDD and FDD TDD and FDD
Channel 20MHz, 25MHz, 1.75MHz, 3.5MHz, 1.75MHz, 3.5MHz,
bandwidths 28MHz 7MHz,14MHz,1.25MHz, 7MHz,14MHz,1.25MHz,
5MHz,10MHz, 15MHz, 20 5MHz,10MHz, 15MHz, 20
MHz , 8.75MHz MHz , 8.75MHz
Air-interface WirelessMAN-SC WirelessMAN-SCa WirelessMAN-SCa
designation WirelessMAN-OFDM WirelessMAN-OFDM
WirelessMAN-OFDMA WirelessMAN-OFDMA
WirelessHUMANa WirelessHUMANa
WiMAX None 256 - OFDM as Fixed Scalable OFDMA as
implementation WiMAX Mobile WiMAX

391
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

WiMAX equipments are certified for interoperability against a particular certification profile.
The WiMAX Forum has thus far defined five fixed certification profiles and fourteen mobility
certification profiles (see Table 6.2). To date, there are two fixed WiMAX profiles against which
equipment have been certified. These are 3.5GHz systems operating over a 3.5MHz channel,
using the fixed system profile based on the IEEE 802.16-2004 OFDM physical layer with a
point-to-multipoint MAC. One of the profiles uses frequency division duplexing (FDD), and the
other uses time division duplexing (TDD).

Table (6.2) Fixed and Mobile WiMAX Initial Certification Profiles.


Band Frequency Channel OFDM Duplexing Notes
Index Band Bandwidth FFT Size
Fixed WiMAX Profiles
1 3.5 GHz 3.5MHz 256 FDD Products already
3.5MHz 256 TDD certified
7MHz 256 FDD
7MHz 256 TDD
2 5.8 GHz 10MHz 256 TDD
Mobile WiMAX profile
1 2.3GHz– 5MHz 512 TDD Both bandwidths
must be supported by
10MHz 1,024 TDD
2.4GHz mobile station (MS)
8.75MHz 1,024 TDD
2 2.305GHz– 3.5MHz 512 TDD
2.320GHz , 5MHz 512 TDD
10 MHz 1,024 TDD
2.345GHz–
2.360GHz
3 2.496GHz– 5MHz 512 TDD Both bandwidths
10MHz 1,024 TDD must be supported by
2.69GHz mobile station (MS)
4 3.3GHz– 5MHz 512 TDD
3.4GHz 7MHz 1,024 TDD
10 MHz 1,024 TDD
7MHz 1,024 TDD
10 MHz 1,024 TDD

With the completion of the IEEE 802.16e-2005 standard, interest within the WiMAX group
has shifted sharply toward developing and certifying mobile WiMAX system profiles based on
this newer standard. All mobile WiMAX profiles use scalable OFDMA as the physical layer. At
least initially, all mobility profiles will use a point-to-multipoint MAC. It should also be noted
that all the current candidate mobility certification profiles are TDD based. Although TDD is
often preferred, FDD profiles may be needed for in the future to comply with regulatory pairing
requirements in certain bands.

392
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

It should be noted that the IEEE 802.16e-2004 and IEEE 802.16-2005 standards
specifications are limited to the control and data plane aspects of the air-interface. Some aspects
of network management are defined in IEEE 802.16g. For a complete end-to-end system,
particularly in the context of mobility, several additional end-to-end service management aspects
need to be specified. This task is being performed by the WiMAX Forums Network Working
Group (NWG). The WiMAX NWG is developing an end-to-end network architecture and filling
in some of the missing pieces.

6.1.1.2 Salient features of WiMAX


WiMAX is a wireless broadband solution that offers a rich set of features with a lot of
flexibility in terms of deployment options and potential service offerings. Some of the more
salient features that deserve highlighting are as follows:
OFDM-based physical layer: The WiMAX physical layer (PHY) is based on orthogonal
frequency division multiplexing, a scheme that offers good resistance to multipath, and allows
WiMAX to operate in NLOS conditions. OFDM is now widely recognized as the method of
choice for mitigating multipath for broadband wireless.
Very high peak data rates: WiMAX is capable of supporting very high peak data rates. In
fact, the peak PHY data rate can be as high as 74Mbps when operating using a 20MHz wide
spectrum. More typically, using a 10MHz spectrum operating using TDD scheme with a 3:1
downlink-to-uplink ratio, the peak PHY data rate is about 25Mbps and 6.7Mbps for the downlink
and the uplink, respectively. These peak PHY data rates are achieved when using 64 QAM
modulation with rate 5/6 error-correction coding. Under very good signal conditions, even higher
peak rates may be achieved using multiple antennas and spatial multiplexing.
Scalable bandwidth and data rate support: WiMAX has a scalable physical-layer
architecture that allows for the data rate to scale easily with available channel bandwidth. This
scalability is supported in the OFDMA mode, where the FFT (fast fourier transform) size may be
scaled based on the available channel bandwidth. For example, a WiMAX system may use
128, 512, or 1,048 bit FFTs based on whether the channel bandwidth is 1.25MHz, 5MHz, or
10MHz, respectively. This scaling may be done dynamically to support user roaming across
different networks that may have different bandwidth allocations.
Adaptive modulation and coding (AMC): WiMAX supports a number of modulation and
forward error correction (FEC) coding schemes and allows the scheme to be changed on a per
user and per frame basis, based on channel conditions. AMC is an effective mechanism to
maximize throughput in a time-varying channel. The adaptation algorithm typically calls for the
use of the highest modulation and coding scheme that can be supported by the signal-to-noise
and interference ratio at the receiver such that each user is provided with the highest possible
data rate that can be supported in their respective links.
Link-layer retransmissions: For connections that require enhanced reliability, WiMAX
supports automatic retransmission requests (ARQ) at the link layer. ARQ-enabled connections
require each transmitted packet to be acknowledged by the receiver; unacknowledged packets are

393
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

assumed to be lost and are retransmitted. WiMAX also optionally supports hybrid-ARQ, which
is an effective hybrid between FEC and ARQ.
Support for TDD and FDD: IEEE 802.16-2004 and IEEE 802.16e-2005 supports both time
division duplexing and frequency division duplexing , as well as a half-duplex FDD, which
allows for a low-cost system implementation. TDD is favored by a majority of implementations
because of its advantages: (1) flexibility in choosing uplink-to-downlink data rate ratios,(2)
ability to exploit channel reciprocity, (3) ability to implement in non-paired spectrum, and(4) less
complex transceiver design. All the initial WiMAX profiles are based on TDD, except for two
fixed WiMAX profiles in 3.5GHz.
Orthogonal frequency division multiple access (OFDMA): Mobile WiMAX uses OFDM
as a multiple-access technique, whereby different users can be allocated different subsets of the
OFDM tones. OFDMA facilitates the exploitation of frequency diversity and multiuser diversity
to significantly improve the system capacity.
Flexible and dynamic per user resource allocation: Both uplink and downlink resource
allocation are controlled by a scheduler in the base station. Capacity is shared among multiple
users on a demand basis, using a burst TDM scheme. When using the OFDMA-PHY mode,
multiplexing is additionally done in the frequency dimension, by allocating different subsets of
OFDM subcarriers to different users. Resources may be allocated in the spatial domain as well
when using the optional advanced antenna systems (AAS). The standard allows for bandwidth
resources to be allocated in time, frequency, and space and has a flexible mechanism to convey
the resource allocation information on a frame-by-frame basis.
Support for advanced antenna techniques: The WiMAX solution has a number of hooks
built into the physical-layer design, which allows for the use of multiple-antenna techniques,
such as beamforming , space-time coding, and spatial multiplexing. These schemes can be used
to improve the overall system capacity and spectral efficiency by deploying multiple antennas at
the transmitter and/or the receiver.
Quality-of-service support: The WiMAX MAC layer has a connection-oriented architecture
that is designed to support a variety of applications, including voice and multimedia services
.The system offers support for constant bit rate, variable bit rate, real-time, and non-real-time
traffic flows, in addition to best-effort data traffic. WiMAX MAC is designed to support a large
number of users, with multiple connections per terminal, each with its own QoS requirement.
Robust security: WiMAX supports strong encryption, using Advanced Encryption Standard
(AES), and has a robust privacy and key-management protocol. The system also offers a very
flexible authentication architecture based on Extensible Authentication Protocol (EAP),which
allows for a variety of user credentials, including username/password, digital certificates ,and
smart cards.
Support for mobility: The mobile WiMAX variant of the system has mechanisms to support
secure seamless handovers for delay-tolerant full-mobility applications, such as VoIP. The
system also has built-in support for power-saving mechanisms that extend the battery life of
handheld subscriber devices. Physical-layer enhancements, such as more frequent channel

394
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

estimation, uplink subchannelization, and power control, are also specified in support of mobile
applications.
IP-based architecture: The WiMAX Forum has defined a reference network architecture
that is based on an all-IP platform. All end-to-end services are delivered over an IP architecture
relying on IP-based protocols for end-to-end transport, QoS, session management, security, and
mobility. Reliance on IP allows WiMAX to ride the declining cost curves of IP processing,
facilitate easy convergence with other networks, and exploit the rich ecosystem for application
development that exists for IP.

6.1.1.3 Services provided by WiMAX


The WiMAX physical layer is based on orthogonal frequency division multiplexing. OFDM
is the transmission scheme of choice to enable high-speed data, video, multimedia steaming ,
VOIP (Voice over Internet Protocol) , and online interactive Gaming.
OFDM is an elegant and efficient scheme for high data rate transmission in a non-line-of-
sight or multipath radio environment.
6.1.2 Mobile WiMAX
The WiMAX technology, based on the IEEE 802.16-2004 Air Interface Standard is rapidly
proving itself as a technology that will play a key role in fixed broadband wireless metropolitan
area networks. The first certification lab, established at Cetecom Labs in Malaga, Spain is fully
operational and more than 150 WiMAX trials are underway in Europe, Asia, Africa and North
and South America. Unquestionably, Fixed WiMAX, based on the IEEE 802.16-2004 [1] Air
Interface Standard, has proven to be a cost effective fixed wireless alternative to cable and DSL
services. In December, 2005 the IEEE ratified the 802.16e amendment [2] to the 802.16
standard. This amendment adds the features and attributes to the standard that is necessary to
support mobility. The WiMAX Forum is now defining system performance and certification
profiles based on the IEEE 802.16e Mobile Amendment and, going beyond the air interface, the
WiMAX Forum is defining the network architecture necessary for implementing an end-to-end
Mobile WiMAX network. Release-1 system profiles will be completed in early 2006.
Mobile WiMAX is a broadband wireless solution that enables convergence of mobile and
fixed broadband networks through a common wide area broadband radio access technology and
flexible network architecture. The Mobile WiMAX Air Interface adopts Orthogonal Frequency
Division Multiple Access (OFDMA) for improved multi-path performance in non-line-of-sight
environments. Scalable OFDMA (SOFDMA) is introduced in the IEEE 802.16e Amendment to
support scalable channel bandwidths from 1.25 to 20 MHz. The Mobile Technical Group (MTG)
in the WiMAX Forum is developing the Mobile WiMAX system profiles that will define the
mandatory and optional features of the IEEE standard that are necessary to build a Mobile
WiMAXcompliant air interface that can be certified by the WiMAX Forum. The Mobile
WiMAX System Profile enables mobile systems to be configured based on a common base
feature set thus ensuring baseline functionality for terminals and base stations that are fully
interoperable. Some elements of the base station profiles are specified as optional to provide

395
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

additional flexibility for deployment based on specific deployment scenarios that may require
different configurations that are either capacity-optimized or coverage optimized.
Release-1 Mobile WiMAX profiles will cover 5, 7, 8.75, and 10 MHz channel bandwidths for
licensed worldwide spectrum allocations in the 2.3 GHz, 2.5 GHz, and 3.5 GHz frequency bands.

Fig (6.1), Mobile WiMAX system profile.

Note that The term WiMAX has been used generically to describe wireless systems based on
the WiMAX certification profiles based on the IEEE 802.16-2004 Air Interface Standard. With
additional profiles pending based on the IEEE 802.16e Mobile Amendment, it is necessary to
differentiate between the two WiMAX systems. ―Fixed‖ WiMAX is used to describe 802.16-
2004 based systems and ―Mobile‖ WiMAX is used to describe 802.16e-based systems.
The WiMAX Forum Network Working Group (NWG) is developing the higher-level
networking specifications for Mobile WiMAX systems beyond what is defined in the IEEE
802.16 standard that simply addresses the air interface specifications. The combined effort of
IEEE 802.16 and the WiMAX Forum help define the end-to-end system solution for a Mobile
WiMAX network.Mobile WiMAX systems offer scalability in both radio access technology and
network architecture, thus providing a great deal of flexibility in network deployment options
and service offerings. Some of the salient features supported by Mobile WiMAX are:
-High Data Rates: The inclusion of MIMO antenna techniques along with flexible sub-
channelization schemes, Advanced Coding and Modulation all enable the Mobile WiMAX
technology to support peak DL data rates up to 63 Mbps per sector and peak UL data rates up to
28 Mbps per sector in a 10 MHz channel.
-Quality of Service (QoS): The fundamental premise of the IEEE 802.16 MAC architecture
is QoS. It defines Service Flows which can map to DiffServ code points or MPLS flow labels
that enable end-to-end IP based QoS. Additionally, subchannelization and MAP-based signaling
schemes provide a flexible mechanism for optimal scheduling of space, frequency and time
esources over the air interface on a frame-by-frame basis.
-Scalability: Despite an increasingly globalized economy, spectrum resources for wireless
broadband worldwide are still quite disparate in its allocations. Mobile WiMAX technology
therefore, is designed to be able to scale to work in different channelizations from 1.25 to 20
MHz to comply with varied worldwide requirements as efforts proceed to achieve spectrum

396
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

harmonization in the longer term. This also allows diverse economies to realize the multi-faceted
benefits of the Mobile WiMAX technology for their specific geographic needs such as providing
affordable internet access in rural settings versus enhancing the capacity of mobile broadband
access in metro and suburban areas.

-Security: The features provided for Mobile WiMAX security aspects are best in class with
EAP-based authentication, AES-CCM-based authenticated encryption, and CMAC and HMAC
based control message protection schemes. Support for a diverse set of user credentials exists
including; SIM/USIM cards, Smart Cards, Digital Certificates, and Username/Password schemes
based on the relevant EAP methods for the credential type.
-Mobility: Mobile WiMAX supports optimized handover schemes with latencies less than 50
milliseconds to ensure real-time applications such as VoIP perform without service degradation.
Flexible key management schemes assure that security is maintained during handover.
While the Mobile WiMAX standards activity has been progressing, equipment suppliers have
been aggressively developing equipment that will be WiMAX/802.16e compliant.With
commercial availability of Mobile WiMAX-compliant equipment anticipated in the very near
future and the launch of WiBro services (also based on 802.16e) this year in Korea, it begs the
question as to how the Mobile WiMAX technology relates to and impacts concurrent advances in
3G cellular technology. To address this question it is necessary to gain an understanding of the
underlying technology for Mobile WiMAX as well as the planned 3G enhancements.

6.1.3 WiMAX Physical layer


The physical (PHY) layer of WiMAX is based on the IEEE 802.16-2004 and IEEE 802.16e-
2005 standards and was designed with much influence from Wi-Fi, especially IEEE 802.11a.
Although many aspects of the two technologies are different due to the inherent difference in
their purpose and applications, some of their basic constructs are very similar. Like Wi-Fi,
WiMAX is based on the principles of orthogonal frequency division multiplexing (OFDM),
which is a suitable modulation/access technique for non–line-of-sight (LOS) conditions with
high data rates. In WiMAX, however, the various parameters pertaining to the physical layer,
such as number of subcarriers, pilots, guard band and so on, are quite different from Wi-Fi, since
the two technologies are expected to function in very different environments.
The IEEE 802.16 suite of standards (IEEE 802.16-2004/IEEE 802-16e-2005) defines within
its scope four PHY layers, any of which can be used with the media access control (MAC) layer
to develop a broadband wireless system. The PHY layers defined in IEEE 802.16 are:
• WirelessMAN SC, a single-carrier PHY layer intended for frequencies beyond 11GHz
requiring a LOS condition. This PHY layer is part of the original 802.16 specifications.
• WirelessMAN SCa, a single-carrier PHY for frequencies between 2GHz and 11GHz for
point-to-multipoint operations.
• WirelessMAN OFDM, a 256-point FFT-based OFDM PHY layer for point-to-multipoint
operations in non-LOS conditions at frequencies between 2GHz and 11GHz. This PHY layer,

397
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

finalized in the IEEE 802.16-2004 specifications, has been accepted by WiMAX for fixed
operations and is often referred to as fixed WiMAX.
• WirelessMAN OFDMA, a 2,048-point FFT-based OFDMA PHY for point-to-multipoint
operations in NLOS conditions at frequencies between 2GHz and 11GHz. In the IEEE 802.16e-
2005 specifications, this PHY layer has been modified to SOFDMA (scalable-OFDMA), where
the FFT size is variable and can take any one of the following values:128, 512, 1,024, and 2,048.
The variable FFT size allows for optimum operation/implementation of the system over a wide
range of channel bandwidths and radio conditions.This PHY layer has been accepted by WiMAX
for mobile and portable operations and is also referred to as mobile WiMAX.
In this project, we focus on Mobile WiMAX. Fig (6.2) shows the block diagram of a WiMAX
PHY layer uplink transmitter with single antenna.

Fig (6.2), Block diagram of a WiMAX PHY layer uplink transmitter with single antenna.

The first stage called padding ones is used if the data size from the MAC layer is less than the
frame size according to the selected modulation scheme and code rate, so this block pads ones to
reach the frame size.
The second stage called slot concatenation is used if the data size from the MAC layer is
larger than the number of data to be transmitted in one slot, so it divides the data into blocks,
each of them is with the suitable size that can be transmitted in one frame.
The third stage called randomizer is discussed later. The set of the fourth, fifth and sixth
blocks are related to forward error correction (FEC), and includes channel encoding, rate
matching (puncturing or repeating), interleaving, and symbol mapping. The next set of functional
stages is related to the construction of the OFDM symbol in the frequency domain. During this
stage, data is mapped onto the appropriate subchannels and subcarriers. Pilot symbols are
inserted into the pilot subcarriers, which allow the receiver to estimate and track the channel state
information (CSI). This stage is also responsible for any space/time encoding for transmit
diversity or MIMO, if implemented. The final set of functions is related to the conversion of the

398
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

OFDM symbol from the frequency domain to the time domain and eventually to an analog
signal that can be transmitted over the air. Although Figure 6-2 shows only the logical
components of a transmitter, similar components also exist at the receiver, in reverse order, to
reconstruct the transmitted information sequence. The receiver block diagram of the PHY layer
uplink is shown in Fig (6.3). The channel estimation and equalizer blocks in the receiver are very
important to equalize the received signal according to the channel state information.
Fig (6.4) and (6.5) shows the block diagram of the transmitter and receiver respectively, of the
downlink PHY layer of mobile WiMAX with 2-antennas.
Now we will discuss in details each of this blocks in its order as shown in the block diagram.
Then we focus on In the first section of this part, we describe the various components of the
construction of the OFDM symbol in the frequency domain. This stage is very critical and
unique to IEEE 802.16e-2005, since various subcarrier permutations and mappings are allowed
within the standard, allowing adaptation based on environmental, network, and spectrum related
parameters.Next we discuss the optional multiantenna features of IEEE 802.16e-2005 for various
modes, such as transmit diversity and spatial multiplexing.

Fig (6.3), The receiver block diagram of the PHY layer uplink
antenna.

399
Fig (6.4), The block diagram of the transmitter of the downlink PHY layer of
mobile WiMAX with 2-antennas.uplink
antenna.
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.5), The block diagram of the receiver of the downlink PHY layer of
mobile WiMAX with 2-antennas.

antenna.

6.1.3.1 The Randomizer:

Fig (6.6), Randomizer

The dataantenna.
should be initially randomized using a randomizer in order to:
 Decrease the Peak to average power ratio (PAPR) of the transmitted data as the PAPR of
the data can be quite large (e.g. more than 7 dB). It is inefficient to back to the transmitter
power amplifier off far enough to avoid unrecoverable nonlinear distortion at the worst
possible PAPR.

400
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 To ensure the clock synchronization at the receiver as the transition between bit values
helps the receiver in synchronization.
 If we have long runs of ones the power of the signal will be decreases until the threshold
and hence error happened due to Gibbs phenomena.
 The randomization process is carried out using pseudo random binary generator (PRBG), as
the output of PRBG is used as the input to an XOR Gate and the second input is the block
of data to be transmitted.
 The randomization process ensures that there is no long runs of ones or zeros in the input
bits.
 The PRBG consist of Linear-Feedback Shift Register (LFSR) possessing characteristic
polynomial 1 + X14 + X15. (As shown in the previous Fig (6.7)).
 The LFSR shall be preset at the beginning of each frame to the value 100101010000000
and shall be clocked once per processed bit.
 Only source bits are randomized. This includes source payloads, plus uncoded null (ones)
bits that may be used to fill empty payload segments. Elements that are not a part of the
source data, such as framing elements and pilot symbols shall not be randomized.
 On the down link the randomizer shall not be reset at the start of burst #1. At the start of
subsequent bursts, the randomizer shall be initialized with the vector shown in figure (6-7) :

Fig (6.7), The vector of initialization of the randomizer on the Downlink


DIUC : downlink interval usage code.

 On the Uplink, the randomizer is initialized with the vector shown in Figure7 The frame
antenna.
number used for initialization is that of the frame in which the UL map that specifies the
uplink burst was transmitted.

Fig (6.8), The vector of initialization of the randomizer on the Uplink.

6.1.3.2antenna.
The De-Randomizer:
It is used at the receiver to recover the original data again from the Randomized data. It has
the same construction of the Randomizer, as the data has a XOR operation with the output of

401
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

PRPG that has a linear feedback shift register (LFSR) has the same seed value of the Randomizer
used at the Transmitter. Fig (6.9) shows the Randomization process for a sequence of ones:
From Fig (6.9), it is noticed that the sequence of ones after randomization is a sequences of
bits has a number of ones almost equal number of zeros.

Fig (6.9), Effect of randomizer


6.1.3.3antenna.
Channel coding
The OFDMA PHY supports mandatory tail-biting Convolutional Coding and three optional
coding schemes: Zero Tailing Convolutional code, Convolutional Turbo code(CTC) along with
H-ARQ, and Block Turbo code(BTC). Note that in this project, only convolutional coding is
used.
6.1.3.3.1 Convolutional coding
The mandatory channel coding scheme in IEEE 802.16e-2005 is based on binary
nonrecursive convolutional coding (CC). The convolutional encoder uses a constituent encoder
with a constraint length 7 and a native code rate 1/2, as shown in Figure (6 -10).

402
Fig (6.10), The convolutional encoder

antenna.
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The output of the data randomizer is encoded using this constituent encoder. In order to
initialize the encoder to the 0 state, each FEC block is padded with a byte of 0x00 at the end in
the OFDM mode. In the OFDMA mode, tailbiting is used to initialize the encoder, as shown in
Fig (6.11). The 6 bits from the end of the data block are appended to the beginning, to be used as
flush bits. These appended bits flush out the bits left in the encoder by the previous FEC block.
The first 12 parity bits that are generated by the convolutional encoder which depend on the
6 bits left in the encoder by the previous FEC block are discarded. Tailbiting is slightly more
bandwidth efficient than using flush bits since the FEC blocks are not padded unneccessarily.
However, tailbiting requires a more complex decoding algorithm, since the starting and finishing
states of the decoder are no longer known.

Fig
(6.11) Tailbiting in convolutional encoder

6.1.3.3.2 Puncturing
In order to achieve code rates higher than 1/2, the output of the encoder is punctured, using
the puncturing pattern shown in Table (6.3).

Code rate 1/2 2/3 3/4 5/6


dfree 10 6 5 4
Parity 1 (X) 11 10 101 10101
Parity 2 (Y) 11 11 110 11010
Output X1Y1 X1Y1Y 2 X1Y1Y 2 X3 X1Y1 Y2 X3 Y 4 X5
Table (6.3) Puncturing pattern for convolutional coding.

Simulation Results:
Fig (6.12) shows the performance of different code rates with N=1024 and 64-QAM for a
flat fading channel.

403
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Different code rate


0
N=1024,64-QAM
10
Rc=3/4
-1
Rc=2/3
10 Rc=1/2

-2
10
BER

-3
10

-4
10

-5
10
0 5 10
15 20 25 30
SNR
Fig (6.12), The performance of different code rates of convolutional encoder.

6.1.3.4 Interleaver
All encoded data bits shall be interleaved by a block interleaver with a block size
corresponding to the number of coded bits per the allocated subchannels per OFDM symbol
(Ncbps). The interleaver is defined by a two step permutation:
 The first step ensures that the adjacent coded bits are mapped onto nonadjacent
subcarriers, which provides frequency diversity and improves the performance of the
decoder.
 The second step ensures that adjacent bits are alternately mapped to less and more
significant bits of the modulation constellation, thus avoiding long runs of lowly
reliable bits.
The Equations below provides the relation between k , mk, and jk , this indicates the bit
before and after the first and second steps of the interleaver, respectively, where Nc is the total
number of bits in the block, and S is M/2, where M is the order of the modulation alphabet (2 for
QPSK, 4 for 16 QAM, and 6 for 64 QAM), and d is an arbitrary parameter whose value is set to
16 ( No. of rows ) :
𝑁𝑐 𝑘
𝑚𝑘 = ∗ 𝑘𝑚𝑜𝑑 𝑑 + 𝑓𝑙𝑜𝑜𝑟 (6.1)
𝑑 𝑑
𝑚𝑘 𝑑∗𝑚𝑘
𝑗𝑘 = 𝑠 ∗ 𝑓𝑙𝑜𝑜𝑟 + 𝑚𝑘 + 𝑁𝑐 − 𝑓𝑙𝑜𝑜𝑟 𝑚𝑜𝑑(𝑠) (6.2)
𝑠 𝑁𝑐

404
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 It should be noted that interleaving is performed independently on each FEC block .


 The Interleaver of WiMAX make a matrix of 16 rows and N columns which depends on
the FEC block length .
 The separation between the subcarriers, to which two adjacent bits are mapped onto,
depends on the subcarrier permutation schemes used.
 Since for 16 QAM and 64 QAM constellations, the probability of error for all the bits is
not the same. The probability of error of the most significant bit (MSB) is less than that of the
least significant bit (LSB) for the modulation constellations , So we use the second stage of
Interleaver to ensures that adjacent bits are alternately mapped to less and more significant bits
of the modulation constellation .
 The main difference between the Interleaver in Mobile WiMAX & Fixed WiMAX is the
Number of rows used in the first stage as the no. of rows in the Fixed WiMAX is 12 rows while
the no. of rows in the Mobile WiMAX is 16 rows . that ensures that adjacent bits are mapped to
non adjacent subcarriers .

Output Data
(Interleaved)

1 17 33 ….. …..
2 18 34 ….. …..
3 19 35 ….. …..
4 20 36 ….. …..
Input Data 5 21 37 ….. …..
from encoder 6 22 38 ….. …..
put in rows 7 23 39 ….. …..
8 24 40 ….. …..
9 25 41 ….. …..
10 26 42 ….. …..
11 27 43 ….. …..
12 28 44 ….. …..
13 29 45 ….. …..
14 30 46 ….. …..
15 31 47 ….. …..
16 32 48 ….. …..

Table (6.4) illustrates the idea of working for the first step of Interleaving :

405
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The no. of column depends on the Forward Error correction (FEC) block Length.
For the Second Stage of Interleaver:
Assume the following constellation diagram for 16 QAM as shown in Fig (6.13):

Fig (6.13) Constellation diagram of 16-QAM


From the constellation diagram , It is noticed that the point ( 0000 ) always has a lowest power
and point ( 1010 ) has the highest power , So second stage of Interleaver is carried out to
ensures that adjacent bits are alternately mapped to less and more significant bits of the
modulation constellation, thus avoiding long runs of lowly reliable bits.
 The second stage of interleaving is carried out only in cases of 16QAM or 64QAM
Modulation scheme.

Interleaver Performance in different modulation scheme in Rayleigh fading channel:

Fig (6.14), Interleaver performance with 64-QAM , N=1024 ,Rc=1/2

406
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.15), Interleaver performance with 16-QAM , N=1024 ,Rc=1/2

Fig (6.16), Interleaver performance with QPSK , N=1024 ,R c=1/2

407
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6-17): Interleaver performance with BPSK , N=1024 ,R c=1/2

6.1.3.5 The De-Interleaver :


It is performs the inverse of the operation of the Interleaver, also works in two steps.
The index of the jth bit after the first and the second steps of the de-interleaver is given by:

𝑗 𝑑∗𝑗
𝑚𝑗 = 𝑠 ∗ 𝑓𝑙𝑜𝑜𝑟 + 𝑗 + 𝑓𝑙𝑜𝑜𝑟 𝑚𝑜𝑑(𝑠) (6.3)
𝑠 𝑁𝑐

𝑑∗𝑚𝑗
𝑘𝑗 = 𝑑 ∗ 𝑚𝑗 − 𝑁𝑐 − 1 ∗ 𝑓𝑙𝑜𝑜𝑟 (6.4)
𝑁𝑐
When Convolutional Turbo Codes (CTC) are used, the Interleaver is bypassed, since a subblock
Interleaver is used within the encoder.

6.1.3.6 Symbol mapping


During the symbol mapping stage, the sequence of binary bits is converted to a sequence of
complex valued symbols.
Mobile WiMAX supports QPSK, 16QAM and 64QAM in DL, but In the UL, 64QAM is
optional, Fig (6.17) shows the constellation diagrams for different modulation schemes.

408
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.17) Constellation diagrams for different modulation schemes

Simulation results
Different modulation schemes:
As M increases, distance between constellation points decreases, so it is more difficult to
detect the received symbols correctly. So, 64 QAM and 16 QAM are used at high SNR and
QPSK & BPSK are used in a noisy environment ie. Low SNR. See Fig (6.18)
M=64
FFT=1024
R=3/4
0
number=1e5
10
M=64,R=3/4,IFFT=1024
M=16,R=3/4,IFFT=1024
M=4,R=3/4,IFFT=1024
M=2,R=3/4,IFFT=1024

-1
10
BER

-2
10

-3
10

-4
10
0 5 10 15 20 25
SNR

Fig (6.18) Performance curves of different modulation schemes.


Gray coded symbol order vs Binary:
In this project, one of the main things that saves dBs in SNR, as shown in Fig (6.19) is using the
constellation points arranged in gray code instead of binary codes shown in the figure below.
This is more obvious when using 64 QAM and 16 QAM than QPSK. But when BPSK is used,
no difference appears in the SNR either Gray or binary is used.

409
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

M=64
FFT=1024
R=3/4
0
number=1e5
10
M=64,R=3/4,IFFT=1024
M=16,R=3/4,IFFT=1024
M=4,R=3/4,IFFT=1024
M=2,R=3/4,IFFT=1024
-1 M=64,R=3/4,IFFT=1024 binary
10
M=16,R=3/4,IFFT=1024 binary
M=4,R=3/4,IFFT=1024 binary
M=2,R=3/4,IFFT=1024 binary

-2
10
BER

X: 22
Y: 0.002794
-3
10

-4
10

-5
10
0 5 10 15 20 25 30
SNR

Fig (6.19) Performance curves of modulation, Gray versus Binary


Table (6.5) shows the different modulation schemes and different code rates according to the
WiMAX Forum.
DL UL
Modulation QPSK, 16 QAM, 64 QAM QPSK, 16 QAM, 64 QAM
Code CC 1/2, 2/3, 3/4, 5/6 1/2, 2/3, 5/6
Rate CTC 1/2, 2/3, 3/4, 5/6 1/2, 2/3, 5/6
Repetition x2, x4, x6 x2, x4, x6
Table (6.5), The different modulation schemes and different code rates im Mobile WiMAX.
6.1.3.7 Orthogonal Frequency Division Multiplexing
WiMAX is based on the principles of orthogonal frequency division multiplexing (OFDM),
which is a suitable modulation/access technique for non–line-of-sight (LOS) conditions with
high data rates.OFDM was discussed in details in chapter 4 , here we will focus on the main
features of OFDM in Mobile WiMAX

6.3.7.1 OFDMA
Orthogonal Frequency Division Multiplexing (OFDM) is a multiplexing technique that
subdivides the bandwidth into multiple frequency sub-carriers In an OFDM system, the input
data stream is divided into several parallel sub-streams of reduced data rate (thus increased
symbol duration) and each sub-stream is modulated and transmitted on a separate orthogonal
sub-carrier. The increased symbol duration improves the robustness of OFDM to delay spread.
Furthermore, the introduction of the cyclic prefix (CP) can completely eliminate Inter-Symbol
Interference (ISI) as long as the CP duration is longer than the channel delay spread. The CP is
typically a repetition of the last samples of data portion of the block that is appended to the

410
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

beginning of the data payload. The CP prevents inter-block interference and makes the channel
appear circular and permits low-complexity frequency domain equalization.
A perceived drawback of CP is that it introduces overhead, which effectively reduces
bandwidth efficiency. While the CP does reduce bandwidth efficiency somewhat, the impact of
the CP is similar to the ―roll-off factor‖ in raised-cosine filtered single-carrier systems. Since
OFDM has a very sharp, almost ―brick-wall‖ spectrum, a large fraction of the allocated channel
bandwidth can be utilized for data transmission, which helps to moderate the loss in efficiency
due to the cyclic prefix.
OFDM exploits the frequency diversity of the multipath channel by coding and interleaving
the information across the sub-carriers prior to transmissions. OFDM modulation can be realized
with efficient Inverse Fast Fourier Transform (IFFT), which enables a large number of sub-
carriers (up to 2048) with low complexity. In an OFDM system, resources are available in the
time domain by means of OFDM symbols and in the frequency domain by means of sub-carriers.
The time and frequency resources can be organized into sub-channels for allocation to individual
users. Orthogonal Frequency Division Multiple Access (OFDMA) is a multiple-
access/multiplexing scheme that provides multiplexing operation of data streams from multiple
users onto the downlink sub-channels and uplink multiple access by means of uplink sub-
channels.

6.1.3.7.2 OFDM symbol structure


As discussed in Chapter 4, in an OFDM system, a high-data-rate sequence of symbols is split
into multiple parallel low-data rate-sequences, each of which is used to modulate an orthogonal
tone, or subcarrier. The transmitted baseband signal, which is an ensemble of the signals in all
the subcarriers, can be represented as

𝐿−1
x(t) = 𝑖=0 𝑠 𝑖 𝑒 −2𝜋𝑗 ∆𝑓+𝑖𝐵𝑐 𝑡
0≤t≤T , (6.5)
where
s[i]: The symbol carried on the ith subcarrier.
Bc : The frequency separation between two adjacent subcarriers, also referred to as the subcarrier
bandwidth.
f : The frequency of the first subcarrier.
T: The total useful symbol duration (without the cyclic prefix).

At the receiver, the symbol sent on a specific subcarrier is retrieved by integrating the
received signal with a complex conjugate of the tone signal over the entire symbol duration T. If
the time and the frequency synchronization between the receiver and the transmitter are perfect,
the orthogonality between the subcarriers is preserved at the receiver. When the time and/or
frequency synchronization between the transmitter and the receiver is not perfect, the
orthogonality between the subcarriers is lost, resulting in intercarrier interference (ICI). Timing
mismatch can occur due to misalignment of the clocks at the transmitter and the receiver and
propagation delay of the channel. Frequency mismatch can occur owing to relative drift between
the oscillators at the transmitter and the receiver and nonlinear channel effects, such as Doppler
shift. Note that time synchronization is not as critical as frequency synchronization, as long as it
is within the cyclic prefix window.

The OFDMA symbol structure consists of three types of sub-carriers as shown in Figure:
411
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

1. Data subcarriers are used for carrying data symbols.


2. Pilot subcarriers are used for carrying pilot symbols. The pilot symbols are known a
priori and can be used for channel estimation and channel tracking.
3. Null subcarriers have no power allocated to them, including the DC subcarrier and the
guard subcarriers toward the edge. The DC subcarrier is not modulated, to prevent any
saturation effects or excess power draw at the amplifier. No power is allocated to the
guard subcarrier toward the edge of the spectrum in order to fit the spectrum, of the
OFDM symbol within the allocated bandwidth and thus reduce the interference between
adjacent channels.

Fig (6.20) The OFDMA symbol structure.


Note that: The power in the pilot subcarriers, as shown here, is boosted by 2.5 dB, allowing
reliable channel tracking even at low-SNR conditions.

6.1.3.7.3 Subchannelization and subcarrier permutation


In order to create the OFDM symbol in the frequency domain, the modulated symbols are
mapped on to the subchannels that have been allocated for the transmission of the data block.
A subchannel is a logical collection of subcarriers. The number and exact distribution of the
subcarriers that constitute a subchannel depend on the subcarrier permutation mode. The
number of subchannels allocated for transmitting a data block depends on various parameters,
such as the size of the data block, the modulation format, and the coding rate. In the time and
frequency domains, the contiguous set of subchannels allocated to a single user—or a group of
users, in case of multicast—is referred to as the data region of the user(s) and is always
transmitted using the same burst profile.

A burst profile refers to the combination of the chosen modulation format, code rate, and type
of FEC: convolutional codes, turbo codes, and block codes. The allowed uplink and downlink
burst profiles in IEEE 802.16e-2005 are shown in Table (6.6)

412
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Table (6.6) Uplink and Downlink Burst Profiles in IEEE802.16e


Format Format Format Format
0 QPSK CCa 1/2 14 Reserved 28 64 QAM ZCC 3/4 42 64 QAM LPDC 2/3
1 QPSK CC 3/4 15 QPSK CTCb 3/4 29 QPSK LDCP 1/2 43 64 QAM LPDC 3/4
2 16 QAM CC 1/2 16 16 QAM CTC 1/2 30 QPSK LDCP 2/3 44c QPSK CC 1/2
3 16 QAM CC 3/4 17 16 QAM CTC 3/4 31 QPSK LDCP 3/4 45c QPSK CC 3/4
4 64 QAM CC 1/2 18 64 QAM CTC 1/2 32 16 QAM LDPC 1/2 46c 16 QAM CC 1/2
5 64 QAM CC 2/3 19 64 QAM CTC 2/3 33 16 QAM LDPC 2/3 47c 16 QAM CC 3/4
6 64 QAM CC 3/4 20 64 QAM CC 3/4 34 16 QAM LDPC 3/4 48c 64 QAM CC 2/3
7QPSK BTCd 1/2 21 64 QAM CC 5/6 35 64 QAM LDPC 1/2 49c 64 QAM CC 3/4
8 QPSK BTC 3/4 22 QPSK ZCCe 1/2 36 64 QAM LDPC 2/3 50 QPSK LDPC 5/6
9 16 QAM BTC 3/5 23 QPSK ZCC 3/4 37 64 QAM LDPC 3/4 51 16 QAM LDPC 5/6
10 16 QAM BTC 4/5 24 16 QAM ZCC 1/2 38 QPSK LDCP 2/3 52 64 QAM LDPC 5/6
11 64 QAM BTC 5/8 25 16 QAM ZCC 3/4 39f QPSK LDCP 3/4 > 52 reserved
12 64 QAM BTC 4/5 26 64 QAM ZCC 1/2 40f 16 QAM LPDC 2/3
13 QPSK CTC 1/2 27 64 QAM ZCC 2/3 41f 16 QAM LPDC 3/4
a. Convolutional code.
b. Convolutional turbo code.
c. 44-49 use optional interleaver with the convolutional codes.
d. Block turbo codes.
e. Zero-terminating convolutional code, which uses padding byte of 0 00 instead of tailbiting.
f. 38-43 use the B code for LPDC; other burst profiles with LPDC use A code.

There are two main types of subcarrier permutations: distributed and adjacent (among
frequency). In general, a distributed subcarrier permutation provides better frequency diversity,
whereas an adjacent subcarrier distribution is more desirable for beamforming and allows the
system to exploit multiuser diversity, so distributed subcarrier permutations perform very well in
mobile applications while adjacent subcarrier permutations can be properly used for fixed,
portable, or low mobility environments. These options enable the system designers to trade
mobility for throughput.
Note that in this project, for subcarrier permutation, only UL & DL PUSC are applied.
6.1.3.7.3.1 Downlink Full Usage of Subcarriers
 All data subcarriers are used to create various subchannels.
 Each subchannel is made up of 48 data subcarriers.
 The pilot subcarriers are allocated first then the data subcarriers are mapped using
permutation scheme.
 Set of pilot subcarriers is divided into 2 constant sets and 2 variable sets.
 Variable set allows receiver to estimate channel response across the entire frequency band.
 When transmit diversity of 2, for example, is used, each antenna uses half of number of
pilots.

413
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Table (6.7) Parameters of DL FUSC permutation


FFT size 128 256a 512 1024 2048
Subcarriers per subchannel 48 N/A 48 48 48
Number of subchannels 2 N/A 8 16 32
Data subcarriers used 96 192 384 768 1536
Pilot sibcarriers in constant set 1 8 6 11 24
Pilot sibcarriers in variable set 9 N/A 36 71 142
Left guard subcarriers 11 28 43 87 173
Right guard subcarriers 10 27 42 86 172

Fig (6.21), FUSC subcarrier permutation scheme

6.1.3.7.3.2 Downlink Partial Usage of Subcarriers


 All subcarriers are divided into 6 groups.
 All subcarriers (except null subcarriers) are arranged into clusters.
 Cluster = 14 adjacent subcarriers  2 OFDM symbols.
 Cluster = 24 data subcarriers + 4 pilot subcarriers.
 The clusters are then renumbered.
 The clusters are then divided into 6 groups.
 A subchannel is formed using 2 clusters from the same group.

414
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.22) DL PUSC subcarrier permutation scheme

Table (6.8) Parameters of DL PUSC Subcarriers Permutation.


FFT size 128 512 1024 2048
Subcarriers per 14 14 14 14
cluster
Number of 3 15 30 60
subchannels
Data subcarriers used 72 360 720 1440
Pilot subcarriers 12 60 120 240
Left guard 22 46 92 184
subcarriers
Right guard 21 45 91 183
subcarriers

6.1.3.7.3.2 Uplink Partial Usage of Subcarriers


 Subcarriers are divided into tiles.
 Tile = 4 subcarriers  3 OFDM symbols.
 Subcarrier = 8 data subcarriers + 4 pilot subcarriers.
 Tiles are renumbered and divided into 6 groups.

415
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Subchannel = 6 tiles from a single group

Fig (6.23) UL PUSC subcarrier permutation scheme

Table (6.9) Parameters of UL PUSC Subcarriers Permutation.


System BW 1.25 2.5 5 10 20
FFT size 128 N/A 512 1024 2048
Number of guard subcarriers 31 N/A 103 183 367
Number of tiles 24 N/A 102 210 552
Number of subchannels 4 N/A 17 35 92
Number of subcarriers per tile 97 N/A 409 841 1681
Number of used subcarriers 97 N/A 409 841 1681

6.1.3.7.3.3 Optional Uplink Partial Usage of Subcarriers


A special case from the UL PUSC is Uplink Optional Partial Usage of Subcarriers (OPUSC)
where:
 Tile = 3 subcarriers  3 OFDM symbols.
 Subcarrier = 8 data subcarriers + 1 pilot subcarrier.

Note that there is a trade off between data rate and channel tracking when using either PUSC or
OPUSC and it depends on Doppler shift and coherence bandwidth.

416
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.24) Optional UL PUSC subcarrier permutation scheme


Table (6.10) Parameters of Optional UL PUSC Subcarriers Permutation.
System BW 1.25 2.5 5 10 20
FFT size 128 N/A 512 1024 2048
Number of guard subcarriers 19 N/A 79 159 319
Number of used subcarriers 109 N/A 433 865 1729
Number of tiles 36 N/A 144 288 576
Number of tiles per subchannel 6 N/A 6 6 6
Number of data subcarriers per subchannel 48 N/A 48 48 48
Number of subchannels 6 N/A 24 48 96

6.1.3.7.3.4 Band Adaptive Modulation and Coding


Unique to the band AMC permutation mode, all subcarriers constituting a subchannel are
adjacent to each other. Although frequency diversity is lost to a large extent with this subcarrier
permutation scheme, exploitation of multiuser diversity is easier. Multiuser diversity provides
significant improvement in overall system capacity and throughput, since a subchannel at any
given time is allocated to the user with the highest SNR/capacity in that subchannel. Nine
adjacent subcarriers with eight data subcarriers and one pilot subcarrier are used to form a bin.
 Four adjacent bins in the frequency domain constitute a band.
 An AMC subchannel consists of six contiguous bins from within the same band.
 An AMC subchannel can consist of 1 bin  6 consecutive symbols, 2 bins  3 symbols, or 3
bins  2 consecutive symbols.

417
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.25) Band AMC subcarrier permutation

Table (6.11) Optional AMC subcarrier permutation


System BW 1.25 2.5 5 10 20
FFT size 128 N/A 512 1024 2048
Number of guard subcarriers 19 N/A 79 159 319
Number of used subcarriers 109 N/A 433 865 1729
Number of pilots 12 N/A 48 96 192
Number ofdata subcarriers 96 N/A 384 768 1536
Number of bands 3 N/A 12 24 48
Number of bins per band 4 N/A 4 4 4
Number of subcarriers per 9 N/A 9 9 9
bin (8 data +1 pilot)
Number of subchannels 2 N/A 8 16 32
Sub-carrier permutation None
Pilot subcarrier index 9k+3m+1,
for k=0,1,……, Npilots and
m=[symbol index] mod 3

Slot
The MAC layer allocates the time/frequency resources to various users in units of slots, which
is the smallest quanta of PHY layer resource that can be allocated to a single user in the
time/frequency domain. The size of a slot is dependent on the subcarrier permutation mode.
• FUSC: Each slot is 48 subcarriers by one OFDM symbol.
• Downlink PUSC: Each slot is 24 subcarriers by two OFDM symbols.
• Uplink PUSC and TUSC: Each slot is 16 subcarriers by three OFDM symbols.
• Band AMC: Each slot is 8, 16, or 24 subcarriers by 6, 3, or 2 OFDM symbols.

6.1.3.8 Mobile WiMAX TDD Frame Structure:

The 802.16e PHY supports TDD and Full and Half-Duplex FDD operation, however the
initial release of Mobile WiMAX certification profiles will only include TDD. With ongoing

418
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

releases, FDD profiles will be considered by the WiMAX Forum to address specific market
opportunities where local spectrum regulatory requirements either prohibit TDD or are more
suitable for FDD deployments.
To counter interference issues, TDD does require system-wide synchronization; and TDD is
the preferred duplexing mode for the following reasons:

 TDD enables adjustment of the downlink/uplink ratio to efficiently support asymmetric


downlink/uplink traffic, while with FDD, downlink and uplink always have fixed and
generally, equal DL and UL bandwidths.
 TDD assures channel reciprocity for better support of link adaptation, MIMO and other
closed loop advanced antenna technologies.
 Unlike FDD, which requires a pair of channels, TDD only requires a single channel or both
downlink and uplink providing greater flexibility for adaptation to varied global spectrum
allocations.
 Transceiver designs for TDD implementations are less complex and therefore less expensive.

BASICS OF OFDMA FRAME STRUCTURE:


There are three types of OFDMA subcarriers:
1. Data subcarriers for data transmission.
2. Pilot subcarriers for various estimation and synchronization purposes.
3. Null subcarriers for no transmission at all, used for guard bands and DC carriers.
Active subcarriers are divided into subsets of subcarriers called subchannels. The subcarriers
forming one subchannel may be, but need not be, adjacent. The pilot allocation is performed
differently in different subcarrier allocation modes.
Fig (6.26) illustrates the OFDMA frame structure for a Time Division Duplex (TDD)
implementation. Each frame is divided into DL and UL sub-frames separated by
Transmit/Receive and Receive/Transmit Transition Gaps (TTG and RTG, respectively) to
prevent DL and UL transmission collisions. The downlink-to-uplink-subframe ratio may be
varied from 3:1 to 1:1 to support different traffic profiles.
The relevant information about the starting position and the duration of the various zones
being used in a UL and DL subframe is provided by control messages in the beginning of each
DL subframe.

419
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.26), WiMAX OFDMA frame structure

The relevant information about the starting position and the duration of the various zones
being used in a UL and DL subframe is provided by control messages in the beginning of each
DL subframe.
In a frame, the following control information is used to ensure optimal system operation:

 Preamble: The preamble is the first OFDM symbol of the frame.


The preamble can be used for a variety of PHY layer procedures, such as time and
frequency synchronization, initial channel estimation, and noise and interference
estimation. To create the preamble in frequency domain, BPSK modulation is used.
 Frame Control Header (FCH): The FCH follows the preamble. It provides the frame
configuration information such as MAP message length and the modulation and coding
scheme and usable sub-channels.
 DL-MAP and UL-MAP: The DL-MAP and UL-MAP provide subchannel allocation and
Multiple users data regions within the frame and other control information for the DL and
UL sub-frames respectively.
Since MAP contains critical information that needs to reach all users, it is often sent over
a very reliable link, such as BPSK with rate 1/2 coding and repetition coding.
The BS also transmits the downlink channel descriptor (DCD) and the uplink channel
descriptor (UCD) following the UL-MAP message, which contains additional control
information pertaining to the description of channel structure and the various burst profiles that
are allowed within the given BS.

420
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

In order to conserve resources, the DCD and the UCD are not transmitted every DL frame.
 UL Ranging: The UL ranging sub-channel is allocated for mobile stations (MS) to
perform closed-loop time, frequency, and power adjustment as well as bandwidth requests.
 UL CQICH: The UL CQICH channel is allocated for the MS to feedback channel state
information.
 UL ACK: The UL ACK is allocated for the MS to feedback DL HARQ ( Hybrid
Automatic Repeat Request ) acknowledge. Burst Regions is used as Data regions from
different users each burst has the same modulation and code rate for all users that are
included in this burst.
 TTG & RTG : Transmit/Receive and Receive/Transmit Transition Gaps .
Frame duration is almost 5 ms (it is variable from 2 ms to 20 ms).
Each frame has 47 OFDM symbols each symbol duration is 102.9 µs.

6.1.3.9 Calculation of the total number of bits in one TDD frame:


Now the Number of bits that entered the Physical layer of WiMAX will be calculated. The
WiMAX PHY layer is responsible for slot allocation and framing over the air. The minimum
time-frequency resource that can be allocated by a WiMAX system to a given link is called a
slot. Each slot consists of one subchannel over one, two, or three OFDM symbols, depending on
the particular subchannelization scheme used. A contiguous series of slots assigned to a given
user is called that user's data region; scheduling algorithms could allocate data regions to
different users, based on demand, QoS requirements, and channel conditions.
To illustrate the number of bits that entered the WiMAX PHY , first look at the physical layer
block diagram in Fig (6.2) has to be drawn and then calculate the required bits that needed for
each block in the reverse order .
In this study, UL-PUSC & DL-PUSC will be illustrated and The downlink-to-uplink-
subframe ratio is (3: 1).

6.1.3.9.1 UL PUSC (Uplink Partially Used Subchannelization)


Before the IFFT block the number of data symbols needed to be transmited on one tims slot is
shown in the following table ( according to the number of subcarriers used or the FFT size ) . See
Table (6.12).

Table (6.12) UL distributed subcarrier permutation (PUSC)


Parameters Values
System Bandwidth 1.25 2.5 5 10 20
FFT size 128 N/A 512 1024 2048
Number of guard subcarriers 31 N/A 103 183 367
No. of Tiles 24 N/A 102 210 552
No. of Subchannels 4 N/A 17 35 92
Number of subcarriers per tile 4 N/A 4 4 3
No. of used subcarriers 97 N/A 409 841 1681

421
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 In UL PUSC, the subcarriers are first divided into various tiles, as shown in Figure 3. Each
tile consists of four subcarriers over three OFDM symbols. The subcarriers within a tile are
divided into eight data subcarriers and four pilot subcarriers.
 The tiles are then renumbered, using a pseudorandom numbering sequence, and divided into
six groups. Each subchannel is created using six tiles from a single group.
 One subchannel over three OFDM Symbols is called a Slot which is the minimum time-
frequency resource that can be allocated by a WiMAX system to a given link.

Fig (6.27) UL PUSC tile structure


Now to know the No. of the overall slots, use then following equation:

𝐍𝐨 𝐨𝐟 𝐮𝐬𝐞𝐝 𝐬𝐮𝐛𝐜𝐚𝐫𝐫𝐢𝐞𝐫𝐬 𝐭𝐨𝐭𝐚𝐥 𝐧𝐨 𝐨𝐟 𝐎𝐅𝐃𝐌 𝐬𝐲𝐦𝐛𝐨𝐥𝐬 𝐢𝐧 𝐔𝐋


𝐍𝐨 𝐨𝐟 𝐒𝐥𝐨𝐭𝐬 = ∗ (6.6)
𝟔 ∗ 𝟒 𝟑

No of tiles per slot No of subcarriers per tile No of OFDM symbols per tile

Total No. of OFDM symbols in UL in case of ( 3 : 1 ) ratio operation = 12 .


No. of used subcarriers = the value that can be obtained from the table
− 1 ( the DC subcarrier ) . (6.7)
• Then before the block of Synchronization , pilot insertion , subcarrier randomization the
data symbols will be :

No of Data Symbols/slot = (12-4)*6 = 48 symbols . (6.8)

No of Data symbols= No of Data Symbols/slot * No of Slots. (6.9)


Now the data symbols that assigned for all users are calculated. The next step is to multiply the
data symbols that assigned for all users by the modulation Alphapet and code rate for each user
used the data region. (According to the following equation) . Now the data is bits not symbols
and called a Packet.
No of bits in a packet=No of Data Symbols*M a*Rc (6.10)
Where , 𝑴𝒂 = 𝐥𝐨𝐠 𝟐 𝑴 (6.11)
Ma is 1 in case of BPSK , 2 in case of QPSK , 4 in case of 16QAM , 6 in case of 64QAM .

422
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

M is 2 in case of BPSK , 4 in case of QPSK , 16 in case of 16QAM , 64 in case of 64QAM .


The previous equation calculated is the no. of bits before the Randomizer block .Refer to
table(6-5) that illustrates the different modulation schemes and code rates used for UL & DL in
WiMAX .
 According to the previous equations, the data that the physical layer can deal with (packet)
can be calculated so any change in the No. of this bits will cause the physical layer cannot
deal with it, so the data that entered the Randomizer have to be a Packet.
 If the data entered the physical layer ( The frame of MAC layer ) is larger than the packet
length the slot concatenation block will divide this frame to number of packets that can be
deal with by the PHY layer .
 If the data entered the physical layer ( The frame of MAC layer ) is smaller than the packet
the Padding block will complete the packet by inserting ones in order to enable the physical
layer blocks to deal with .

6.1.3.9.2 DL PUSC (Down link Partially Used Subchannelization)


Before the IFFT block the number of data symbols needed to be transmited on one tims slot is
shown in the following table ( according to the number of subcarriers used or the FFT size. See
table

Table (6.13) DL distributed subcarrier permutation (FUSC)


Parameters Values
System Bandwidth 1.25 2.5 5 10 20
FFT size 128 N/A 512 1024 2048
Number of guard subcarriers 43 N/A 91 183 367
No. of clusters/subchannels 6/3 N/A 30/15 60/30 120/60
No. of used subcarriers 85 N/A 421 841 1681
No. of data subcarriers 72 N/A 360 720 1440
No. of pilot subcarriers 12 N/A 60 120 240

 In DL PUSC, the subcarriers are first divided into various Clusters, as shown in Figure 4.
Each Cluster consists of 14 subcarriers over two OFDM symbols. The subcarriers within a
cluster are divided into 24 data subcarriers and four pilot subcarriers.
 The clusters are then renumbered, using a pseudorandom numbering sequence, and divided
into six groups, with the first one-sixth of the clusters belonging to group 0, and so on.
 Subchannel consists of 28 subcarriers over one OFDM symbol.
 One subchannel over two OFDM Symbols is a Slot.

423
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6-28) DL PUSC cluster structure

Now to know the No. of the overall slots, use then following equation:

𝐍𝐨 𝐨𝐟 𝐮𝐬𝐞𝐝 𝐬𝐮𝐛𝐜𝐚𝐫𝐫𝐢𝐞𝐫𝐬 𝐓𝐨𝐭𝐚𝐥 𝐧𝐨 𝐨𝐟 𝐎𝐅𝐃𝐌 𝐬𝐲𝐦𝐛𝐨𝐥𝐬 𝐢𝐧 𝐃𝐋−𝟏


𝐍𝐨 𝐨𝐟 𝐒𝐥𝐨𝐭𝐬 = ∗ (6-12)
𝟐 ∗ 𝟏𝟒 𝟐

No of clusters per slot


No of subcarriers per cluster No of OFDM symbols per cluster

Total No. of OFDM symbols in DL in case of ( 3 : 1 ) ratio operation = 35 .


No. of used subcarriers = the value that can be obtained from the table − 1 (
the DC subcarrier ) . (6-13)

• Then before the block of Synchronization , pilot insertion , subcarrier randomization the
data symbols will be :

No of Data Symbols/slot = (28-4)*2 = 48 symbols . (6.14)

No of Data symbols= No of Data Symbols/slot * No of Slots . (6.15)


Preamble consists of No. of used subcarriers over one OFDM symbol .
So one OFDM Symbol have to be subtracted from the total no. of OFDM Symbols in Down Link
.Now the data symbols that assigned for all users plus the symbols that assigned for the control
had been calculated .Note that the control symbols used BPSK modulation .The next step is to
multiply the data symbols that assigned for all users and the control symbols by the modulation
Alphabet and code rate for each of them . (According to the following equation). Now the data
is bits not symbols and called a Packet.
No of bits in a packet=No of Data Symbols*M a*Rc (6-16)
Where, 𝑴𝒂 = 𝐥𝐨𝐠 𝟐 𝑴 (6-17)
Now the packet can be calculated and if the frame was larger than the packet, the slot
concatenation block will divide it to packet and sent each individually and if it was smaller
than the packet, padding ones has to be forced to complete the packet .
6.1.3.10 Scalable OFDMA
The IEEE 802.16e Wireless MAN OFDMA mode is based on the concept of scalable
OFDMA (S-OFDMA). S-OFDMA supports a wide range of bandwidths to flexibly address the
need for various spectrum allocation and usage model requirements. The scalability is supported
by adjusting the FFT size while fixing the sub-carrier frequency spacing at 10.94 kHz. Since the

424
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

resource unit sub-carrier bandwidth and symbol duration is fixed, the impact to higher layers is
minimal when scaling the bandwidth. The SOFDMA parameters are listed in Table (6.14). The
system bandwidths for the initial planned profiles being developed by the WiMAX Forum
Technical Working Group for Release-1 are 5 and 10 MHz.

Table (6-14) OFDMA scalability parameters


Parameters Values
System Channel Bandwidth (MHz) 1.25 5 10 20
Sampling Frequency (Fp in MHz) 1.4 5.6 11.2 22.4
FFT Size (NFFT) 128 512 1024 2048
Number of Sub-Channels 2 8 16 32
Sub-Carrier Frequency Spacing 10.94 KHz
Useful Symbol Time (Tb = 1/f) 91.4 microseconds
Guard Time (Tg =Tb/8) 11.4 microseeconds
OFDMA Symbol Duration (Ts = Tb + Tg) 102.9 microseconds
Number of OFDMA Symbols (5 ms Frame) 48
Parameters
Performance of Scalable OFDMA:
Fig (6.29) shows the performance of scalable OFDMA for different FFT sizes and each FFT
size has its corresponding scalable channel bandwidth. It is clear that the performance is
approximately independent of FFT size.

Fig (6.29) Performance of scalable OFDMA

425
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The simulation parameters here are:


Modulation type: 16-QAM
Rc = 1/2
3 paths channel , SUI-1
Channel bandwidth=1.25, 5, 10, 20 MHz according to FFT sizes = 128, 512, 1024, 2048.

6.1.3.11 Channel Estimation & Equalization


It is well known that the wireless channel causes an arbitrary time dispersion, attenuation, and
phase shift in the received signal. The use of orthogonal frequency-division multiplexing and a
cyclic prefix mitigates the effect of time dispersion. However, it is still necessary to remove the
amplitude and phase shift caused by the channel if you want to apply linear modulation schemes,
such as the ones used in WiMAX.
The function of channel estimation is to form an estimate of the amplitude and phase shift
caused by the wireless channel from the available pilot information. The equalization removes
the effect of the wireless channel and allows subsequent symbol demodulation. A number of
different algorithms can be employed for these modules.
This application note considers simple techniques that illustrate the feasibility of
implementation and showcase the design methodology. In WiMAX the reference design
estimates the channel frequency response using linear interpolation in time and frequency on a
tile-by-tile basis for each subchannel. Zero-forcing block equalization is performed to
compensate for the distortion experienced by the subcarriers. This section explains the
algorithms used to achieve this functionality.
The channel estimation and equalization module is part of the multiple user receiver. Because
the radio channel associated with each user has different fading and noise characteristics, this
operation must be run independently for each user. The data and pilot subcarriers are conveyed
across the channel and as the information that is modulated on the pilot subcarriers is known, the
receiver can determine the channel distortion experienced by the data subcarriers. You need to
understand the structure of these pilot subcarriers to be able to carry out the channel equalization
operation performed by this reference design.
Multiple subchannels (slots) can be allocated to each user, with one subchannel being the
minimum resource that can be allocated to a user. In the frequency domain, a subchannel is made
up of six groups of four adjacent subcarriers; and these groups of four subcarriers (a tile) are
each modulated with a mix of data and pilots over three OFDMA symbols. The subcarriers that
are allocated to particular subchannels are the same over the three OFDMA symbols, and in this
way it is possible to calculate an estimate of the frequency response with time and frequency.
Figure 1 demonstrates how the subcarriers for a single subchannel are mapped in the UL_PUSC
subchannelization scheme.

426
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.30) Tiles distribution across the frequency domain

Fig (6.30) shows how the tiles are distributed across the frequency domain, and this
distribution is determined by the subchannelization module. It also shows how the subcarriers of
each tile are adjacent to each other. However, the tiles that are allocated to one subchannel are
not necessarily contiguous. Only the subcarriers of a single subchannel are shown, all other
subcarriers are nulled out for the purposes of this diagram.
The pilot structure is also outlined by Fig (6.31). In the first and third OFDMA symbol, the
outer carriers of each tile are pilot subcarriers, and so it is possible to make an estimate of the
channel response at these frequencies by comparison with the known reference pilot subcarrier.
The frequency response of the two inner subcarriers may be estimated by linear interpolation in
the frequency domain.
To calculate the frequency response of the carriers associated with the second OFDMA
symbol, you can interpolate in time from the estimates made for the first and third symbol.
To calculate the channel estimate, the received data and its associated reference pilots must be
assembled from tile t of subchannel s for each symbol of an UL_PUSC allocation, before the
interpolation is performed. Fig (6.31) shows how the pilot and data subcarriers are visualized.

427
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.31) shows how the pilot and data subcarriers are visualized.

When the data and pilot information has been assembled as shown in Fig (6.31), it is possible to
calculate 𝑕11 , 𝑕14 , 𝑕31 , 𝑕34 using the equation:
𝑟𝑝 (𝑡, 𝑘)
𝑕𝑝 𝑡, 𝑘 = (6.18)
𝑠𝑝 (𝑡, 𝑘)
for the tile t of OFDMA symbol k where:
 𝑟𝑝 𝑡, 𝑘 is the pth received pilot subcarrier
 𝑠𝑝 𝑡, 𝑘 is the pth transmitted pilot subcarrier
Subsequently, frequency domain linear interpolation is performed to calculate channel estimates
using the following equations:
1 2
𝑕12 = 𝑕14 − 𝑕11 + 𝑕11 ,𝑕13 = 𝑕14 − 𝑕11 + 𝑕11 (6-19)
3 3
1 2
𝑕32 = 𝑕34 − 31 + 𝑕31 ,𝑕32 = 𝑕34 − 31 + 𝑕31 (6-20)
3 3

Finally, time domain linear interpolation is achieved as follows:


1 1
𝑕21 = 𝑕11 + 𝑕31 ,𝑕22 = 𝑕12 + 𝑕32 (6-21)
3 3
1 1
𝑕21 = 𝑕13 + 𝑕33 ,𝑕22 = 𝑕14 + 𝑕34 (6-22)
3 3

When all of the channel estimates have been formed,a single-tap zero forcing equalizer
removes the channel distortion by dividing the received signal by the estimated channel
frequency response. Only a single-tap equalizer is required, as the time dispersion of the channel
has been removed by the use of OFDM and the addition of a cyclic prefix.

6.1.3.12 Advanced antenna techniques in Mobile WiMAX


For operations below 11 GHz, there several advanced multiple antenna techniques supported
in the IEEE 802.16 standard including adaptive antenna systems (AAS), space time coding

428
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

(STC), multiple input multiple output (MIMO) to provide significant improvement in the overall
system capacity and spectral efficiency of the network. Expected performance improvements in a
WiMAX network owing to multiantenna technology, based on link- and system-level
simulations, AAS encompasses the use of multiple antennas at the transmitter and the receiver
for different purposes, such as diversity, beamforming, and spatial multiplexing (SM). When
AAS is used in the open-loop mode the transmitter does not know the CSI as seen by the specific
receiver the multiple antennas can be used for diversity (space/time block coding), spatial
multiplexing, or any combination thereof. When AAS is used in closed-loop mode, the
transmitter knows the CSI, either due to channel reciprocity, in case of TDD, or to explicit
feedback from the receiver, in the case of FDD, the multiple antennas can be used for either
beamforming or closed-loop MIMO, using transmit precoding. In this section, we describe the
open- and closed-loop AAS modes of IEEE 802.16.

6.1.3.12.1 Open Loop Mode: Transmit Diversity and Space/Time Coding


Several optional space/time coding schemes with two, three, and four antennas that can be
used with both adjacent and diversity subcarrier permutations are defined in IEEE 802.16. Of
these, the most commonly implemented are the two antenna open-loop schemes, for which the
following space/time coding matrices are allowed:

𝑆1 −𝑆2∗ 𝑆1
𝐴= , 𝐵= (6.23)
𝑆2 𝑆1∗ 𝑆2
Where S1 and S2 are two consecutive OFDM symbols and the space/time encoding matrices
are applied on the entire OFDM symbol, as shown in Figure 1. The matrix A in Equation (6.23)
is the 2 × 2 Alamouti space/time block codes [1], which are orthogonal in nature and amenable to
a linear optimum maximum-likelihood (ML) detector. This provides significant performance
benefit by means of diversity in fading channels. On the other hand, the matrix B as provided see
Equation (6.23) does not provide any diversity but has a space/time coding rate of 2 (spatial
multiplexing), which allows for higher data rates.

429
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.32), Transmit diversity using space/time coding


Decoding of SM-STC in WiMAX

1. Space Time Coding (STC):


For 2x2 Alamouti case, we have two transmit
antennas, Ant0 and Ant1. At a given time instant, t,
the transmitted sympols are S0, S1 respectively. At
instant t+T, where T is the sympol duration, the
transmitted signals are –S1*, S0* . The received
signals are as follow:
𝑟0 = 𝑕0 𝑆0 + 𝑕1 𝑆1 + 𝑛0 (6.24)
∗ ∗
𝑟1 = −𝑕0 𝑆1 + 𝑕1 𝑆0 + 𝑛1 (6.25)
𝑟2 = 𝑕2 𝑆0 + 𝑕3 𝑆1 + 𝑛2 (6.26)
∗ ∗
𝑟3 = −𝑕2 𝑆1 + 𝑕3 𝑆0 + 𝑛3 (6.27)
where r0 is the received signal at Ant0 at time t, r1 is
the received signal at Ant0 at time t+T, r 2 is the
received signal at Ant1 at time t, r3 is the received
signal at Ant1 at time t+T.
To decode, the combiner builds the signals for S0, S1 Fig (6.33), STC Decoding mechanism
ŝ0 = (𝛼02 + 𝛼12 + 𝛼22 + 𝛼32 − 1)𝑠0 + 𝑕0∗ 𝑛0 + 𝑕1 𝑛1∗ + 𝑕2∗ 𝑛2 + 𝑕3 𝑛3∗ (6.28)
2 2 2 2 ∗ ∗ ∗ ∗
ŝ1 = (𝛼0 + 𝛼1 + 𝛼2 + 𝛼3 − 1)𝑠1 − 𝑕0 𝑛1 + 𝑕1 𝑛0 − 𝑕2 𝑛3 + 𝑕3 𝑛2 (6.29)
Then a Maximum Likelihood detector searches for Si that minimizes:
2
(𝛼02 + 𝛼12 + 𝛼22 + 𝛼32 − 1) 𝑠0,1 + 𝑑2 (ŝ0,1 , 𝑠𝑖 ) for both S0, S1. (6.30)

2. Spatial multiplexing (SM)


Multiplex a data stream into several branches and transmit via several independent channels
overlapping in time and frequency.
SM Transmission (using V-BLAST algorithm)
We consider a V-BLAST system with 2 transmit antennas and 2 receive antennas.
At the transmitter, bit stream is modulated then demultiplexed into 2 substreams, and each
substream is sent to its respective transmit antennas.
At the receiver, after estimating the channel parametrs, the received signal and and channel
parameters are sent to V-BLAST signal processing decoder, which performs ordered successive
cancellation, taking the following steps:
Ordering: selects the data stream with the highest signal to interference ratio
Nulling: remove the effect of other streams by multipling the received signal by zeroing
weights
Slicing: quantize the output to get the received symbol.

430
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig

(6.34) ,V-BLAST decoding algorithm


More technical data are available on Technology part, MIMO chapter, decoding algorithms
section.
0
10
(B) SM + STC
(C) SM (MMSE)

-1
10

-2
10

-3
10

-4
10
0 2 4 6 8 10 12 14 16 18 20

Fig (6.35), STC vs SM performance in WiMAX (two antenna case)


Space/time coding matrices have been defined with three and four antennas. In the case of
four antenna transmit diversity, the space/time coding matrix allows for a space/time code rate of
1 (maximum diversity) to a space-time code rate of 4 (maximum capacity), as shown by block
coding matrices A, B, and C in Equation (X.4). By using more antennas, the system can perform
a finer trade-off between diversity and capacity.

𝑆1 −𝑆2∗ 0 0
𝑆 𝑆1∗ 0 0
𝐴= 2 ,R=1 (6.31)
0 0 𝑆3 −𝑆4∗
0 0 𝑆4 𝑆3∗

431
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑆1 −𝑆2∗ 𝑆5 −𝑆7∗ 𝑆1
𝑆 𝑆1∗ 𝑆6 −𝑆8∗ 𝑆
𝐵= 2 ,R=2 𝐶= 2 , R =4
𝑆3 −𝑆4∗ 𝑆7 𝑆5∗ 𝑆3
𝑆4 𝑆3∗ 𝑆8 𝑆6∗ 𝑆4

0
10
(B) SM + STC
(C) SM (MMSE)

-1
10

-2
10

-3
10

-4
10
0 2 4 6 8 10 12 14 16 18 20

Fig (6.36), hybrid SM/STC vs pure SM performance in WiMAX (four antennas case)
For transmit diversity modes with a space/time code rate greater than 1, both horizontal and
vertical encoding is allowed, as shown in Figure 6. In the case of horizontal encoding, the
multiple streams are coded (FEC) and modulated independently before being presented to the
space/time encoding block. In the case of vertical encoding, the multiple streams are coded and
modulated together before being presented to the space/time encoding block. When multiple
antennas are used, the receiver must estimate the channel impulse response from each of the
transmit antennas in order to detect the signal. In IEEE 802.16, this is achieved by the using of
MIMO midambles or by distributing the pilot subcarriers among the various transmit antennas.

432
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.37), (a) Horizontal and (b) vertical encoding for two antennas

When multiple antennas are used with the FUSC subcarrier permutation, the pilot subcarriers
in each symbol are divided among antennas. In the case of two antennas, the pilots are divided in
the following fashion:

 Symbol 0: Antenna 0 uses variable set 0 and constant set 0, and antenna 1 uses variable
set 1 and constant set 1.
 Symbol 1: Antenna 0 uses variable set 1 and constant set 1, and antenna 1 uses variable
set 0 and constant set 0.

Similarly when four antennas are used for FUSC subcarrier permutation, the pilots are
divided among the antennas in the following fashion:

 Symbol 0: Antenna 0 uses variable set 0 and constant set 0, and antenna 1 uses variable
set 1 and constant set 1.
 Symbol 1: Antenna 2 uses variable set 0 and constant set 0, and antenna 3 uses variable
set 1 and constant set 1.
 Symbol 2: Antenna 0 uses variable set 1 and constant set 1, and antenna 1 uses variable
set 0 and constant set 0.
 Symbol 3: Antenna 2 uses variable set 1 and constant set 1, and antenna 3 uses variable
set 0 and constant set 0.

For the PUSC subcarrier permutation, a separate cluster structure, as shown in Fig (6.38), is
implemented when multiple antennas are used. When three antennas are used for transmission,
the pilot pattern distribution is the same as in the case of four antennas, but only the patterns for
antennas 50, 1, and 2 are used for transmission.

433
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.38), PUSC Clusters for (a) two- antenna transmissions and (b) four-antenna transmissions
6.1.3.12.2 Frequency-Hopping Diversity Code
In the case of space/time encoding using multiple antennas, the entire OFDM symbol is
operated by the space/time encoding matrix, as shown in Figure 1. IEEE 802.16 also defines an
optional transmit diversity mode, known as the frequency-hopping diversity code (FHDC), using
two antennas in which the encoding is done in the space and frequency domain, as shown in
Figure 8 rather than the space and time domain. In FHDC, the first antenna transmits the OFDM
symbols without any encoding, much like a single-antenna transmission, and the second antenna
transmits the OFDM symbol by encoding it over two consecutive subchannels, using the 2 × 2
Alamouti encoding matrix, as shown in Fig (6.39).

Fig (6.39), Frequency-hopping diversity code

The received signal in the nth and (n + 1)th subchannel can then be written as

434
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝑟𝑛 𝑕1 𝑛 𝑕2 𝑛 𝑆𝑛 𝑍𝑛
𝑟𝑛∗+1 = + (6.32)
−𝑕2∗ 𝑛+1 𝑕1∗ 𝑛+1 𝑆𝑛∗+1 ∗
𝑍𝑛+1
Although equation (6.32) shows the received signal in the nth and (n + 1) th subchannel, the
reception is done on a per subcarrier basis. When the subcarriers corresponding to the nth and
(n +1)th subchannel are far apart relative to the coherence bandwidth of the channel, the
space/time coding is not orthogonal, and the maximum-likelihood detector is not linear. In such a
case, an MMSE or BLAST space/time detection scheme is required.
6.1.3.12.3 Closed Loop Mode: Closed loop MIMO
The various transmit diversity and spatial-multiplexing schemes of IEEE 802.16 described in
the previous section do not require the transmitter to know the CSI for the receiver of interest. As
discussed in previous chapters, MIMO and diversity schemes can benefit significantly if the CSI
is known at the transmitter. CSI information at the transmitter can be used to select the
appropriate MIMO mode number of transmit antennas, number of simultaneous streams, and
space/time encoding matrix as well as to calculate an optimum precoding matrix that maximizes
system capacity. The CSI can be known at the transmitter due to channel reciprocity, in the case
of TDD, or by having a feedback channel, in the case of FDD. The uplink bandwidth required to
provide the full CSI to the transmitter the MIMO channel matrix for each subcarrier in a
multiuser FDD MIMO-OFDM system is too large and thus impractical for a closed-loop FDD
MIMO system. For practical systems, it is possible only to send some form of quantized
information in the uplink. The framework for closed-loop MIMO in IEEE 802.16, as shown in
Figure (6.40), consists of a space/time encoding stage identical to an open-loop system and a
MIMO precoding stage. The MIMO precoding matrix in general is a complex matrix, with the
number of rows equal to the number of transmit antennas and the number of columns equal to
the output of the space/time encoding block. The linear precoding matrix spatially mixes the
various parallel streams among the various antennas, with appropriate amplitude and phase
adjustment.

Fig (6.40), Closed-loop MIMO framework in IEEE 802.16

In order to determine the appropriate amplitude and phases of the various weights, the
transmitter requires some feedback from the MS. In the case of closed-loop MIMO, the feedback
falls broadly into two categories: long-term feedback and short-term feedback. The long-term

435
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

feedback provides information related to the maximum number of parallel streams: the rank of
the precoding matrix to be used for DL transmissions. The short-term feedback provides
information about the precoding matrix weights to be used. The IEEE 802.16 standard defines
the following five mechanisms so that the BS can estimate the optimum precoding matrix for
closed-loop MIMO operations:

1. Antenna selection. The MS indicates to the BS which transmit antenna(s) should be used
for transmission in order to maximize the channel capacity and/or improve the link
reliability.
2. Antenna grouping. The MS indicates to the BS the optimum permutation of the order of
the various antennas to be used with the current space/time encoding matrix.
3. Codebook based feedback. The MS indicates to the BS the optimum precoding matrix
to be used, based on the entries of a predefined codebook.
4. Quantized channel feedback. The MS quantizes the MIMO channel and sends this
information to the BS, using the MIMO_FEEDBACK message. The BS can use the
quantized MIMO channel to calculate an optimum precoding matrix.
5. Channel sounding. The BS obtains exact information about the CSI of the MS by using
a dedicated and predetermined signal intended for channel sounding.

6.1.3.12.3.1 Antenna Selection


When the number of the transmit antennas Nt is larger than the number of parallel streams Ns
rank of the precoding matrix based on the long-term feedback the antenna-selection feedback
tells the BS which of the available antennas are optimal for DL transmission. The MS usually
calculates the MIMO channel capacity for each possible antenna combination and chooses the
combination that maximizes channel capacity. The MS then indicates its choice of antennas,
using the secondary fast-feedback channel. Primary and secondary fast-feedback channels can be
allocated to individual MSs, which the MS can use in a unicast manner to send the
FASTFEEDBACK message. Each primary fast-feedback channel consists of one OFDMA slot.
The MS uses the 48 data subcarriers of a PUSC subchannel to carry an information payload of 6
bits. The secondary fast-feedback subchannel, on the other hand, uses the 24 pilot subcarriers of
a PUSC subchannel to carry a 4-bit payload. Due to such a high degree of redundancy, the
reception of the primary and the secondary fast-feedback message at the BS is less prone to
errors.
Antenna selection is a very bandwidth-efficient feedback mechanism and is a useful feature at
higher speeds, when the rate of the feedback is quite high. Antenna selection has the added
advantage that unlike other closed-loop MIMO modes, the number of required RF chains is
equal to the number of streams Ns. Other closed-loop MIMO schemes require a total of Nt RF
chains at the transmitter, regardless of how many parallel streams are transmitted.
6.1.3.12.3.2 Antenna Grouping
Antenna grouping is a concept that allows the BS to permutate the logical order of the
transmit antennas. As shown in Equation (X.4), if A1 is considered the natural order, A2 implies
that the logical order of the transmit antennas 2 and 3 is switched. Similarly, A3 implies that
first, the logical order of the antennas 2 and 4 is switched, and then the logical order of antennas
3 and 4 is switched. The MS indicates the exact permutation and the number of transmit antennas

436
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

to be used by the primary fast-feedback channel. Antenna grouping can also be performed with
all the space/ time encoding matrices, as described in the previous section for two, three, and
four antennas.

𝑆1 −𝑆2∗ 0 0
𝑆 𝑆1∗ 0 0
𝐴1 = 2 (6.33)
0 0 𝑆3 −𝑆4∗
0 0 𝑆4 𝑆3∗

𝑆1 −𝑆2∗ 0 0
0 0 𝑆3 −𝑆4∗
𝐴2 =
𝑆2 𝑆1∗ 0 0
0 0 𝑆4 𝑆3∗
𝑆1 −𝑆2∗ 0 0
0 0 𝑆3 −𝑆4∗
𝐴3 =
0 0 𝑆4 𝑆3∗
𝑆2 𝑆1∗ 0 0

6.1.3.12.3.3 Codebook Based Feedback


Codebook based feedback allows the MS to explicitly identify a precoding matrix based on a
codebook that should be used for DL transmissions. Separate codebooks are defined in the
standard for various combinations of number of streams Ns and number of transmit antennas Nt.
For each combination of Ns and Nt, the standard defines two codebooks: the first with 8 entries
and the second with 64 entries. If it chooses a precoding matrix from the codebook with 8
entries, the MS can signal this to the BS by using a 3-bit feedback channel. On the other hand, if
it chooses a precoding matrix from the codebook with 64 entries, the MS can indicate its choice
to the BS by using a 6-bit feedback channel. This choice of two codebooks allows the system to
perform a controlled trade-off between performance and feedback efficiency. For band AMC
operation, the BS can instruct the MS to provide either a single precoder for all the bands of the
preferred subchannels or different precoders for the N best bands.

The IEEE 802.16 standard does not specify what criteria the MS should use to calculate the
optimum precoding matrix. However, two of the more popular criteria are maximization of sum
capacity and minimization of mean square error (MSE).

6.1.3.12.3.4 Quantized Channel Feedback


Quantized MIMO feedback allows the MS to explicitly inform the BS of its MIMO channel
state information. The MS quantizes the real and imaginary components of the Nt × Nr MIMO

437
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

channel to a 6-bit binary number and then sends this information to the BS, using the fast-
feedback channel. Clearly, the quantized channel feedback requires much more feedback
bandwidth in the UL compared to the codebook-based method. For example, in the case of a
IEEE 802.16e-2005 system with four antennas at the transmitter and two antennas at the
receiver, a quantized channel feedback would require 16 × 6 bits to send the feedback as opposed
to the codebook based method, which would require only 6 bits. Owing to the high-bandwidth
requirement of the quantized channel feedback mode, we envision this mode to be useful only in
pedestrian and stationary conditions. In such slow-varying channel conditions, the rate at which
the MS needs to provide this feedback is greatly reduced, thus still maintaining reasonable
bandwidth efficiency.
Again, the IEEE 802.16e-2005 standard does not specify what criteria the BS needs to use in
order to calculate an optimum precoder, but two of the most popular criteria are maximization of
sum capacity and minimization of MSE.

6.1.3.12.3.5 Channel Sounding


As defined in the standard for TDD operations, the channel-sounding mechanism involves the
MS‘s transmitting a deterministic signal that can be used by the BS to estimate the UL channel
from the MS. If the UL and DL channels are properly calibrated, the BS can then use the UL
channel as an estimate of the DL channel, due to channel reciprocity.
The BS indicates to the MS, using the UL_MAP message if a UL sounding zone has been
allocated for a user in a given frame. On the receipt of such instructions, the MS sends a UL
channel-sounding signal in the allocated sounding zone. The subcarriers within the sounding
zone are divided into nonoverlapping sounding frequency bands, with each band consisting of 18
consecutive subcarriers. The BS can instruct the MS to perform channel sounding over all the
allowed subcarriers or a subset thereof. For example, when 2,048 subcarriers are used, the
maximum number of usable subcarriers is 1,728. Thus, the entire channel bandwidth can be
divided into 1,728/18 = 96 sounding frequency bands. In order to enable DL channel estimation
at the BS in mobile environments, the BS can also instruct the MS to perform periodic UL
channel sounding.
The channel-sounding option for closed-loop MIMO operation is the most bandwidth-
intensive MIMO channel-feedback mechanism, but it provides the BS with the most accurate
estimate of the DL channel, thus providing maximum capacity gain over open-loop modes.
6.1.3.12.4 The supported features in the Mobile WiMAX performance profile
The Switching technique applied in Mobile WiMAX has several features defined in the IEEE
802.16 standard for both Uplink and Downlink transmission. These options are listed in Table
(6.14).

Link Beam forming Space Time Coding Spatial Multiplexing


Nt=2, Nr≥2
DL Nt≥2, Nr≥1 Nt=2, Nr≥1 Matrix A
Matrix B, vertical encoding
Nt=1, Nr≥2
UL Nt ≥1, Nr≥2 N/A
Two-user collaborative SM
Table (6.14): Advanced Antenna Options

438
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Mobile WiMAX supports adaptive switching between these options to maximize the benefit
of smart antenna technologies under different channel conditions. For instance, SM improves
peak throughput. However, when channel conditions are poor, the Packet Error Rate (PER) can
be high and thus the coverage area where target PER is met may be limited. STC on the other
hand provides large coverage regardless of the channel condition but does not improve the peak
data rate. Mobile WiMAX supports adaptive switching between multiple MIMO modes to
maximize spectral efficiency with no reduction in coverage area.
The following table provides a summary of the theoretical peak data rates for various DL/UL
ratios assuming a 10 MHz channel bandwidth, 5 ms frame duration with 44 OFDM data symbols
(out of 48 total OFDM symbols) and PUSC subchannelization. With 2x2 MIMO, the DL user
and sector peak data rate are doubled. The maximum DL peak data rate is 63.36 Mbps when all
the data symbols are dedicated to DL. With UL collaborative SM, the UL sector peak data rate is
doubled while the user peak data rate is unchanged. The UL user peak data rate and sector peak
data rate are 14.11 Mbps and 28.22 Mbps respectively when all the data symbols are dedicated to
UL. By applying different DL/UL ratio, the bandwidth can by adjusted between DL and UL to
accommodate different traffic pattern. It should be noted that the extreme cases such as all DL
and all UL partition are rarely used. WiMAX profile supports DL/UL ratio ranging from 3:1 to
1:1 to accommodate different traffic profiles. The resulting peak data rates that will typically be
encountered are in between the two extreme cases.
DL/UL Ratio 1:0 3:1 2:1 3:2 1:1 0:1
User SIMO DL 31.68 23.04 20.16 18.72 15.84 0
Peak (1x2) UL 0 4.03 5.04 6.05 7.06 14.11
Rate MIMO DL 63.36 46.08 40.32 37.44 31.68 0
(Mbps) (2x2) UL 0 4.03 5.04 6.05 7.06 14.11
Sector SIMO DL 31.68 23.04 20.16 18.72 15.84 0
Peak (1x2) UL 0 4.03 5.04 6.05 7.06 14.11
Rate MIMO DL 63.36 46.08 40.32 37.44 31.68 0
(Mbps) (2x2) UL 0 8.06 10.08 2.10 14.12 28.22
Table (2): Data Rates for SIMO/MIMO Configurations
(For 10 MHz channel, 5 ms frame, PUSC sub-channel, 44 data OFDM symbols)

6.1.3.12.5 Adaptive antenna systems (AAS)


AAs technology, popularly known as smart antennas, accommodates the spatially diverse
location of energy sources by discriminating signals based on their angles of arrival (AoAs) and
the angles of departure (AoDs) at an antenna array. In very general terms, the discrimination of
signals, which is outside the scope of the IEEE 802.16 standard, is achieved by computing a
complex weighted sum of the signals of all antenna elements, where the weights may be
computed according to various metrics.

439
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.1.3.12.5.1 AAS support in IEEE Std 802.16


Through the AAS options, the IEEE 802.16 standard supports the use of smart antennas to
perform beam forming. Beam forming can effectively create a narrower signal beam, resulting in
increased gain and, therefore, higher range. This in turn increases capacity by increasing the
range at which a particular PHY burst profile can be received. AAS also allows for the
suppression of noise sources, improving the SNR at the receiver, and discrimination on the AoD
allows energy to be concentrated in the direction of the intended recipient, enabling large cell
ranges. In addition, nulls can be steered in particular directions, enhancing the interference
resistance of the system.
Drawbacks of these approaches include the increased system complexity and the inability to
broadcast messages, reducing the spectral efficiency due to repetition of broadcast MAC
messages to the various recipients.

6.1.3.12.5.2 AAS support in MAC service and control functions


The IEEE 802.16 MAC supports the following functions for AAS-capable subscriber stations
(SSs):

 The ability to transmit private management messages (such as UL MAP, DL MAP, UCD
and DCD) that may be sent directly to AAS SS Basic CID, instead of to the Broadcast
CID as in generic PMP.
 Dynamic allocation of specific regions within the frame for initial ranging and Bandwidth
requests for AAS SSs.
 A set of AAS MAC management messages, such as those required for obtaining feedback
from an AAS SS for channel estimation and for selecting the most optimal beam for an
AAS SS.

6.1.3.12.5.3 AAS MAC management messages


The following AAS MAC management messages are defined:
 The AAS-FBCK-REQ (AAS feedback request) message is used to request channel
measurement for a specific frequency which may be used to adjust the direction of the
adaptive array.
 The AAS-FBCK-RSP (AAS feedback response) message is sent in response to AAS-
FBCK-REQ and contains the channel measurements.
 The AAS_Beam_Select (ASS beam select) message is used by an ASS-capable SS to
indicate the preferred beam.
 The AAS_BEAM-REQ (AAS beam request) message is used to request channel
measurements for a specific beam in order to adjust the adaptive array at the BS.
 The AAS_BEAM_RSP (AAS beam response) message is sent by an SS in response to an
AAS_BEAM-REQ.

6.1.3.12.5.4 Channel State Information

440
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The adaptive array operation depends on the estimation of the channel state in both directions.
The Base Station (BS) may use UL channel state directly. In TDD systems, the BS may use this
UL channel information to estimate the DL channel. However, for FDD systems this method is
not accurate due to the different frequencies used for UL and DL. Therefore, for the FDD case an
AAS-FBCK-REQ message is used by the BS to instruct the subscriber station to measure and
send channel state estimation using an AAS-FBCK-RSP message. The BS may automatically
allocate UL slots for transmitting AAS-FBCK-RSP messages after the measurement period.

6.3.12.6 Channel Estimation for MIMO-OFDM


When OFDM is used with a MIMO transceiver, channel information is essential at the
receiver in order to coherently detect the received signal and for diversity combining or spatial
interference suppression. Accurate channel information is also important at the transmitter for
closed-loop MIMO. Channel estimation can be performed in two ways: training-based and blind.
In training-based channel estimation, known symbols are transmitted specifically to aid the
receiver‘s channel estimation-algorithms. In a blind channel-estimation method, the receiver
must determine the channel without the aid of known symbols. Although higher-bandwidth
efficiency can be obtained in blind techniques due to the lack of training overhead, the
convergence speed and estimation accuracy are significantly compromised. For this reason,
training-based channel-estimation techniques are more reliable, more prevalent, and supported
by the WiMAX standard. This section considers the training-based techniques for MIMO-OFDM
systems. Conventional OFDM channel estimation is the special case in which Nr =Nt =1

6.1.3.12.5.1 Preamble and Pilot in MIMO-OFDM


There are two ways to transmit training symbols: preamble or pilot tones. Preambles entail
sending a certain number of training symbols prior to the user data symbols. In the case of
OFDM, one or two preamble OFDM symbols are typical. Pilot tones involve inserting a few
known pilot symbols among the subcarriers. Channel estimation in MIMO-OFDM systems can
be performed in a variety of ways, but it is typical to use the preamble for synchronization and
initial channel estimation and the pilot tones for tracking the time-varying channel in order to
maintain accurate channel estimates.
In MIMO-OFDM, the received signal at each antenna is a superposition of the signals
transmitted from the Nt transmit antennas. Thus, the training signals for each transmit antenna
need to be transmitted without interfering with one another in order to accurately estimate the
channel. Fig (6.41) shows three MIMO-OFDM patterns that avoid interfering with one another:
independent, scattered, and orthogonal patterns.
The independent pattern transmits training signals from one antenna at a time while the
other antennas are silent, thus guaranteeing orthogonality between each training signal in the
time domain. Clearly, an Nr × Nt channel can be estimated over Nt training signal times. The
scattered-pilot pattern prevents overlap of training signals in the frequency domain by
transmitting each antenna‘s pilot symbols on different subcarriers, while other antennas are silent
on that subcarrier. Finally, the orthogonal pattern transmits training signals that are
mathematically orthogonal, similar to CDMA. The independent pattern is often the most
appropriate for MIMO-OFDM, since the preamble is usually generated the in time domain. For
transmitting the pilot tones, any of these methods or some combination of them can be used.
In MIMO-OFDM, frequency-domain channel information is required in order to detect the
data symbols on each subcarrier. Since the preamble consists of pilot symbols on many of the
441
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

subcarriers, the channel-frequency response of each subcarrier can be reliably estimated from
preamble with simple interpolation techniques. In normal data OFDM symbols, there are
typically a very small number of pilot tones, so interpolation between these estimated
subchannels is required. The training-symbol structure for the preamble and pilot tones is shown
in Figure 6.42, with interpolation for pilot symbols. One-dimensional interpolation over either
the time or frequency domain or two-dimensional interpolation over both the time and frequency
domains can be performed with an assortment of well-known interpolation algorithms, such as
linear and FFT. In the next section, we focus on channel estimation in the time and frequency
domain, using the preamble and pilot symbols, and assume that interpolation can be performed
by the receiver as necessary.

Fig (6.41), Three different patterns for transmitting training signals in MIMO-OFDM

Figure 6.42 Training symbol structure of preamble-based and pilot-based channel estimation
methods
6.1.3.12.5.2 Time versus Frequency-Domain Channel Estimation
MIMO-OFDM channels can be estimated in either the time or the frequency domain. The
received time-domain signal can be directly used to estimate the channel impulse response;
frequency-domain channel estimation is performed using the received signal after processing it
with the FFT. Here, we review both the time and the frequency-domain channel-estimation

442
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

methods, assuming that each channel is clear of interference from the other transmit antennas,
which can be ensured by using the pilot designs described previously. Thus, the antenna indices i
and j are neglected in this section and these techniques are directly applicable to single antenna
OFDM systems as well.
Time-Domain Channel Estimation
Channel-estimation methods based on the preamble and pilot tones are different due to the
difference in the number of known symbols. For preamble-based channel estimation in the time
domain with a cyclic prefix, the received OFDM symbol for a training signal can be expressed
with a circulant matrix as

(6.34)
where y and n are the L samples of the received OFDM symbol and AWGN noise, x(l) is the 𝑙𝑡𝑕
time sample of the transmitted OFDM symbol, and h(i) is the 𝑖𝑡𝑕 time sample of the channel
impulse response. Using this matrix description, the estimated channel 𝑕 can be readily obtained
using the least-squares (LS) or MMSE method. For example, the LS-that is, zero forcing-
estimate of the channel can be computed as
𝑕=(𝑋 ∗ X)−1 𝑋 ∗y (6.35)
since X is deterministic and hence known a priori by the receiver. When pilot tones are used for
time-domain channel estimation, the received signal can be expressed as
y=𝐹 ∗ 𝑋𝑝 𝐹h+n (6.36)
where 𝑋𝑝 is a diagonal matrix whose diagonal elements are the pilot symbols in the frequency
domain, 𝐹 is (p×v) DFT matrix generated by selecting rows from (L×v) DFT matrix F according
to the pilot subcarrier indices, and
−𝑗 2𝜋 𝑖−1 (𝑗 −1)
1
[𝐹]𝑖,𝑗 = 𝑒 𝐿 (6.37)
𝐿
Then, the LS pilot-based time-domain estimated channel is
𝑕= (𝐹 ∗ 𝑋𝑝 ∗ 𝑋 𝑝 𝐹 )−1 𝐹 ∗ 𝑋𝑝 ∗ 𝐹 𝑦. (6.38)
Frequency-Domain Channel Estimation
Channel estimation is simpler in the frequency domain than in the time domain. For preamble-
based frequency-domain channel estimation, the received symbol of the 𝑙𝑡𝑕 subcarrier in the
frequency domain is
Y(l)=H(l)X(l)+N(l). (6.39)

443
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Since X(l) is known a priori by the receiver, the channel frequency response of each
subcarrier can easily be estimated. For example, 𝑙𝑡𝑕 frequency-domain estimated channel using
LS is
−1
𝐻 𝑙 =𝑋 𝑙 𝑌(𝑙) (6.40)
Similarly, for pilot-based channel estimation, the received symbols for the pilot tones are the
same as Equation (6.39). To determine the complex channel gains for the data-bearing
subcarriers, interpolation is required.
Least-squares channel estimation is often not very robust in high-interference or noisy
environments, since these effects are ignored. This situation can be improved by averaging the
LS estimates over numerous symbols or by using MMSE estimation. MMSE estimation is
usually more reliable, since it forms a more conservative channel estimate based on the strength
of the noise and statistics on the channel covariance matrix. The MMSE channel estimate in the
frequency domain is 𝐻=AY
where H and Y here are the L point DFT of H and the received signal on each output subcarrier,
and the estimation matrix A is computed as
A=𝑅𝐻 (𝑅𝐻 + 𝜍 2 𝑋 ∗ X −1 )−1 𝑋 −1 ,
(6.41)
and R H = E[HH ∗ ] is the channel covariance matrix, and it is assumed that the
noise/interference on each subcarrier is uncorrelated and has variance 𝜍 2 . It can be seen by setting
𝜍 2 = 0 that if noise is neglected, the MMSE and LS estimators are the same.
One of the drawbacks of conventional Linear MMSE frequency-domain channel estimation is
that it requires knowledge of the channel covariance matrix in both the frequency and time
domains. Since the receiver usually does not possess this information a priori, it also needs to be
estimated, which can be performed based on past channel estimates. However, in mobile
applications, the channel characteristics change rapidly, making it difficult to estimate and track
the channel covariance matrix. In such cases, partial information about the channel covariance
matrix may
be the only possibility. For example, if only the maximum delay and the Doppler spread of the
channel are known, bounds on the actual channel covariance matrix can be derived. Surprisingly,
the LMMSE estimator with only partial information often results in performance that is
comparable to the conventional LMMSE estimator with full channel covariance information.

444
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.2 MAC Layer


6.2.1 MAC LAYER DUTIES

In the previous part the WIMAX physical (PHY) layer was discussed and it was shown that the
purpose of the PHY layer is to reliably deliver information bits from the transmitter to the
receiver, using the physical medium, such as radio frequency, light waves, or copper wires.
Usually, the PHY layer is not informed of quality of service (QoS) requirements and is not aware
of the nature of the application, such as VoIP, HTTP, or FTP. The PHY layer can be viewed as a
pipe responsible for information exchange over a single link between a transmitter and a
receiver. The Media Access Control (MAC) layer, which resides above the PHY layer, is
responsible for controlling and multiplexing various such links over the same physical medium.
Some of the important functions of the MAC layer in WiMAX are to:

 Segment or concatenate the service data units (SDUs) received from higher layers into
the MAC PDU (protocol data units), the basic building block of MAC-layer payload
(Fragmentation and concatenation).
 Select the appropriate burst profile and power level to be used for the transmission of
MAC PDUs (Adaptation of the system).
 Retransmission of MAC PDUs that were received erroneously by the receiver when
automated repeat request (ARQ) is used.
 Provide QoS control and priority handling of MAC PDUs belonging to different data and
signaling bearers
 Schedule MAC PDUs over the PHY resources (Resource allocation)
 Provide support to the higher layers for mobility management
 Provide security and key management
 Provide power-saving mode and idle-mode operation

The following pages explains the structure of the MAC Layer, the previous features and their
effect on the system performance and the simulating program done in MATLAB

6.2.2 MAC LAYER STRUCTURE


The MAC layer of WiMAX, as shown in Fig1, is divided into three distinct components:

 The service-specific convergence sublayer (CS).


 The common-part sublayer.
 The security sublayer.

445
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig(6.43) MAC
Sublayers

The Convergence
Sublayer (CS):
This is the interface
between the MAC layer
and layer 3 of the network,
receives data packets from
the higher layer. These
higher-layer packets are
also known as MAC
service data units (SDU). The CS is responsible for performing all operations that are
dependent on the nature of the higher-layer protocol, such as header compression and
address mapping. The CS can be viewed as an adaptation layer that masks the higher-
layer protocol and its requirements from the rest of the MAC and PHY layers of a
WiMAX network.

The Common-part Sublayer:


It performs all the packet operations that are independent of the higher layers, such as
fragmentation and concatenation of SDUs into MAC PDUs, transmission of MAC PDUs,
QoS control, and ARQ. The security sublayer is responsible for encryption, authorization,
and proper exchange of encryption keys between the BS and the MS.
The focus of this chapter in on the common-part sublayer

MAC Security Sublayer:


It is responsible of handling the security of the system in order to only pass the messages
which are directed to the MS user which he recognize using a unique encryption key.
This key is assigned to it by the BS, also to make the packets save from any one who is
trying to hack on them.

446
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.2.3 The Common-part Sublayer:


The common part sublayer is responsible for the majority of the MAC Layer duties and
to start discussing them we take them in the order they are in transferring a packet from a
source to destination.
As the name suggests, the MAC common-part sublayer is independent of the higher-layer
protocol and performs such operations as scheduling, ARQ, bandwidth allocations,
modulation, and code rate selection.

6.2.3.1 MAC PDU Construction


The SDUs arriving at the MAC common-part sublayer from the higher layer are
assembled to create the MAC PDU, the basic payload unit handled by the MAC and
PHY layers. Based on the size of the payload, multiple SDUs can be carried on a
single MAC PDU, or a single SDU can be fragmented to be carried over multiple
MAC PDUs. When an SDU is fragmented, the position of each fragment within the
SDU is tagged by a sequence number. The sequence number enables the MAC layer
at the receiver to assemble the SDU from its fragments in the correct order.
In order to efficiently use the PHY resources, multiple MAC PDUs destined to the
same receiver can be concatenated and carried over a single transmission opportunity
or data region, as shown in Figure 2. In the UL and DL data regions of an MS is a
contiguous set of slots2 reserved for its transmission opportunities. For non-ARQ-
enabled connections, each fragment of the SDU is transmitted in sequence. For
ARQ-enabled connections, the SDU is first partitioned into fixed-length ARQ
blocks, and a block sequence number (BSN) is assigned to each ARQ block. The
length of ARQ blocks is specified by the BS for each CID, using the ARQBLOCK-
SIZE parameter. If the length of the SDU is not an integral multiple of the
ARQBLOCK-SIZE, the last ARQ block is padded. Once the SDU is partitioned into
ARQ blocks, the partitioning remains in effect until all the ARQ blocks have been
received and acknowledged by the receiver. After the ARQ block partitioning, the
SDU is assembled into MAC PDUs in a normal fashion, as shown in Fig 2 For
ARQ-enabled connections, the fragmentation and packing subheader contains the
BSN of the first ARQ block following the subheader. The ARQ feedback from the
receiver comes in the form of ACK (acknowledgment), indicating proper reception
of the ARQ blocks. This feedback is sent either as a stand-alone MAC PDU or
piggybacked on the payload of a regular MAC PDU. In WiMAX, the ARQ feedback
can be in the form of selective ACK or cumulative ACK. A selective ACK for a
given BSN indicates that the ARQ block has been received without errors. A
cumulative ACK for a given BSN, on the other hand, indicates that all blocks with
sequence numbers less than or equal to the BSN have been received without error.

Each MAC PDU consists of a header followed by a payload and a cyclic redundancy
check (CRC) The CRC is based on IEEE 802.3 and is calculated on the entire MAC
PDU as in Fig () each with a very different header structure, as shown in Figure 4

447
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3
; FIG (6.44) Segmentation and concatenation of SDUs in MAC PDU

FIG (6.45) Segmentation and concatenation of SDUs in MAC PDU

1. The generic MAC PDU is used for carrying data and MAC-layer signaling messages. A
generic MAC PDU starts with a generic header whose structure is shown in Figure 4 as
followed by a payload and a CRC. The various information elements in the header of a
generic MAC PDU are shown in Table 6.16.1.
2. . The bandwidth request PDU is used by the MS to indicate to the BS that more
bandwidth is required in the UL, due to pending data transmission. A bandwidth request
PDU consists only of a bandwidth-request header, with no payload or CRC. The various
information elements of a bandwidth request header are provided in Table 6.16.2.

FIG (6.46) Segmentation and concatenation of SDUs in MAC PDUs


448
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Table 6.16.1 6.16.2

Table 6.17

Table 6.16 shows the description and usage of subheaders and pay load types:
The MAC subheaders are used to extend the basic functionality supported by the generic
MAC header. All subheaders are defined per PDU, except for the PSH, which is defined
by SDU. The PSH is used to pack more than one SDU or SDU fragment into a single
PDU.
Since the PSH serves as a superset of the FSH, the PSH and FSH are mutually exclusive.
The FSH can be used only when the PDU consists of only one SDU or SDU fragment.
The type filed of the Generic MAC header as shown in table 3 indicates the type(s) of
subheaders presence or absence of subheaders, an ordering of the placement of
subheaders after the Generic MAC header is enforced in order to properly decode the
subheaders whenever multiple subheaders are present in a single PDU.

449
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The structure of the MAC subheader is not covered in this section as they are very
detailed information out of our review.

6.2.3.2 Scheduler
Once a MAC PDU is constructed, it is handed over to the scheduler, which schedules the
MAC PDU over the PHY resources available. The scheduler checks the service flow ID
and the CID of the MAC PDU, which allows it to gauge its QoS requirements. Based on
the QoS requirements of the MAC PDUs belonging to different CIDs and service flow
IDs, the scheduler determines the optimum PHY resource allocation and the burst profile
for all the MAC PDUs, in other words this means that the scheduler deals with two
problems. Burst profile for each user depending on the Channel unique status (adaptive
modulation and coding), and Resource allocations over the user‘s traffic considering
QOS required by each user. With this two problems solved the system can grantee the
optimum PHY resources allocation. All the decisions made in this part is the
responsibility of the base station as it acts like traffic jam policeman with the duty of
maintaining the system fast and organized as much as possible.

FIRST: Adaptive Modulation and Coding


WiMAX systems use adaptive modulation and coding in order to take advantage of
fluctuations in the channel. The basic idea is quite simple: Transmit as high a data rate as
possible when the channel is good, and transmit at a lower rate when the channel is poor,

in order to avoid excessive dropped packets. Lower data rates are achieved by using a small
constellation, such as QPSK, and low-rate error correcting codes, such as rate convolutional
or turbo codes. The higher data rates are achieved with large constellations, such as 64
QAM, and less robust error correcting codes; for example, rate convolutional,

FIG (6.47) Adaptive modulation and coding block diagram

turbo, or LDPC codes. In all, 52 configurations of modulation order and coding types and
rates are possible, although most implementations of WiMAX offer only a fraction of these.
These configurations are referred to as burst profiles and are enumerated.

450
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

A block diagram of an AMC system is given in Fig 5. For simplicity, we first consider a
single-user system attempting to transmit as quickly as possible through a channel with a
variable SINR for example, due to fading. The goal of the transmitter is to transmit data
from its queue as rapidly as possible, subject to the data being demodulated and decoded
reliably at the receiver. Feedback is critical for adaptive modulation and coding: The
transmitter needs to know the channel SINR γ which is defined as the received SINR γr
divided by the transmit powerPt , which itself is usually a function of γ. The received
SINR is thus γr = Pt γ.

FIG (6.48) Throughputs versus SINR

Fig 6 shows that by using six of the common WiMAX burst profiles; it is possible to
achieve a large range of spectral efficiencies. This allows the throughput to increase as
the SINR increases following the trend promised by Shannon‘s formula = log2 (1 +
SNR) . In this case, the lowest offered data rate is QPSK and rate 1/2 turbo codes; the
highest data-rate burst profile is with 64 QAM and rate 3/4 turbo codes. The achieved
throughput normalized by the bandwidth is defined as:

𝑇 = 1 − 𝐵𝐿𝐸𝑅 𝑟 log 2 𝑀 𝑏𝑝𝑠/𝐻𝑧


Where BLER is the block error rate, is the coding rate, and M is the number of points in
the constellation. For example, 64 QAM with rate 3/4 codes achieves a maximum
throughput of 4.5bps/Hz, when BLER  0; QPSK with rate 1/2 codes achieves a best
case throughput of 1bps/Hz.

451
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The results shown here are for the idealized case of perfect channel knowledge and do
not consider retransmissions for example, with ARQ. In practice, the feedback will incur
some delay and perhaps also be degraded owing to imperfect channel estimation or errors
in the feedback channel. WiMAX systems heavily protect the feedback channel with
error correction, so the main source of degradation is usually mobility, which causes
channel estimates to rapidly become obsolete. Empirically, with speeds greater than
about 30 km/hr on a 2,100MHz carrier, even the faster feedback configurations do not
allow timely and accurate channel state information to be available at the transmitter.
A key challenge in AMC is to efficiently control three quantities at once: transmit power,
transmit rate (constellation), and the coding rate. This corresponds to developing an
appropriate policy for the AMC controller shown in Figure 5. Although reasonable
guidelines can be developed from a theoretical study of adaptive modulation, in practice,
the system engineer needs to develop and fine-tune the algorithm, based on extensive
simulations, since performance depends on many factors. Some of these considerations
are
 BLER and received SINR: In adaptive-modulation theory, the transmitter needs
to know only the statistics and instantaneous channel SINR. From the channel
SINR, the transmitter can determine the optimum coding/modulation strategy and
transmit power. In practice, however, the BLER should be carefully monitored as
the final word on whether the data rate should be increased (if the BLER is low)
or decreased to a more robust setting.
 Automatic repeat request (ARQ): ARQ allows rapid retransmissions, and
hybrid-ARQ generally increases the ideal BLER operating point by about a factor
of 10: for example, from 1 percent to 10 percent. For delay-tolerant applications,
it may be possible to accept a BLER approaching even 70 percent, if Chase
combining is used in conjunction with HARQ to make use of unsuccessful
packets.
 Power control versus waterfilling: In theory, the best power-control policy from
a capacity standpoint is the so-called waterfilling strategy, in which more power is
allocated to strong channels and less power allocated to weak channels. In
practice, the opposite may be true in some cases. For example, in Figure 6.8,
almost nothing is gained with a 13dB SINR versus an 11dB SINR: In both cases,
the throughput is 3bps/Hz. Therefore, as the SINR improved from 11dB to 13dB,
the transmitter would be well advised to lower the transmit power, in order to
save power and generate less interference to neighboring cells.
 Adaptive modulation in OFDMA: In an OFDMA system, each user is allocated
a block of subcarriers, each having a different set of SINRs. Therefore, care needs
to be paid to which constellation/coding set is chosen, based on the varying
SINRs across the subcarriers.

Second: Resource-Allocation Techniques


There are a number of ways to take advantage of multiuser diversity and adaptive
modulation in OFDMA systems which is the Multiple Access Technique used in
WIMAX. Algorithms that take advantage of these gains are not specified by the WiMAX

452
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

standard, and all WiMAX developers are free to develop their own innovative
procedures. The idea is to develop algorithms to determine:

 Which users to schedule.


 How to allocate subcarriers to them.
 How to determine the appropriate power levels for each user on each
subcarrier.

It is considered in the following sector of the possible approaches to resource allocation.


In our focus the class of techniques that attempt to balance the desire for high throughput
with fairness among the users in the system. We generally assume that the outgoing
queues for each user are full, but in practice, the algorithms discussed here can be
modified to adjust for queue length or delay constraints, which in many applications may
be as, if not more, important than raw throughput.
The resource allocation is usually formulated as a constrained optimization problem, to
either:
 Minimize the total transmit power with a constraint on the user data rate.
 Maximize the total data rate with a constraint on total transmit power.
The first objective is appropriate for fixed-rate applications, such as voice, whereas the
second is more appropriate for bursty applications, such as data and other IP applications.

Some of these algorisms are:

1. Maximum Sum Rate Algorithm


As the name indicates, the objective of the maximum sum rate (MSR) algorithm
is to maximize the sum rate of all users, given a total transmit power constraint.
This algorithm is optimal if the goal is to get as much data as possible through the
system. The drawback of the MSR algorithm is that it is likely that a few users
close to the base station, and hence having excellent channels, will be allocated all
the system resources. We now briefly characterize the SINR, data rate, and power
and subcarrier allocation that the MSR algorithm achieves.

2. Maximum Fairness Algorithm


It is the method used in the Matlab simulation in the end of this part.
Although the total throughput is maximized by the MSR algorithm, in a cellular
system such as WiMAX, in which the path loss attenuation varies by several
orders of magnitude between users, some users will be extremely underserved by
an MSR-based scheduling procedure. At the alternative extreme, the maximum
fairness algorithm aims to allocate the subcarriers and power such that the
minimum user‘s data rate is maximized. This essentially corresponds to
equalizing the data rates of all users; hence the name ―maximum fairness.‖
The maximum fairness algorithm can be referred to as a max-min problem, since
the goal is to maximize the minimum data rate. The optimum subcarrier and
power allocation is considerably more difficult to determine than in the MSR
case, because the objective function is not concave. It is particularly difficult to
simultaneously find the optimum subcarrier and power allocation. Therefore, low-

453
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

complexity suboptimal algorithms are necessary, in which the subcarrier and


power allocation are done separately.

3. Proportional Rate Constraints Algorithm


A weakness of the maximum fairness algorithm is that the rate distribution among
users is not flexible. Further, the total throughput is limited largely by the user
with the worst SINR, as most of the resources are allocated to that user, which is
clearly suboptimal. In a wireless broadband network, it is likely that different
users require application-specific data rates that vary substantially. A
generalization of the maximum fairness algorithm is the proportional rate
constraints (PRC) algorithm, whose objective is to maximize the sum throughput,
with the additional constraint that each user‘s data rate is proportional to a set of
predetermined system parameters.

4. Proportional Fairness Scheduling


The three algorithms discussed thus far attempt to instantaneously achieve an
objective such as the total sum throughput (MSR algorithm), maximum fairness
(equal data rates among all users), or preset proportional rates for each user.
Alternatively, one could attempt to achieve such objectives over time, which
provides significant additional flexibility to the scheduling algorithms. In this
case, in addition to throughput and fairness, a third element enters the trade-off:
latency. In an extreme case of latency tolerance, the scheduler could simply wait
for the user to get close to the base station before transmitting. In fact, the MSR
algorithm achieves both fairness and maximum throughput if the users are
assumed to have the same average channels in the long term on the order of
minutes, hours, or more—and there is no constraint with regard to latency. Since
latencies, even on the order of seconds, are generally unacceptable, scheduling
algorithms that balance latency and throughput and achieve some degree of
fairness are needed. The most popular framework for this type of scheduling is
proportional fairness (PF) scheduling.
The PF scheduler is designed to take advantage of multiuser diversity while
maintaining comparable long-term throughput for all users.

6.2.3.3 Bandwidth Request and Allocation

In the downlink, all decisions related to the allocation of bandwidth to various


MSs are made by the BS on a per CID basis, which does not require the
involvement of the MS. As MAC PDUs arrive for each CID, the BS schedules
them for the PHY resources, based on their QoS requirements. Once dedicated
PHY resources have been allocated for the transmission of the MAC PDU, the BS
indicates this allocation to the MS, using the DL-MAP message.
The DL-MAP messages is located in the DL-MAP area of the DL Frame which
contains messages to each and every user who is assigned a portion of burst of the
DL bursts , that for him to only receive and decode his data.

454
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

In the uplink, the MS requests resources by either using:


 Stand-alone bandwidth-request MAC PDU.
 Piggybacking bandwidth requests on a generic MAC PDU.

Bandwidth requests in the UL can be

 Incremental requests.
When it receives an incremental bandwidth request for a particular CID,
the BS adds the quantity of bandwidth requested to its current perception
of the bandwidth need.

 Aggregate requests.
When it receives an aggregate bandwidth request for a particular CID, the
BS replaces its perception of the bandwidth needs of the connection with
the amount of bandwidth requested.

The Type field in the bandwidth- request header indicates whether the request is
incremental or aggregate. Bandwidth requested by
piggybacking on a MAC PDU can be only incremental.

FIG 6.49 packet delivery

Fig (6.49) shows a packet delivery system which is adopted in the Matlab
simulation part. The user sends the BW request message requesting the amount
needed by the type of service he uses. This service types will be explained in the
following part.

455
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Polling in WIMAX refers to the process whereby dedicated or shared UL resources are
provided to the MS to make bandwidth requests. These allocations can be for an
individual MS or a group of MSs. When an MS is polled individually, the polling is
called unicast, and the dedicated resources in the UL are allocated for the MS to send a
bandwidth-request PDU. The BS indicates to the MS the UL allocations for unicast
polling opportunities by the UL MAP4 message of the DL subframe. Since the resources
are allocated on a per MS basis, the UL MAP uses the primary CID of the MS to indicate
the allocation. The primary CID is allocated to the MS during the network entry and
initialization stage and is used to transport all MAC-level signaling messages. An MS can
also dynamically request additional CIDs, known as secondary CIDs, which it can use
only for transporting data.

If sufficient bandwidth is not available to poll each MS individually, multicast or


broadcast polling is used to poll a group of users or all the users at a time. This mean to
use the polling space (window) as a shared channel between all users in the polling group
and that mean collision may happen in this unique case (keep in mind that WIMAX had
managed to prevent the collisions using the UL-MAP and DL-MAP spaces in the
WIMAX frame).
All MSs belonging to the polled group can request bandwidth during the
multicast/broadcast polling opportunity. In order to reduce the likelihood of collision,
only MSs with bandwidth requirements respond. WiMAX uses a truncated binary
exponential backoff algorithm for contention-resolution during a multicast/broadcast poll.

Fig 6.50 demonstrates the back off algorism used when using polling group.

FIG 6.50 Backoff algorism

456
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.2.3.4 Quality of Service

One of the key functions of the WiMAX MAC layer is to ensure that QoS requirements
for MAC PDUs belonging to different service flows are met as reliably as possible given
the loading conditions of the system. This implies that various negotiated performance
indicators that are tied to the overall QoS, such as latency, jitter, data rate, packet error
rate, and system availability, must be met for each connection. Since the QoS
requirements of different data services can vary greatly, WiMAX has various handling
and transporting mechanisms to meet that variety.

UGS Fig 6.51


Scheduling
Services

ertPS rtPS
Scheduling
Services

BE nrtPS

1. The unsolicited grant service (UGS) is designed to support real-time service flows
that generate fixed size data packets on a periodic basis, such as T1/E1 and VoIP. UGS
offers fixed-size grants on a real time periodic basis and do not need the SS to explicitly
request bandwidth, thus eliminating the overhead and latency associated with bandwidth
request.

2. The real-time polling services (rtPS) is designed to support real-time services that
generate variable-size data packets on a periodic basis, such as MPEG (Motion Pictures
Experts Group) video. In this service class, the BS provides unicast polling opportunities
for the MS to request bandwidth.

3. The non-real-time polling services (nrtPS) is very similar to rtPS except that the MS
can also use contention-based polling in the uplink to request bandwidth. In nrtPS, it is
allowable to have unicast polling opportunities, but the average duration between two
such opportunities is in the order of few seconds, which is large compared to rtPS. All the

457
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

MSs belonging to the group can also request resources during the contention-based
polling opportunity, which can often result in collisions and additional attempts.

4. The best-effort service (BE) provides very little QoS support and is applicable only
for services that do not have strict QoS requirements. Data is sent whenever resources are
available and not required by any other scheduling-service classes. The MS uses only the
contention-based polling opportunity to request bandwidth.

5. The extended real-time polling service (ertPS), a new scheduling service introduced
with the IEEE 802.16e standard, builds on the efficiencies of UGS and rtPS. In this case,
periodic UL allocations provided for a particular MS can be used either for data
transmission or for requesting additional bandwidth. This feature allows ertPS to
accommodate data services whose bandwidth requirements change with time. Note that
in the case of UGS, unlike ertPS, the MS is allowed to request additional bandwidth
during the UL allocation for only non-UGS-related connections.

1.1 Service Flow and QoS Operations


In WiMAX, a service flow is a MAC transport service provided for transmission of
uplink and downlink traffic and is a key concept of the QoS architecture. Each service
flow is associated with a unique set of QoS parameters, such as latency, jitter throughput,
and packet error rate, that the system strives to offer. A service flow has the following
components:

i. Service flow ID, a 32-bit identifier for the service flow.


ii. Connection ID, a 16-bit identifier of the logical connection to be used for
carrying the service flow.
iii. Provisioned QoS parameter set, the recommended QoS parameters to be used
for the service flow, usually provided by a higher-layer entity.
iv. Admitted QoS parameter set, the QoS parameters actually allocated for the
service flow and for which the BS and the MS reserve their PHY and MAC
resources.
v. Active QoS parameter set, the QoS parameters being provided for the service
flow at any given time.
vi. Authorization module, logical BS function that approves or denies every change
to QoS parameters and classifiers associated with a service flow.

The various service flows admitted in a WiMAX network are usually grouped into
service flow classes, each identified by a unique set of QoS requirements. This concept of
service flow classes allows higher-layer entities at the MS and the BS to request QoS
parameters in globally consistent ways. WiMAX does not explicitly specify what the
service flow classes are, leaving it to the service provider or the equipment manufacturer
to define.

458
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.2.3.6 Network Entry and Initialization


When an MS acquires the network after being powered up a WiMAX network undergoes
various steps.

 Scan and Synchronize Downlink Channel


 Obtain Uplink Parameters
 Perform Ranging
 Negotiate Basic Capabilities
 Register and Establish IP Connectivity
 Establish Service Flow

This step will be discussed without deep explanation just an introduction to how are they
done. Fig 10 shows the sequence in which Network Entry and Initialization is done

Fig 6.52
Process of
network entry

6.2.3.6.1Scan and Synchronize Downlink Channel

When an MS is powered up, it first scans the allowed downlink frequencies to determine
whether it is presently within the coverage of a suitable WiMAX network. Each MS
stores a nonvolatile list of all operational parameters, such as the DL frequency used
during the previous operational instance. The MS first attempts to synchronize with the
stored DL frequency. If this fails, the MS it scans other frequencies in an attempt to
synchronize with the DL of the most suitable BS. Each MS also maintains a list of
preferred DL frequencies, which can be modified to suit a service provider‘s network.

459
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

During the DL synchronization, the MS listens for the DL frame preambles. When one is
detected, the MS can synchronize6 itself with respect to the DL transmission of the BS.
Once it obtains DL synchronization, the MS listens to the various control messages, such
as FCH, DCD, UCD, DL MAP, and UL-MAP, that follow the preamble to obtain the
various PHY- and MAC related parameters corresponding to the DL and UL
transmissions.

6.2.3.6.2 Obtain Uplink Parameters

Based on the UL parameters decoded from the control messages, the MS decides
whether the channel is suitable for its purpose. If the channel is not suitable, the MS
goes back to scanning new channels until it finds one that is. If the channel is deemed
usable, the MS listens to the UL MAP message to collect information about the ranging
opportunities.

6.2.3.6.3 Perform Ranging

At this stage, the MS performs initial ranging with the BS to obtain the relative timing and
power-level adjustment required to maintain the UL connection with the BS. Once the UL
connection has been established, the MS should do periodic ranging to track timing and
power-level fluctuations. These fluctuations can arise because of mobility, fast fading,
shadow fading, or any combinations thereof. Since the MS does not have a connection
established at this point, the initial ranging opportunity is contention based.
Fig 11 shows the exchanged messages during the Ranging process

Fig 6.53
Ranging and
parameter-
adjustment
procedure

460
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.2.3.6.4 Negotiate Basic Capabilities

After initial ranging, the MS sends an SBC-REQ message informing the BS about its
basic capability set, which includes various PHY and bandwidth-allocation-related
parameters, like FFT size and frame dimensions and other related parameters. On the
response of this message, the BS responds with an SBC-RSP, providing the PHY and
bandwidth-allocation parameters to be used for UL and DL transmissions. The
operational PHY and bandwidth-allocation parameters can be the same as the basic
capability set of the SS or a subset of it.

6.2.3.6.5 Register and Establish IP Connectivity

After negotiating the basic capabilities and exchanging the encryption key, the MS
registers itself with the network. In WiMAX, registration is the process by which the MS
is allowed to enter the network and can receive secondary CIDs.
The registration process starts when the MS sends a REG-REQ message to the BS. The
message contains a hashed message authentication code (HMAC), which the BS uses to
validate the authenticity of this message. Once it determines that the request for
registration is valid, the BS sends to the MS a REG-RSP message in which it provides the
secondary management CID. In the REG-REQ message, the MS also indicates to the BS
its secondary capabilities not covered under the basic capabilities, such as IP version
supported, convergence sublayer supported, and ARQ support. The MS may indicate the
supported IP versions to the BS in the REG-REQ message, in which case the BS
indicates the IP version to be used in the REG-RSP message. The BS allows the use of
exactly one of the IP versions supported by the MS. If the information about the
supported IP version is omitted in the REG-REQ message, the BS assumes that the MS
can support only IPv4. After receiving the REG-RSP message from the BS, the SS can
use DHCP to obtain an IP address.

6.2.3.6.6 Establish Service Flow

The creation of service flows can be initiated by either the MS or the BS, based on
whether initial traffic arrives in the uplink or the downlink. When it an MS chooses to
initiate the creation of a service flow, an MS sends a DSA-REQ message containing the
required QoS set of the service flow FIG 12.
On receipt of the DSA-REQ message, the BS first checks the integrity of the message
and sends a DSX-RVD message indicating whether the request for a new service flow
was received with its integrity preserved. Then the BS checks whether the requested
QoS set can be supported, creates a new SFID and sends an appropriate DSA-RSP
indicating the admitted QoS set. The MS completes the process by sending a DSA-ACK
message.

461
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig(6.54)
MS-initiated service
flow creation

6.2.3.7 Power-Saving Operations

The mobile WiMAX standard (IEEE 802.16e) introduces several new concepts related to
mobility management and power management, two of the most fundamental
requirements of a mobile wireless network. Although mobility and power management
are often referred to together, they are conceptually different. Power management enables
the MS to conserve its battery resources, a critical feature required for handheld devices.
Mobility management, on the other hand, enables the MS to retain its connectivity to the
network while moving from the coverage area of one BS to the next. In this section, the
power-management features of a WiMAX network are described.
There are two main methods for saving power:

 Sleep mode
 Idle mode

3.6.3.7.1 sleep mode

Sleep mode is an optional mode of operation in WiMAX. An MS with active connections


one or more CIDs negotiates with the BS to temporarily disrupt its connection over the air
interface for a predetermined amount of time, called the sleep window. Each sleep window
is followed by a listen window, during which the MS restores its connection. As shown in
Fig 13, the MS goes through alternating sleep and listen windows for each connection. The
length of each sleep and listen window is negotiated between the MS and the BS and is
dependent on the power saving class of the sleep-mode operation. The period of time when
all the MS connections are in their sleep windows is referred to as the unavailability
interval, during which the MS cannot receive any DL transmission or send any UL

462
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

transmission. Similarly, during the availability interval, when one or more MS connections
are not in sleep mode, the MS receives all DL transmissions and sends UL transmissions in
a normal fashion on the CIDs that are in their listen windows. During the unavailability
interval, the BS does not schedule any DL transmissions to the MS, so that it can power
down one or more hardware components required for communication. The BS may buffer
or drop all arriving SDUs associated with a unicast transmission to the MS. For multicast
transmissions, the BS delays all SDUs until the availability interval common to all MSs in
the
multi
cast
grou
p.

Fig(6.55)
Sleep-mode
operation in
IEEE 802.16e-
2005

6.2.3.7.2 Idle Mode

In mobile WiMAX, idle mode is a mechanism that allows the MS to receive broadcast
DL transmission from the BS without registering itself with the network.
Support for idle mode is optional in WiMAX and helps mobile MS by:

 Eliminating the need for handoff when it is not involved in any active data
session.
 Helps the BS to conserve its PHY and MAC resources.
 Since it does not need to perform any of the handoff-related procedures or
signaling for MSs that are in idle mode.

For idle-mode operation, groups of BSs are assigned to a paging group, as shown in Fig
14. An MS in idle mode periodically monitors the DL transmission of the network to
determine the paging group of its current location. On detecting that it has moved to a
new paging group, an MS performs a paging group update, during which it informs the
network of the current paging group in which it is present. When, due to pending
downlink traffic, the network needs to establish a connection with an MS in idle mode,
the network needs to page the MS only in all the BSs belonging to the current paging
463
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

group of the MS. Without the concept of the paging area, the network would need to page
the MSs in all the BSs within the entire network. Each paging area should be large
enough so that the MS is not required to perform a paging area update too often and
should be small enough so that the paging overhead associated with sending the page on
multiple BSs is low enough.

During idle-mode operation, the MS can be in either MS paging-unavailable interval or in


MS paging-listen interval. During the MS paging-unavailable interval, the MS is not
available for paging and can power down, conduct ranging with a neighboring BS, or
scan the neighboring BS for the received signal strength and/or signal-to-noise ratio.
During the MS paging-listen interval, the MS listens to the DCD and DL MAP message
of the serving BS to determine when the broadcast paging message is scheduled. If the
MS is paged in the broadcast paging message, the MS responds to the page and
terminates its idle-mode operation. If the MS is no paged in the broadcast paging
message, the MS enters the next MS paging-unavailable interval.

6.2.3.8 Mobility Management

In WiMAX, as in any other cellular network, the handoff procedure requires support
from layers 1, 2, and 3 of the network. Although the ultimate decision for the handoff is
determined by layer 3, the MAC and PHY layers play a crucial role by providing
information and triggers required by layer 3 to execute the handoff. In this section, we
discuss the mobility-management-related features of the WiMAX MAC layer.

Fig (6.56)
Paging area
example

In order to be aware of its dynamic radio frequency environment, the BS allocates time for each
MS to monitor and measure the radio condition of the neighboring BSs. This process is called

464
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

scanning, and the time allocated to each MS is called the scanning interval. Each scanning
interval is followed by an interval of normal operation, referred to as the interleaving interval.

To start and complete the scanning process, the MS and the BS exchange some packet containing
the necessary information for this operation to be completed, such as:

 MOB_SCN-REQ message that specifies to the MS the length of each scanning interval
 MOB_SCN-RES ,the response from the MS
 MOB_NBR-ADV broadcast channel message identity neighboring BSs.

During a scanning interval, the MS measures the received signal strength indicator (RSSI) and
the signal-to-noise-plus noise ratio (SINR) of the neighboring BS and can optionally associate
with some or all the BSs in the neighbor list, which requires the MS to perform some level of
initial ranging with the neighboring BS.
Managing the mobility may be accomplished through different processes

 Conventional handoff process


 Macro diversity handover (MDHO)
 Fast base station switching (FBSS).

6.2.3.8.1 Handoff Process and Cell Reselection

In WiMAX, the handoff process is defined as the set of procedures and decisions that enable an
MS to migrate from the air interface of one BS to the air interface of another and consists of the
following stages.

 Cell reselection:
Scanning and association with one or more neighboring BSs to determine their
suitability as a handoff target.

 Handoff decision and initiation:


The handoff process begins with the decision for the MS to migrate its connections
from the serving BS to a new target BS. This decision can be taken by the MS, the
BS, or some other external entity in the WiMAX network and is dependent on the
implementation.
Exchange the following packets:
 MOB_MSHO-REQ
 MOB_BSHO-RSP
 MOB_MSHO-IND

 Synchronization to the target BS:


The MS begins by processing the DL frame preamble of the target BS. The DL frame
preamble provides the MS with time and frequency synchronization with the target

465
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

BS. The MS then decodes the DL-MAP, UL-MAP, DCD, and UCD messages to get
information about the ranging channel

 Ranging with target BS:


The MS uses the ranging channel to perform the initial ranging process to
synchronize its UL transmission with the BS and get information about initial timing
advance and power level. This initial ranging process is similar to the one used during
network entry. The MS can skip or shorten this stage if it performed association with
the target BS during the cell reselection/scanning stage.

 Termination of context with previous BS:


After establishing connection with the target BS, the MS may decide to terminate its
connection with the serving BS, sending a MOB_HO-IND message to the BS.

6.2.3.8.2 Macro Diversity Handover and Fast BS Switching

In the case of MDHO, the MS is allowed to simultaneously communicate using the air interface
of more than one BS. All the BSs involved in the MDHO with a given MS are referred to as the
diversity set.
The normal mode of operation, no MDHO, can be viewed as a special case of MDHO in which
the diversity set consists of a single BS.
When the diversity set of an MS consists of multiple BSs, one of them is considered the anchor
BS, which often acts as the controlling entity for DL and UL allocations. In WiMAX, there are
two modes by which an MS involved in MDHO can monitor its DL and UL allocation. In the
first mode, the MS monitors only the DL MAP and UL MAP of the anchor BS, which provides
the DL and UL allocations of the MS for the anchor BS and the all the nonanchor BSs. In the
second mode, the MS monitors the DL MAP and the UL MAP of all the BSs in the diversity set
separately for the DL and UL allocations, respectively. As shown in Fig 15, the DL signals from
all the BSs in the diversity set are combined before being decoded by the FEC stage. The
standard does not specify how the signals from all the BSs in the diversity set should be
combined. In principle, this task can be performed in two ways. The more optimum way to
combine the signals from different BSs would require the MS to demodulate these signals
independently and combine them at the baseband level before the FEC decoder stage.

FBBS is similar to MDHO that each MS maintains a diversity set that consists of all the BSs
with which the MS has an active connection; that is the MS has established one or more CIDs
and conducts periodic ranging with theses BSs. However, unlike MDHO, the MS communicates
in the uplink and downlink with only one BS at a time, also referred to as the anchor BS.
When it needs to add a new BS to its diversity set or remove an existing one owing to variations
in the channel, the MS sends a MS_MSHO-REQ message indicating a request to update its
diversity set. Each FBSS-capable BS broadcasts its H_Add and H_Delete thresholds, which
indicate the mean SINR, as observed by the MS, required to add or delete the BS from the
diversity set. The anchor BS, when it receives a request from the MS to update its diversity set,
responds with a MS_BSHO-RSP message indicating the updated diversity set
466
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.57)
DL MOHO: combining & UL MDHO: Selection

467
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.2.4 Matlab Simulation


The MATLAB simulation is built upon a simple WIMAX system of two users and one base
station. The objective is to test the system throughput improvement using the adaptive
modulation and coding feature of the WIMAX.
SCENARIO OF THE SIMULATION
The simulator assumes simple scenario, User 1 is trying to send a file of any type to User 2, to do
that User 1 have to go through many phases, all this phases are explained in the flow chart of the
programs:
User 1 program:

6.2.4.1Phase 1:
Ask the BS for band a bandwidth big enough to send a ESTABLISH CONNECTION
MESSAGE to User 2 by sending BW_REQUEST_MSG and wait for the UL-MAP part
of the 5 ms WIMAX frame to read it and see if there is a BW assigned to him or not.
There are two cases:
 BW is assigned (know that if there is a BW_REPLAY_MSG is sent to his
CID), then he checks is this BW is sufficient to send the ESTABLISH
CONNECTION MESSAGE or not, if so he send the message, if not he sent
BW_REQUST_MSG again.
 BW is not assigned (due to collision or high traffic), he repeat his request.

Each packet user 1 receives he check the CRC for this packet which acts the last defense
for errors and decides whether this packet is correct or corrupted.
Each failure time either due to CRC failure, not assigned BW or not sufficient BW
increases a failure attempt vector which terminate connection if reached 9

Fig (6.58)
Space Time
packet delivery
illustration of
phase 1

468
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig 16 shows a space time illustration of packet exchanged between User1 and the BS
through phase 1 over CH1 which is assumed to be AWGN channel.
Fig 17 shows a Flow Chart of phase 1 of the m-file program which does all the functions
of User 1

Fig
FIG(6.59)
17
Flow
Flow Chart
Chart of
of User
User 1
1 Phase
Phase 1
1
6.2.4.2 Phase 2:
User 1 tries to establish connection

469
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

with user 2 by sending him an ESTABLISH CONNECTION MESSAGE and


wait for CONNECTION ACCEPTEDMESSAGE from User 2
Waiting for User 2 response comes by checking the DL-MAP for a BW assigned
in the DL-frame and look for a packet holding User 2 CID as a source address
then check if it is the expected packet or not
Any failure in whether by delaying the response or failure in CRC check or if
User 2 busy increases failure attempts and terminate program when they are more
than 9.

Fig (6.60)
Flow Chart of User 1 Phase 2

470
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.61)
Space Time packet delivery
illustration of phase 2

Phase 3:
It is the main
part of the
program which does many tasks
 Browse the file to be sent.
 Schedule the file in the Queue and send them over the available recourses
assigned from BS.
 Send frequent BW request message to compete other users on the
available resources.
 Build the header and the CRC for each from to be transmitted.
 Update the queue by waiting for two ACK messages.
 One from the BS means packet crossed CH1 successfully and error
free.
 Other form User2 means packet crossed CH2 successfully and
error free.
 If queue is empty send terminate connection packet to User 2 and declare
successful transmission of packet.
Any failure whether it is due to no ACK received, no BW available or CRC
failure increase failure attempts and terminate program if more than 9

471
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.62)
Flow Chart of User 1 Phase 3

472
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (6.63)
Space Time packet
delivery illustration for
one packet of phase 3

6.2.4.3 BASE STATION program:


The base station program do most of the simulation parts as it is the part where the
adaptive modulation and coding algorism is implemented and the resource allocation
technique efficiency is simulated (maximum fairness technique is adopted)
The adaptive modulation choose between 4 types of transmission
1. QPSK code rate 1/2 when estimated SNR is between 7 :14
2. 16QAM code rate 1/2 when estimated SNR is between 15:17
3. 64QAM code rate 1/2 when estimated SNR is between 18:20
4. 64QAM code rate 2/3 when estimated SNR is bigger than 20

Each transmitted frame is coded modulated and path through OFDM then transmitted
through AWGN channel and done all the reverse operation and the SNR is estimated
through this whole operation giving an idea of the channel status which upon it the
appropriate code rate and modulation scheme is selected to provide the optimum use of
the available resources.
 There we have the option to use perfect SNR estimation or imperfect SNR
estimation and see the different effect on the throughput which is illustrated in the
results part.
 Anther comparison of the system performance without the adaptivity feature with
two state
 Fixed on QPSK code rate 1/2.
 Fixed on 64 QAM code rate 2/3.

473
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Anther feature added to the system by adding Multi-user effect and how the User 1
transmission would be effected by the added system traffic, and how the BASE
STATION would be able to handle the traffic without maintaining the optimum system
data rate according to the maximum fairness technique.

Fig(6.64) Flow Chart of User 1 Phase 3

474
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Max fairness technique:

Band width available


Calculate user cut =
N−requests
Check for all users how want below the user cut and satisfy they need
Recalculate user cut and re check for users … etc
At end there will be a user (or more if all want the same amount) need larger than the user cut
In this case we give him (them) the available Band widths

User 2 program:
Acts as reception detection program
which
1. Search for start transmission
packets in every DL frame.
2. Replay with accept
transmission packet.
3. Handles the process of
reception.
4. Arranging data in the right
order.
5. Manage error detection using
HCS & CRC and ARQ/ACK
control message

Fig (6.65)
Flow Chart of User 1 Phase 3

475
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

6.2.5 RESULTS

First the GUI program interface:

The program run with easy GUI interface which give the option to:
 Choose the file to be transmitted by clicking on Browse button.
 Choose the SNR estimation method .
 Choose a name for the transmitted file to be saved with after transmission is
completed.
 Plot the image to be sure it is the right one to transmit.
 When pressing Transmit the program start in the three phases transmission.
 A wait bar indicating the progress done in transmission.
 Calculate the throughput of transmission and plot it
 Plot the number of attempts made until a packet is transmitted successfully.

476
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Second the throughput and # attempts in different cases


We notice only the first packet is retransmitted to detect initial SNR estimation

Case 1: perfect SNR estimation

In this case we notice:

 No retransmission of any
packet as no error due to
perfect SNR estimation and
AMC
 Throughput only take 4
values for 4 AMC states
 File is transmitted in
successful 17 frame
 Total throughput =2.4Mpbs

Case 2: imperfect SNR estimation

In this case we notice:

 Many times we have repeated


frames due to error in delivering
message out of bad actual
channel for the selected AMC.
 Throughput may take many
more values than 4 because
retransmission reduces the
throughput.
 File is transmitted in 19
successful frames with some
additional unsuccessful frames.
 Total throughput =1.521Mpbs

477
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Case 3: Without AMC and fixed QPSK code


rate 1/2

In this case we notice:

 No repeated transmissions as we
send in the save side whatever the
channel status is, we can transmit
without errors.
 Throughput is fixed to a lowest
value of 1.4Mbps except for the
last frame which have lower size
because it is what is left in the
queue.
 File is transmitted in 35 successful frames without retransmission.
 Total throughput =1.233Mbps

Case 4: Without AMC and fixed 64QAM code rate 2/3

In this case we notice:

 The program runs many


times and every time it
fails before transmission
is completed.
 i
t

i
s
not wise to use high
AMC when not knowing
the channel properties

478
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Case 5: perfect SNR estimation


with multiuser traffic effect

In this case we notice:

 No retransmission of any packet as no error due to perfect SNR estimation


and AMC
 Throughput varies heavily as User1 competes with many random needs users
with random traffic crowds
 File is transmitted in 47 successful frames without retransmission.
 Total throughput =.93Mbps

Case 6: imperfect SNR estimation with


multiuser traffic effect

In this case we notice:

 Many time we have repeated


frames due to error in
delivering message out of bad
actual channel for the
selected AMC and
insufficient BW in some
cases.
 Throughput varies heavily as User1 competes with many random needs users
with random traffic crowds but lower that the perfect one.
 File is transmitted in 60successful frames with many additional unsuccessful
frames.
 Total throughput =.524Mbps

479
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

In some cases when the level of error is bigger than the capacity of the CRC to detect the
transmission is completed but the image is delivered with errors in its structure like this:

480
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

481
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

482
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

483
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

CHAPTER
SEVEN

Implementation of WiMAX system using DSP kit


7.1 INTRODUCTION
In this chapter the implementation of WiMAX system is introduced. The chapter begins
with an overview about the DSP kit that have been used and the kit peripherals , Then the
chapter continues to give a general overview about the DSP software ―code compose v.3.1‖,
Then the steps of creating and running project and also using the kit is introduced. This is
followed by an overview on using SIMULINK with the C6000 family. Then a detailed
explanation for each block implementation, the run results and also problems that are faced are
presented. The last part is to present the whole implemented WiMAX system and its
applications, general problems, Future thoughts and how to achieve.
7.2 INTRODUCTION TO DSP KIT TMS320C6416-T:
Digital signal processors such as the TMS320C6x (C6x) family of processors are like fast
special-purpose microprocessors with a specialized type of architecture and an instruction set
appropriate for signal processing. The C6x notation is used to designate a member of Texas
Instruments‘ (TI) TMS320C6000 family of digital signal processors. The architecture of the C6x
digital signal processor is very well suited for numerically intensive calculations. Based on a
very-long-instruction-word (VLIW) architecture, the C6x is considered to be TI‘s most powerful
processor.
DSP processors are concerned primarily with real-time signal processing. Real time
processing requires the processing to keep pace with some external event, whereas non-real-time
processing has no such timing constraint. The external event to keep pace with is usually the
analog input. Whereas analog-based systems with discrete electronic components such as
resistors can be more sensitive to temperature changes, DSP-based systems are less affected by
environmental conditions. DSP processors enjoy the advantages of microprocessors. They are
easy to use, flexible, and economical.
Digital signal processors are used for a wide range of applications, from communications
and controls to speech and image processing. The general-purpose digital signal processor is
dominated by applications in communications (cellular). Applications embedded digital signal
processors are dominated by consumer products. They are found in cellular phones, fax/modems,
disk drives, radio, printers, hearing aids, MP3 players, high-definition television (HDTV), digital
cameras, and so on. These processors have become the products of choice for a number of
consumer applications, since they have become very cost-effective. They can handle different

484
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

tasks, since they can be reprogrammed readily for a different application. DSP techniques have
been very successful because of the development of low-cost software and hardware support. For
example, modems and speech recognition can be less expensive using DSP techniques.

7.2.1 Why we choose C6000:


In this project we choose these generation of DSP Starter Kit (DSK) duo to its proprieties that
can listed as:

• Single-cycle multiply accumulate operations


• Real-time performance, simulation and emulation
• Flexibility
• Reliability
• Increased system performance
• Reduced system cost.

In figure(7.1) The evolution of TI DSP starter kits and its relative production , processing clock
frequency and Future of each of them .

_____________________________________________________________________________
Figure (7.1) Evolution of TI DSP platform

These points (i.e. The reasons to choose TMS320c6416-T kit) can be focused as:

1. The TMS320C64x™ DSPs (including the SMJ320C6416 devices) are the


highest-performance fixed-point DSP generation in the TMS320C6000™ DSP
platform.
2. With performance of up to 5760 million instructions per second (MIPS) at a clock
rate of 720 MHz, the C64x devices offer cost-effective solutions to high-
performance DSP programming challenges.

485
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

3. The C64x DSPs possess the operational flexibility of high-speed controllers and
the numerical capability of array processors.
4. The C64x uses a two-level cache-based architecture and has a powerful and
diverse set of peripherals.
5. The peripheral set includes three multichannel buffered serial ports (McBSPs); an
8-bit Universal Test and Operations PHY Interface for Asynchronous Transfer
Mode (ATM) Slave [UTOPIA Slave] port (C6416 only);
 three 32-bit general-purpose timers
 user-configurable 16-bit or 32-bit host-port interface (HPI16/HPI32)
 a peripheral component interconnect (PCI) [C6415/C6416 only]
 a general-purpose input/output port (GPIO) with 16 GPIO pins
 two glue less external memory interfaces (64-bit EMIFA and 16-bit
EMIFB), both of which are capable of interfacing to synchronous and
asynchronous memories and peripherals.
6. The C64x has a complete set of development tools which includes: an advanced C
compiler with C64x-specific enhancements, an assembly optimizer to simplify
programming and scheduling, and a Windows™ debugger interface for visibility
into source code execution.

7.2.2 Typical Applications for the C6000 Family

As shown in table (7.1) some typical applications for the C6000 family of DSPs. the
C6000 family of DSPs offer adaptable approaches to traditional signal-processing problems.
They also support complex applications that often require multiple operations to be performed
simultaneously.

Applications
Automotive *Cellular telephones * Digital radios
Consumer *Digital radios/TVs * Educational toys

Control *Motor control * Robotics control

General-Purpose *Adaptive filtering *Convolution *Correlation


*Digital filtering *Fast Fourier transforms *Hilbert
transforms
*Waveform generation *Windowing

Instrumentation *Pattern matching *Phase-locked loops *Spectrum


analysis
*Transient analysis

*Diagnostic equipment *Ultrasound equipment *Hearing aids


Medical *Patient monitoring

Military *Image processing *Radar processing *Radio


frequency modems
*Secure communications *Sonar processing

486
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Telecommunications *1200- to 56_600-bps modems


*ADPCM transcoders *Adaptive equalizers
*Base stations *Cellular telephones *Channel
multiplexing *Digital PBXs
*Data encryption
*Digital speech interpolation
*DTMF encoding/decoding *Echo cancellation *Faxing
*Line repeaters
*Personal communications *Future terminals
*systems (PCS) *Speaker phones
*Personal digital assistants (PDA)
*Spread spectrum communications
*Digital subscriber loop (xDSL) *Video conferencing *X.25
packet switching

Voice/Speech *Speaker verification *Speech enhancement *Speech


recognition

Table (7.1) C6000 family typical applications

7.2.3 Main Block Diagram Of TMS320C6416T DSK:

__________________________________________________________
Figure (7.2) TMS320C6416T block diagram
The DSP on the 6416 DSK interfaces to on-board peripherals through one of two busses, the
64-bit wide EMIFA and the 8-bit wide EMIFB. The SDRAM, Flash and CPLD are each
connected to one of the busses. EMIFA is also connected to the daughter card expansion
connectors which are used for third party add-in boards. An on-board AIC23 codec allows the
DSP to transmit and receive analog signals.
McBSP1 is used for the codec control interface and McBSP2 is used for data. Analog I/O is
done through four 3.5mm audio jacks that correspond to microphone input, line input, line
output and headphone output. The codec can select the microphone or the line input as the

487
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

active input. The analog output is driven to both the line out (fixed gain) and headphone
(adjustable gain) connectors. McBSP1 and McBSP2 can be re-routed to the expansion
connectors in software.
A programmable logic device called a CPLD is used to implement glue logic that ties the board
components together. The CPLD also has a register based user interface that lets the user
configure the board by reading and writing to the CPLD registers.
The DSK includes 4 LEDs and 4 position DIP switch as a simple way to provide the user with
interactive feedback. Both are accessed by reading and writing to the CPLD registers.
An included 5V external power supply is used to power the board. On-board switching voltage
regulators provide the 1.4V DSP core voltage and 3.3V I/O supplies. The board is held in reset
until these supplies are within operating specifications. A separate regulator powers the 3.3V
lines on the expansion interface.
Code Composer communicates with the DSK through an embedded JTAG emulator
with a USB host interface. The DSK can also be used with an external emulator through the
external JTAG connector.
TMS 320 C6416T DSK Connectors:
connector # pins function
TMS 320 C6416 Processor
CPLD 100 Memory mapped – address decode –
control the daughter card interfaces.
SDRAM 2 x 86 memory
FLASH 48 memory
TLV320AIC23 24 ADC-DAC
SN74LTH16245A 4 x 48 Buffers
J4 80 For Memory
J3 80 For Peripheral
J1 80 For HPI
J301 3 Microphone
J303 3 Line In
J304 3 Line Out
J303 3 Headphone
J5 2 +5 Volt
J6 4 Optional Power Connector
J8 14 External JTAG
J201 5 USB Port
JP3 10 CPLD Programming
SW3 8 DSP Configuration Jumper

488
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Layout of the kit:-

489
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.2.4 Features of C6416:


In this project we choose TMS320C6416T DSK processor duo to its properties and features that
can listed as:
• A Texas Instruments TMS320C6416 DSP operating at 1000 MHz
• An AIC23 stereo codec
• 16 Mbytes of synchronous DRAM
• 512 Kbytes of non-volatile Flash memory
• 4 user accessible LEDs and DIP switches
• Software board configuration through registers implemented in CPLD
• Configurable boot options
• Standard expansion connectors for daughter card use
• JTAG emulation through on-board JTAG emulator with USB host interface or external
emulator
• Single voltage power supply (+5V).
•high-performance embedded coprocessors [Viterbi Decoder Coprocessor (VCP)].
•high-performance embedded coprocessors [Turbo Decoder Coprocessor (TCP)].

7.2.4.1 AIC23 stereo codec:


The DSK uses a Texas Instruments AIC23 (part #TLV320AIC23) stereo codec for input
and output of audio signals. The codec samples analog signals on the microphone or line inputs
and converts them into digital data so it can be processed by the DSP. When the DSP is finished
with the data it uses the codec to convert the samples back into analog signals on the line and
headphone outputs so the user can hear the output.
The codec communicates using two serial channels, one to control the codec‘s internal
configuration registers and one to send and receive digital audio samples. McBSP1 and
McBSP2.
The codec has a 12MHz system clock. The 12MHz system clock corresponds to USB
sample rate mode, named because many USB systems use a 12MHz clock and can use the same
clock for both the codec and USB controller. The internal sample rate generate subdivides the
12MHz clock to generate common frequencies such as 48KHz, 44.1KHz and 8KHz. The sample
rate is set by the codec‘s SAMPLERATE
register. The figure (7.3) below shows the codec interface on the C6416 DSK.

490
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure (7.3) TMS320C6416 DSK CODEC interface


TLV320AIC23B:
The TLV320AIC23B is a high-performance stereo audio codec with highly integrated
analog functionality. The analog-to-digital converters (ADCs) and digital-to-analog converters
(DACs) within the TLV320AIC23B use multibit sigma-delta technology with integrated
oversampling digital interpolation filters. Data-transfer word lengths of 16, 20, 24, and 32 bits,
with sample rates from 8 kHz to 96 kHz, are supported.
The ADC sigma-delta modulator features third-order multibit architecture with up to 90-
dBA signal-to-noise ratio (SNR) at audio sampling rates up to 96 kHz, enabling high-fidelity
audio recording in a compact, power-saving design. The DAC sigma-delta modulator features a
second-order multibit architecture with up to 100-dBA SNR at audio sampling rates up to 96
kHz, enabling high-quality digital audio-playback capability, while consuming less than 23 mW
during playback only.
The TLV320AIC23B is the ideal analog input/output (I/O) choice for portable digital
audio-player and recorder applications, such as MP3 digital audio players.
Integrated analog features consist of stereo-line inputs with an analog bypass path, a stereo
headphone amplifier, with analog volume control and mute, and a complete electret-microphone-
capsule biasing and buffering solution.
The headphone amplifier is capable of delivering 30 mW per channel into 32 Ω. The
analog bypass path allows use of the stereo-line inputs and the headphone amplifier with analog
volume control, while completely bypassing the codec, thus enabling further design flexibility,
such as integrated FM tuners. A microphone bias-voltage output provides a low-noise current
source for electret-capsule biasing.
The AIC23B has an integrated adjustable microphone amplifier (gain adjustable from 1
to 5) and a programmable gain microphone amplifier (0 dB or 20 dB). The microphone signal
can be mixed with the output signals if a sidetone is required.

While the TLV320AIC23B supports the industry-standard oversampling rates of 256 fs


and 384 fs, unique oversampling rates of 250 fs and 272 fs are provided, which optimize

491
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

interface considerations in designs using TI C54x digital signal processors (DSPs) and universal
serial bus (USB) data interfaces.
A single 12-MHz crystal can supply clocking to the DSP, USB, and codec. The
TLV320AIC23B features an internal oscillator that, when connected to a 12-MHz external
crystal, provides a system clock to the DSP and other peripherals at either 12 MHz or 6 MHz,
using an internal clock buffer and selectable divider. Audio sample rates of 48 kHz and compact-
disc (CD) standard 44.1 kHz are supported directly from a 12-MHz master clock with 250 fs and
272 fs oversampling rates.
Low power consumption and flexible power management allow selective shutdown of
codec functions, thus extending battery life in portable applications. This design solution,
coupled with the industry‘s smallest package, the TI proprietary MicroStar Junior using only
25 mm2 of board area, makes powerful portable stereo audio designs easily realizable in a cost-
effective, space-saving total analog I/O solution: the TLV320AIC23B.

Features of AIC23:
 High-Performance Stereo Codec
 Software Control Via TI McBSP-Compatible Multiprotocol Serial Port
 Audio-Data Input/Output Via TI McBSP-Compatible Programmable Audio Interface
 Integrated Total Electret-Microphone Biasing and Buffering Solution
 Stereo-Line Inputs
 ADC Multiplexed Input for Stereo-Line Inputs and Microphone
 Stereo-Line Outputs
 Volume Control With Mute on Input and Output
 Highly Efficient Linear Headphone Amplifier
 Flexible Power Management Under Total Software Control
 Industry‘s Smallest Package: 32-Pin TI Proprietary Micro Star Junior
 Ideally Suitable for Portable Solid-State Audio Players and Recorders

7.2.4.2 Synchronous DRAM:


The DSK uses a pair of industry standard 64 megabit SDRAMs in CE0 of EMIFA. The
two devices are used in parallel to create a 64-bit wide interface. Total available memory is 16
megabytes.
The DSK uses an EMIFA clock of 100MHz. The integrated SDRAM controller is started
by configuring the EMIF in software. Timings can be found in the SDRAM datasheet and the
DSK help file. When using the SDRAM, note that one row of the memory array must be
refreshed at least every 15.6 microseconds to maintain the integrity of its contents.

MT48LC2M32B2 – 512K x 32 x 4 banks:


64Mb SDRAM is a high-speed CMOS, dynamic random-access memory containing
67,108,864 bits. It is internally configured as a quad-bank DRAM with a synchronous interface
(all signals are registered on the positive edge of the clock signal, CLK). Each of the 16,777,216-
bit banks is organized as 2,048 rows by 256 columns by 32
bits.

492
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Read and write accesses to the SDRAM are burst oriented; accesses start at a selected
location and continue for a programmed number of locations in a programmed sequence.
Accesses begin with the registration of an ACTIVE command, which is then followed by a
READ or WRITE command. The address bits registered coincident with the
ACTIVE command are used to select the bank and row to be accessed (BA0, BA1 select the
bank, A0–A10 select the row). The address bits registered coincident with the READ or WRITE
command are used to select the starting column location for the burst access.

The SDRAM provides for programmable read or write burst lengths (BL) of 1, 2, 4, or 8
locations, or the full page, with a burst terminate option. An auto precharge function may be
enabled to provide a self-timed row precharge that is initiated at the end of the burst sequence.
The 64Mb SDRAM uses an internal pipelined architecture to achieve high-speed
operation. This architecture is compatible with the 2n rule of prefetch architectures, but it also
allows the column address to be changed on every clock cycle to achieve a high-speed, fully
random access.
Precharging one bank while accessing one of the other three banks will hide the
PRECHARGE cycles and provide seamless, high-speed, random-access operation.
The 64Mb SDRAM is designed to operate in 3.3V, low-power memory systems. An auto
refresh mode is provided, along with a power-saving, power-down mode. All inputs and outputs
are LVTTL-compatible.
SDRAMs offer substantial advances in DRAM operating performance, including the
ability to synchronously burst data at a high data rate with automatic column-address generation,
the ability to interleave between internal banks to hide precharge time and the capability to
randomly change column addresses on each clock cycle during a burst access.

Features of synchronous DRAM:


 PC100 functionality
 Fully synchronous; all signals registered on positive edge of system clock
 Internal pipelined operation; column address can be changed every clock cycle
 Internal banks for hiding row access/precharge
 Programmable burst lengths: 1, 2, 4, 8, or full page
 Auto precharge, includes concurrent auto precharge, and auto refresh modes
 Self refresh mode
 64ms, 4,096-cycle refresh (15.6μs/row)
 LVTTL-compatible inputs and outputs
 Single +3.3V ±0.3V power supply
 Supports CAS latency (CL) of 1, 2, and 3

493
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.2.4.3 Non-volatile Flash memory:

The DSK uses a 512Kbyte external Flash as a boot option. It is connected to CE1 of
EMIFB with an 8-bit interface. Flash is a type of memory which does not lose its contents when
the power is turned off. When read it looks like a simple asynchronous read-only memory
(ROM). Flash can be erased in large blocks commonly referred to as sectors or pages. Once a
block has been erased each word can be programmed once through a special command sequence.
After than the entire block must be erased again to change the contents.

The Flash requires 70ns for both reads and writes. The general settings used with the DSK use 8
cycles for both read and write strobes (80ns) to leave a little extra margin.

M29W400DT:
Non-volatile memory that can be read , erased and reprogrammed. These operations can
be performed using a single low voltage (2.7 to 3.6 V) supply. On power-up the memory defaults
to its Read mode where it can be read in the same way as a ROM or EPROM.

The memory is divided into blocks that can be erased independently so it is possible to
preserve valid data while old data is erased. Each block can be protected independently to
prevent accidental Program or Erase commands from modifying the memory. Program and Erase
commands are written to the command interface of the memory. An on-chip Program/Erase
controller simplifies the process of programming or erasing the memory by taking care of all of
the special operations that are required to update the memory contents. The end of a program or
erase operation can be detected and any error conditions identified. The command set required to
control the memory is consistent with JEDEC standards.

The blocks in the memory are asymmetrically arranged. The first or last 64 Kbytes have
been divided into four additional blocks. The 16 Kbyte boot block can be used for small
initialization code to start the microprocessor, the two 8 Kbyte parameter blocks can be used for
parameter storage and the remaining
32 Kbyte is a small main block where the application may be stored. Chip Enable, Output
Enable and Write Enable signals control the bus operation of the memory. They allow simple
connection to most microprocessors, often without additional logic.

Features of non-volatile Flash memory:


 Supply voltage
– VCC = 2.7 V to 3.6 V for Program, Erase and Read
 Access time: 45, 55, 70 ns
 Programming time
– 10 μs per byte/word typical
 11 memory blocks
– 1 boot block (top or bottom location)
– 2 parameter and 8 main blocks
 Program/Erase controller

494
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

– Embedded byte/word program algorithms


 Erase Suspend and Resume modes
– Read and Program another block during
 Erase Suspend
 Unlock bypass program command
– Faster production/batch programming
 Temporary block unprotection mode
 Low power consumption
– Standby and Automatic Standby
 100,000 Program/Erase cycles per block
 Electronic signature
– Manufacturer code: 0020h
– Top device code M29W400DT: 00EEh
– Bottom device code M29W400DB: 00EFh

7.2.4.4 LEDs and DIP switches:

The DSK includes 4 software accessible LEDs (D7-D10) and DIP switches (SW1) that provide
the user a simple form of input/output. Both are accessed through the CPLD USER_REG
register.

Table (7.2) CPLD USER_REG register.

7.2.4.5 Complex Programmable Logic Device (CPLD):


The C6416 DSK uses an Altera EPM3128TC100-10 Complex Programmable Logic Device
(CPLD) device to implement:
• 4 Memory-mapped control/status registers that allow software control of various
board features.
• Address decode and memory access logic.
• Control of the daughter card interface and signals.
• Assorted "glue" logic that ties the board components together.

495
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

CPLD Overview

The CPLD logic is used to implement functionality specific to the DSK. Your own hardware
designs will likely implement a completely different set of functions or take advantage of the
DSPs high level of integration for system design and avoid the use of external logic completely.

The CPLD implements simple random logic functions that eliminate the need for additional
discrete devices. In particular, the CPLD aggregates the various reset signals coming from the
reset button and power supervisors and generates a global reset.

The EPM3128TC100-10 is a 3.3V (5V tolerant), 100-pin QFP device that provides 128
macrocells, 80 I/O pins, and a 10 ns pin-to-pin delay. The device is EEPROM-based and is in-
system programmable via a dedicated JTAG interface (a 10-pin header on the DSK). The CPLD
source files are written in the industry
standard VHDL (Hardware Design Language) and included with the DSK.

MAX 3000A:
MAX 3000A devices are low–cost, high–performance devices based on the Altera MAX
architecture. Fabricated with advanced CMOS technology, the EEPROM–based MAX 3000A
devices operate with a 3.3-V supply voltage and provide 600 to 10,000 usable gates, ISP, pin-to-
pin delays as fast as 4.5 ns, and counter speeds of up to 227.3 MHz. MAX 3000A devices in the
–4, –5, –6, –7, and –10 speed grades are compatible with the timing requirements of the PCI
Special Interest Group (PCI SIG) .

The MAX 3000A architecture supports 100% transistor-to-transistor logic (TTL) emulation and
high–density small-scale integration (SSI), medium-scale integration (MSI), and large-scale
integration (LSI) logic functions. The MAX 3000A architecture easily integrates multiple
devices ranging from PALs, GALs, and 22V10s to MACH and pLSI devices.

MAX 3000A devices use CMOS EEPROM cells to implement logic functions. The user–
configurable MAX 3000A architecture accommodates a variety of independent combinatorial
and sequential logic functions. The devices can be reprogrammed for quick and efficient
iterations during design development and debugging cycles, and can be programmed and erased
up to 100 times.

MAX 3000A devices contain 32 to 512 macrocells, combined into groups of 16 macrocells
called logic array blocks (LABs). Each macrocell has a programmable–AND/fixed–OR array
and a configurable register with independently programmable clock, clock enable, clear, and
preset functions. To build complex logic functions, each macrocell can be supplemented with
shareable expander and high–speed parallel expander product terms to provide up to 32 product
terms per macrocell.

MAX 3000A devices provide programmable speed/power optimization. Speed–critical portions


of a design can run at high speed/full power, while the remaining portions run at reduced
speed/low power. This speed/power optimization feature enables the designer to configure one
or more macrocells to operate at 50% or lower power while adding only a nominal timing delay.

496
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

MAX 3000A devices also provide an option that reduces the slew rate of the output buffers,
minimizing noise transients when non–speed–critical signals are switching. The output drivers of
all MAX 3000A devices can be set for 2.5 V or 3.3 V, and all input pins are 2.5–V, 3.3–V, and
5.0-V tolerant, allowing MAX 3000A devices to be used in mixed–voltage systems.

MAX 3000A devices are supported by Altera development systems, which are integrated
packages that offer schematic, text—including VHDL, Verilog HDL, and the Altera Hardware
Description Language (AHDL)—and waveform design entry, compilation and logic synthesis,
simulation and timing analysis, and device programming. The software provides EDIF 2 0 0 and
3 0 0, LPM, VHDL, Verilog HDL, and other interfaces for additional design entry and
simulation support from other industry–standard PC– and UNIX–workstation–based EDA tools.
The software runs on Windows–based PCs, as well as Sun SPARCstation, and HP 9000 Series
700/800 workstations.

Features of MAX 3000A(CPLD):


 High–performance, low–cost CMOS EEPROM–based programmable logic devices
(PLDs)
 3.3-V in-system programmability (ISP) through the built–in IEEE Std. 1149.1 Joint Test
Action Group (JTAG) interface with
 advanced pin-locking capability
 Enhanced ISP features:
– Enhanced ISP algorithm for faster programming
– ISP_Done bit to ensure complete programming
– Pull-up resistor on I/O pins during in–system programming
 High–density PLDs ranging from 600 to 10,000 usable gates
 Hot–socketing support
 Programmable interconnect array (PIA) continuous routing structure for fast, predictable
performance
 Industrial temperature range
 PCI compatible
 Open–drain output option.

497
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.2.4.6 Daughter Card Interface:

The DSK provides three expansion connectors that can be used to accept plug-in daughter cards.
The daughter card allows users to build on their DSK platform to extend its capabilities and
provide customer and application specific I/O. The expansion connectors are for memory,
peripherals, and the Host Port Interface (HPI)

The memory connector provides access to the DSP‘s asynchronous EMIF signals to interface
with memories and memory mapped devices. It supports byte addressing on 32 bit boundaries.
The peripheral connector brings out the DSP‘s peripheral signals like McBSPs, timers, and
clocks. Both connectors provide power and ground to the daughter card

The HPI is a high speed interface that can be used to allow multiple DSPs to communicate and
cooperate on a given task. The HPI connector brings out the HPI specific control signals as well
as McBSP2.

Most of the expansion connector signals are buffered so that the daughter card cannot directly
influence the operation of the DSK board. The use of TI low voltage, 5V tolerant buffers, and
CBT interface devices allows the use of either +5V or +3.3V devices to be used on the daughter
card. Other than the buffering, most daughter card signals are not modified on the board.

However, a few daughter card specific control signals like DC_RESET and DC_DET exist and
are accessible through the CPLD DC_REG register. The DSK also multiplexes the McBSP1 and
McBSP2 of on-board or external use. This function is controlled through the CPLD MISC
register.

7.2.4.7 Power Supply:


The DSK operates from a single +5V external power supply connected to the main power input
(J5). Internally, the +5V input is converted into +1.4V and +3.3V using a dual voltage regulator.
The +1.4V supply is used for the DSP core while the +3.3V supply is used for the DSP's I/O
buffers and all other chips on the board. The power connector is a 2.5mm barrel-type plug.

There are three power test points on the DSK at JP1, JP2 and JP4. All 6416 I/O current passes
through JP2 while all core current passes through JP1. All system current passes through JP4.
Normally these jumpers are closed. To measure the current passing through remove the jumpers
and connect the pins with a current measuring device such as a multimeter or current probe.

The DSK provides +3.3V, up to 1A for the daughter card. The +3.3V supply is derived from the
+5V power source via the main +3.3 volt regulator. It is also possible to provide the daughter
card with +12V and -12V when the external power connector (J6) is used.

498
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.2.4.8 High-performance embedded coprocessors:


The C6416 device has two high-performance embedded coprocessors [Viterbi Decoder
Coprocessor (VCP) and Turbo Decoder Coprocessor (TCP)] that significantly speed up channel-
decoding operations on-chip.

7.2.4.8.1 Viterbi Decoder Coprocessor (VCP):


The VCP operating at CPU clock divided-by-4 can decode over 500 7.95-Kbps
adaptive multi-rate (AMR) [K = 9, R = 1/3] voice channels. The VCP supports
constraint lengths K = 5, 6, 7, 8, and 9, rates R = 1/2, 1/3, and 1/4, and flexible
polynomials, while generating hard decisions or soft decisions.‖ Will be used in
our system ―

7.2.4.8.2 Turbo Decoder Coprocessor (TCP):


The TCP operating at CPU clock divided-by-2 can decode up to thirty-six 384-
Kbps or six 2-Mbps turbo encoded channels (assuming 6 iterations). The TCP
implements the max*log-map algorithm and is designed to support all
polynomials and rates required by Third-Generation Partnership Projects (3GPP
and 3GPP2), with fully programmable frame length and turbo interleaver.
Communications between the VCP/TCP and the CPU are carried out through the
EDMA controller.

7.2.4.9 Multichannel Buffered Serial Ports(McBSP)

Features:
The McBSP provides these functions:
* Full-duplex communication
* Double-buffered data registers, which allow a continuous data stream
* Independent framing and clocking for receive and transmit
* Direct interface to industry-standard codecs, analog interface chips (AICs), and other
serially connected analog-to-digital (A/D) and digital-to-analog (D/A) devices
* External shift clock or an internal, programmable frequency shift clock for data
transfer In addition, the McBSP has the following capabilities:
*Direct interface to:
– T1/E1 framers
– MVIP switching compatible and ST-BUS compliant devices including:
** MVIP framers
** H.100 framers
** SCSA framers
– IOM-2 compliant devices
– AC97 compliant devices (The necessary multi phase frame synchronization
capability is provided.)
– IIS compliant devices
– SPI™ devices

499
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

* Multichannel transmit and receive of up to 128 channels


* A wide selection of data sizes, including 8, 12, 16, 20, 24, and 32 bits
* μ-Law and A-Law companding
* 8-bit data transfers with the option of LSB or MSB first
* Programmable polarity for both frame synchronization and data clocks
* Highly programmable internal clock and frame generation

McBSP Interface:
The McBSP consists of a data path and a control path that connect to external devices.
Separate pins for transmission and reception communicate data to these external devices. Four
other pins communicate control information (clocking and frame synchronization). The device
communicates to the McBSP using 32-bit-wide control and data registers accessible via the
internal peripheral bus.
Data is communicated to devices interfacing to the McBSP via the data transmit (DX)
pin for transmission and via the data receive (DR) pin for reception. Control information
(clocking and frame synchronization) is communicated via CLKS, CLKX, CLKR, FSX, and
FSR. The C6000 CPU communicates with the McBSP by reading or writing to its 32-bit-wide
control registers. Non-32-bit write accesses to control registers can result in corrupting the
control register value. This is because undefined values are written to non-enabled bytes.
However, non-32-bit read accesses return the correct value.
Either the CPU or the DMA/EDMA controller reads the received data from the data
receive register (DRR) and writes the data to be transmitted to the data transmit register (DXR).
Data written to DXR is shifted out to DX via the transmit shift register (XSR). Similarly,
receive data on the DR pin is shifted into the receive shift register (RSR) and copied into the
receive buffer register (RBR). RBR is then copied to DRR, which can be read by the CPU or the
DMA/EDMA controller. This allows simultaneous internal data movement and external data
communications.

___________________________________________________________________________________

Figure (7.4) McBSP Block Diagram

500
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Pin I/O/Z Description

CLKR I/O/Z Receive clock

CLKX I/O/Z Transmit clock

CLKS I External clock

DR I Received serial data

DX O/Z Transmitted serial


data

FSR I/O/Z Receive frame


synchronization

FSX I/O/Z Transmit frame


synchronization

Table (7.3) McBSP interfaces pins

7.2.4.10 External Memory Interfaces (EMIFs):


The C64x EMIF services requests of the external bus from two requestors:
* On-chip enhanced direct-memory access (EDMA) controller
* External shared-memory device controller
A block diagram of the C64x™ DSP is shown in Figure below. The C64x EMIF offers
additional flexibility by
replacing the SBSRAM mode with a programmable synchronous mode, which supports
glueless interfaces
to the following:
* ZBT (zero bus turnaround) SRAM
* Synchronous FIFOs
*Pipeline and flow-through SBSRAM
The C64x DSP may have up to two EMIFs, EMIFA and EMIFB.
* EMIFA: Data bus width is device specific.
* EMIFB: 16-bit data bus interface.

501
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure (7.5) TMS320C64x DSP Block Diagram

EMIF Interface Signals

The EMIF signals of the C64x DSP are shown in Figure below . These signals apply to
both EMIFA and EMIFB with the exception of the SDCKE signal, which applies to
EMIFA only. The C64x EMIF is an enhanced version of the C621x EMIF. It includes all
the C621x/C671x EMIF features plus the following new features:
* The data bus on EMIFA is either 64-bits or 32-bits wide (see Table 4-1). The data bus
on EMIFB is 16-bits wide.
* The EMIF clocks ECLKOUTn are generated internally based on the EMIF input clock.
At device reset, you can configure one of the following three clocks as the EMIF input
clock: internal CPU clock rate divide by 4, internal CPU clock rate divide by 6, or
external ECLKIN. All of the memories interfacing with the C64x EMIF should operate
off of ECLKOUTn (EMIF clock cycle). The ECLKOUT1 frequency equals to EMIF
input clock frequency. The ECLKOUT2 frequency is programmable to be EMIF input
clock frequency divided by 1, 2, or 4.
* A more flexible programmable synchronous memory controller replaces the SBSRAM
controller. Synchronous control pins replace the SBSRAM control pins.
* The PDT pin provides external-to-external transfer support.

502
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

TMS320C64x EMIFA and EMIFB Interface Signals

___________________________________________________________________________________
Figure (7.6) TMS320C64x EMIFA and EMIFB Interface Signals

7.3 Peripherals Overview of C6416:


Peripherals available on the TMS320DM64x™ Digital Signal Processors (DSP) and their
associated literature number are listed in Table 2.

Peripheral/Module Acronym
Enhanced Direct Memory Access Controller EDMA EDMA
Ethernet Media Access Controller/Management Data Input/Output EMAC/MDIO
Module EMAC/MDIO External Memory Interface EMIF EMIF
General-Purpose Input/Output GPIO GPIO
Host Port Interface HPI HPI
Inter-Integrated Circuit I2C I2C
Multichannel Audio Serial Port McASP McASP
Multichannel Buffered Serial Port McBSP McBSP
Peripheral Component Interconnect PCI PCI
Power-Down Logic and Modes – -
Timer, 32-bit Timer Timer
Two-Level Internal Memory Cache Cache
Video Port/VCXO Interpolated Control Port Video Port

Table (7.3) TMS320DM64x™ peripherals

503
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.3 CODE COMPOSER OVERVIEW


7.3.1 Introduction:
Code Composer Studio extends the basic code generation tools with a capability of performing
real time analysis and debugging. Code Composer Studio supports all phases of the development
cycle like:
 Design: conceptual planning.
 Code &build: create project, write source code, configuration files.
 Debug: syntax checking, logging, probe points…….
 Analyze: real time, tracing, statistics, debugging.

In order to use the code composer correctly you must install the target board and driver software
then the Code Composer Studio like in the tutorial of the DSP.
Code Composer Studio includes the following components:
 TMS320C6000 code generation tools:

The code generation tools provide the foundation for the development
environment provided by Code Composer Studio. It is like a software development flow.
Portions of the flow handle their output to the following one to be its input to get the final
file.
You must have the C source file to be an input to the code composer, and then
produce an assembly source file.
The assembler translates assembly language source files into machine language object
files. The machine language is based on common object file format (COFF).
The assembly optimizer allows you to write linear assembly code without being
concerned with the pipeline structure or with assigning registers.
The linker combines object files into a single executable object module. As it creates the
executable module, it performs relocation and resolves external references. The linker
accepts COFF object files and object libraries as input.
The archiver allows you to collect a group of files into a single archive file, called a
library. It also allow you to modify some parameters.
There are also some tools like Library build utility, Cross reference lister, Hex
conversion utility, run time support library.

 Code Composer Studio Integrated Development Environment (IDE):


It is designed to allow users to edit, build, run and debug the program.
1. Code Composer Studio allows you to edit C and assembly source code. You can
also view C source code with the corresponding assembly instructions shown
after the C statements.
2. The left side of the code composer window shows a chain of folders & files of
your program you can add files for the program. Files in a project can include C

504
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

source files (.c), assembly source files (.asm&.s62), object files (.obj), libraries
(.lib), linker command files (.cmd), and include files (.h&.h62).
3. While the program is running user can do some activities like Setting break
points, Watching variables, Graphing signal on the target, profiling execution
statistics.
Some useful extentions like (.out) represent an executable program for target, also (.cdb)
represent a configuration Data base file created within the code composer studio and
file(.pjt) the created project which we rebuild.
 DSP/BIOS plug-ins and API:

The Code Composer Studio plug-ins provided with DSP/BIOS support such real-time
analysis. You can use them to visually probe, trace, and monitor a DSP application with
minimal impact on real-time performance.
The DSP/BIOS API provides the following real-time analysis capabilities
o Program tracing: during execution it displays the events written to the logs.
o Performance monitoring: Tracking statistics that reflect the use of target
resources, such as Viterbi & turbo co-processors.
o File streaming: Binding target-resident I/O objects to host files.

Unlike traditional debugging, which is external to the executing program, the DSP/BIOS
features require the target program to be linked with certain DSP/BIOS API modules.
A program can use one or more DSP/BIOS modules by defining DSP/BIOS objects in a
configuration file, declaring these objects as external and calling DSP/BIOS API
functions in the source code. Each module has a separate C header file or assembly macro
file you can include in your program. This allows you to minimize the program size in a
program that uses some, but not all, DSP/BIOS modules. The DSP/BIOS API is divided
into the following modules. All the API calls within a module begin with the letter codes
and each has a certain function.

 RTDX plug-in, host interface and API:


RTDX (Real-time data exchange) is used to allow to perform a bi-directional real-time
communications between the host and DSP. RTDX provides real-time, continuous
visibility into the way DSP applications operate in the real world. Example we can
perform some operation using mat-lab and then the results sent to the DSP using the
RTDX by running two source files from the set up of the code composer one to read from
and one to write to the DSP.

505
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Code composer folders:


The installation process creates some folders and sub folders here we talk about some
useful folders and files. These folders added to C drive, and some added to the windows
directory.
 C6000\
o Examples: Code examples.
o Bios: Files used when building programs that use the DSP/BIOS API.
o RTDX: Files for use with RTDX.
o Tutorial: The examples you use in this manual
 Cc\
o Bin: Program files for the Code Composer Studio environment
o Gel: GEL files for use with Code Composer Studio
Some of the files are added to the windows directory.
 Windows\ti\
o Drivers: Files for various DSP board drivers.
o Plug-ins: Plug-ins for use with Code Composer Studio.
o Uninstall: Files supporting Code Composer Studio software removal.

7.3.2 Steps to execute a program:


When your source file (file.c) is ready then you can create a project and execute it easily
following these steps mentioned.
1. Creating a new project:
Create a folder with any name to put your C source file in it. Open code composer‘s
window chooses new from the project menu and names it.

2. Add files to project:


Choose add Files to Project from the Project menu and choose the C source file, library
files, assembly files … etc to be added to the project. The include files you don‘t need to
add them as CC studio create them.

3. Reviewing the code:


Double click in the file.c shows the code written by the C language.

506
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

________________________________________________________________________
Figure (7.7) adding files to new project
4. Building &Running the program:
First you click on the rebuild all from the tool bar or choose it from the project menu and
the result will be written in the in the bottom of the window this step creates a new file
(.out), then you can load the program by choosing load program and choose the .out file,
then run (Notice that Code Composer Studio also automatically opens a tabbed area at the
bottom of the window to show output the program sends to std out.)

5. Fixing the syntax error:


After rebuild if there are some errors it will appear in the build, double click in the error
to show you the line of the error and after reading the error you will be able to correct the
error and then save the file.

6. Using break points and watch window:

Both are debugging tools. When you need to check the value of a variable during
program execution. In this section, you use breakpoints and the Watch Window to view
such values. You also use the step commands after reaching the breakpoint.
To get the break point you choose reload program from file menu then open the C_source
file &put the cursor in the line you want and click the hand in the toolbar or press F9.
Choose Watch Window from View menu. A separate area in the lower-right corner of the
Code Composer Studio window appears. At run time, this area shows the values of
watched variables. Right-click on the Watch Window area and choose Insert New
Expression from the pop-up list. Type the Expression and click OK.

507
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure (7.8) Evaluating expressions in the debugging mode


Experiment with the step commands Code Composer Studio provides:
 Step into (F8)
 Step over (F10)
 Step Out (Shift F7)
 Run to Cursor (Ctrl F10)

7. Using the Watch Window with Structures:

In addition to watching the value of a simple variable, you can watch the values of
the elements of a structure. Right click on the watch window area and choose Insert New
Expression from the pop-up list.

Figure (7.9) The use of watch window


Type str as the Expression and click OK. A line that says + str = {...} appears in the
Watch Window. The + sign indicates that this is a structure. Click once on the + sign.
Code Composer Studio expands this line to list all the elements of the structure and their
values.
Double-click on any element in the structure to open the Edit Variable window for that
element. Change the value of the variable and click OK. Notice that the value changes in
the Watch Window. The value also changes color to indicate that you have changed it
manually.
Select the str variable in the Watch Window. Right-click in the Watch Window and
choose Remove Current Expression from the pop-up list. Repeat this step for all
expressions in the Watch Window. Right-click on the Watch Window and choose Hide

508
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

from the pop-up menu to hide the window. Choose Breakpoints from the debug menu. In
the Breakpoints tab, click Delete All and then click OK.

7.3.3 DSP/BIOS
It is another method to run, debug, build a program. To set the DSP/BIOS configuration click
File ~ new and select its file.
Select the template for your DSP board and click OK. A new window will appear, shows a lot of
files we can make some changes in its properties.
You can expand and contract the list by clicking the + and - symbols on the left. The right side of
the window shows properties of the object you select in the left side of the window. You can
change any properties you need
Right-click on the LOG - Event Log Manager and choose Insert LOG from the pop-up menu.
This creates a LOG object called LOG0. Right-click on the name of the LOG0 object and choose
Rename from the pop-up menu. Change the object‘s name to trace. The save the configurations
file as myfile.cdb (Stores configuration settings).
You can add BSP/BIOS files to a project. Choose add files to project from the project menu and
select files with the extentions .cdb from a folder called DSP/BIOS config. The assembly file
(.s62) is listed as source files. The header file (.h62) is added automatically. You must name the
file correctly like the program name and if the file exists replace it with your new file.
Now you can delete the file vector.asm no need for it as the hardware interrupt vectors are
automatically defined by the DSP/BIOS configuration file.aso we can delete the file RTS6201.lib
library is included by the (.cmd).
Open the C_Source file and add these lines in the main then save your modified file.
{
LOG_printf (&trace, ―hello world!‖);
Return;
}

509
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure (7.10) DSP/BIOS


Your code must declare the LOG object you created in the configuration file.
Choose Options from the Project menu. Choose the Preprocessor category. Remove FILEIO
from the Define Symbols box in the Compiler tab. Then click OK. Choose Rebuild All from
Project menu or click the (Rebuild All) toolbar button.
The last step is to test this file using the Code Composer studio. After rebuilding the program we
load the program from the file menu. Choose Go Main from the Debug menu. A window shows
the hello.c file with the first line of the main function highlighted. The highlighting indicates that
program execution is paused at this location. Choose Message Log from DSP/BIOS menu from
Tools menu. A Message Log area appears at the bottom of the Code Composer Studio window.
Right-click on the Message Log area and choose Property Page from the pop-up window. Select
trace as the name of the log to monitor and click OK. The default refresh rate is once per second.
(To change refresh rates, choose RTA Control Panel from DSP/BIOS from Tools menu. Right-
click on the RTA Control Panel area and choose Property Page. Choose a new refresh rate and
click OK.) Then run the program to work and halt it to stop.

510
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.3.4 Testing Algorithms and Data from a File:


 Opening &Examining the project:

You begin by opening a project with Code Composer Studio and examining the source
code files and libraries used in that project.
If you installed Code Composer Studio in c:\ti, create a folder called volume1 in the
c:\ti\myprojects folder. (If you installed elsewhere, create a folder within the my projects
folder in the location where you installed.)
Copy all files from the c:\ti\c6000\tutorial\volume1 folder to this new folder.
If Code Composer Studio is not already running, from the Windows Start menu, choose
CCStudio from Code Composer Studio ‘C6000 from Programs .
Choose Open Project. Select the volume.mak file in the folder you created and click
Open.
Code Composer Studio displays a dialog box indicating the library file was not found.
This is because the project was moved. To locate this file, click the Browse button,
navigate to c:\ti\c6000\cgtools\lib, and select rts6201.lib. (If you installed somewhere
other than c:\ti, navigate to the \c6000\cgtools\lib folder within the folder where you
installed.)

Expand the Project View by clicking the + signs next to Project, VOLUME.MAK,
Include, Libraries, and Source.
Files used in the project:
 volume.c: This is the source code for the main program. You examine the source code
in the next section.
 volume.h: This is a header file included by volume.c to define various constants and
structures.
 load.asm: This file contains the load routine, a simple assembly loop routine that is
callable from C with one argument. It consumes about 1000*argument instruction cycles.
vectors.asm: This is the same file used in Chapter 2 to define a reset entry point in the
DSP‘s interrupt vector table.
volume.cmd: This linker command file maps sections to memory.
rts6201.lib: This library provides run-time support for the target DSP.

 Review the source code:

Double-click on the volume.c file in the Project View to see the source code in the right
half of the Code Composer Studio window. Now you will be able to modify the code.
511
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Displaying Graphs:

If you ran the program now, you would not see much information about what the
program was doing. You could set watch variables on addresses within the inp_buffer
and out_buffer arrays, but you would need to watch a lot of variables and the display
would be numeric rather than visual.
Code Composer Studio provides a variety of ways to graph data processed by your
program. In this example, you view a signal plotted against time. You open the graphs in
this section and run the program in the next section.
Choose Time/Frequency from Graph from View menu.
In the Graph Property Dialog, change the Graph Title, Start Address, Acquisition Buffer
Size, Display Data Size, Autoscale, and Maximum Y-value properties to the values
shown here. Scroll down or resize the dialog box to see all the properties.
Click OK. A graph window for the Input Buffer appears.
Right-click on the Input Buffer window and choose Clear Display from the pop-up menu.
Choose Time/Frequency again.
This time, change the Graph Title to Output Buffer and the Start Address to out_buffer.
All the other settings are correct.
Click OK to display the graph window for the Output Buffer. Right-click on the graph
window and choose Clear Display from the pop-up menu.

 Adjusting the Gain:

Choose Watch Window from View menu.


Right-click on the Watch window area and choose Insert New Expression from the pop-
up list. Type gain as the Expression and click OK. The value of this variable appears in
the Watch window area.
If you have halted the program, click the (Animate) toolbar button to restart the program.
Double-click on gain in the Watch window area.
In the Edit Variable window, change the gain to 10 and click OK.
Notice that the amplitude of the signal in the Output Buffer graph changes to reflect the
increased gain.

 Animating the Program and Graphs:

In the Volume.c window, put your cursor in the line that calls dataIO.
Press F9. The line is highlighted in both magenta and blue. to indicate that both a
breakpoint and a Probe Point are set on this line. You put the breakpoint on the same line

512
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

as the Probe Point so that the target is halted only once to perform both operations—
transferring the data and updating the graphs.
Arrange the windows so that you can see both graphs.
Press F12 to run the program. The Animate command is similar to the Run command. It
causes the target application to run until it reaches a breakpoint. The target is then halted
and the windows are updated. However, unlike the Run command, the animate command
then resumes execution until it reaches another breakpoint. This process continues until
the target is manually halted. Think of the animate command as a run-break-continue
process.
Notice that each graph contains 2.5 sine waves and the signs are reversed in these graphs.
Each time the Probe Point is reached, Code Composer Studio gets 100 values from the
sine.dat file and writes them to the inp_buffer address. The signs are reversed because the
input buffer contains the values just read from sine.dat, while the output buffer contains
the last set of values processed by the processing function.

7.3.5 Analyzing Real-Time Behavior:


We are about to introduce techniques for analyzing and correcting real-time program
behavior.

 Opening and Examining the Project:


As said before you can open code composer and open the c_source file and select the
.mak file which contains the project files.

 Modifying the Configuration File:


In the Project View, double-click on the volume.cdb file to open it.
Select LOG_system, change the buflen property to 512 words, and click OK Right-click
on the PRD manager and choose Insert PRD from the pop-up menu.
Rename the PRD0 object to loadchange_PRD.
Right-click on the loadchange_PRD object and choose Properties from the pop-up menu.
Set the following properties for the loadchange_PRD object and click OK.
Click the + sign next to the SWI manager. A SWI object called PRD_swi was added
automatically. This software interrupt executes periodic functions at run time. Therefore,
all PRD functions are called within the context of a software interrupt and can yield to
hardware interrupts. In contrast, CLK functions run in the context of a hardware interrupt.
(The KNL_swi object runs a function that runs the TSK manager. See the TMS320C6000
DSP/BIOS User‘s Guide and the online help for information about tasks, which are not
used in this tutorial.)

513
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Click the + sign next to the CLK manager. Notice that the CLK object called PRD_clock
runs a function called PRD_F_tick. This function causes the DSP/BIOS system clock to
tick (by calling the PRD_tick API function) and the PRD_swi software interrupt to be
posted if any PRD functions need to run. PRD_swi runs the functions for all the PRD
objects whose period has elapsed.
Right click on the PRD manager, and choose Properties from the pop-up menu. The PRD
manager has a property called Use CLK Manager to drive PRD. Make sure this box is
checked for this example. In your own projects, if you remove the check mark from this
box, the PRD_clock object would be deleted automatically. Your program could then call
PRD_tick from some other event, such as a hardware interrupt, to drive periodic
functions.
Recall that the processing_SWI object has a mailbox value of 10 and that the mailbox
value is decremented by the dataIO_CLK object, which runs every millisecond. As a
result, the processing_SWI runs its function every 10 milliseconds. In contrast, the
loadchange_PRD object should run its function every 2 milliseconds.

 Reviewing the Source Code Changes:


Double-click on the volume.c file in the Project View to see the source code in the right
half of the Code Composer Studio window.
o Added the following to the list of included header files:
#include <rtdx.h>
o Added the following to the declarations:
RTDX_CreateInputChannel(control_channel);
Void loadchange(Void);

o Added the following call to the main function:


RTDX_enableInput(&control_channel);
7.4 HOW TO DEAL WITH DSP KIT TMS320C6416
7.4.1 Dealing with DSP kit for the first time
First you must have the following:
 C6416 DSK Board.
 +5V Universal C6416 DSK Board Power Supply.
 AC Power Cord.
 C6416 DSK Code Composer Studio CD ROM.
 USB Cable.
Then follow these steps:

1. Insert the Code Composer Studio installation CD into the CD-ROM Drive.

2. Choose to setup the program with the order shown in fig (7.11)
 1st setup C6000 Code Composer Studio 3.1.
514
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 2nd setup DSK 6416 Driver and target content.


 Flash Burn 2.0.

Fig (7.11) Setup the C6416 DSK Code Composer Studio CD ROM.

3. Leave your Code Composer CD ROM in the CD-ROM drive as it will be needed during
USB Hardware install.

4. Restart your computer.


The DSK CCS v2.2 will automatically configure your system with a preset configuration for
the C6416 DSK USB device.
The installation procedures will create two icons on your desktop:
 C6416 DSK Startup – C6416 DSK CCS.
 C6416 DSK Diagnostics – 6416 DSK Diagnostic Utility.

7.4.2 Connecting the C6416 DSK to your PC:

1. Connect the supplied USB cable to your PC or laptop.


2. If you plan to install a microphone, speaker, or expansion card these must be plugged
in properly before you connect power to the DSK board.
3. Plug the AC power cord into the power supply and AC Source.

Warning: Power Cable must be plugged into AC Source Prior to Plugging


the 5 Volt DC output Connector into the DSK.

515
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

4. Plug the power cable into the board.


5. When power is applied to the board the Power on Self Test (POST) will run LEDs 0-3
will flash. When the POST is complete all LEDs blink on and off then stay on.
6. Make sure your CCS CD ROM is installed in your CDROM drive. Now connect the
USB cable into the DSK. After few moments Windows will detect new hardware and
prompt you with New Hardware Found screens. Follow the instructions on the screens
and let Windows find the USB driver files ―sdusbemu.inf‖ and ―sdusbemu.sys‖ on
your Code Composer CD-ROM.

If you want to test your DSK and USB connection you can launch the C6416
DSK Diagnostic Utility from the icon on your desktop.

From the diagnostic utility, press the start button as shown in fig (7.12) to run the
diagnostics. In approximately 20 seconds all the on-screen test indicators should turn green.
Starting Code Composer to start Code Composer Studio, double click the C6416 DSK CCS icon
on your
desktop.

_______________________________________________________________________
Figure (7.12) Testing the connection of DSP KIT

516
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.4.3 How to deal with Code Composer:


First we will open and run a project from the examples of the code composer and then we will
create our own project
To open and run an old project follows these steps:
1. Open the Code Composer and connect the DSP Kit as shown previously.
2. From toll bar chose project → open → CCStudio_v3.1 → examples → dsk6416 → bsl→
led → led.pjt(where CCStudio_v3.1 the file where the Code Composer was setup).
3. To open the .c file of the project from the project tool bar as shown in fig (7.13) select
Led.pjt → sources →Led.c
4. To build the project chose project → rebuild all or from the icon as shown in fig (7.13)

Fig (7.13) Code Composer &How to build a project

5. After rebuild all and be sure that there are no errors then chose file →load program and
chose .out file of the project as shown in fig(7.14).
6. Then press Run icon as shown in fig (7.15).

517
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure (7.14) loading program

________________________________________________________________________
Figure (7.15):Running program

518
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.4.4 Creating a New Project:


To create a new project follows these steps:
1. Select project → new and name it as you like as shown in fig (7.16)

Prepare the .c file and any header file you want to include it of your project and add it
to your project as follow:
2. Select project → Add files and select the file you want to add.
3. Then add the library of the DSP Kit to your project to let it understand the
component of it by chose project → add file →CCStudio_v3.1 → C6000 →
dsk6416 → lib → dsk6416bsl.
4. Then add the bios file ass follow:
 From file menu chose new → DSP/BIOS Configuration
 Choose your Kit as shown in fig (7.17)
 Then from Instrumentation chose Log-Event Log Manger
 Then right click on it and choose insert log and change it name to trace
and then save it as shown in Fig (7.18).
 After that add these configurations file to your project.

______________________________________________________________________________
Figure (7.16)

519
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

______________________________________________________________________________
_______Fig (7.17)

______________________________________________________________________________
_______
Figure (7.18)
5. Then rebuild the project and load the .out file and run it as shown previously.

520
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.5 SIMULINK AND C6000 FAMILY KITS


In this section it is intended to know how to create and build a model that
simulates audio reverberation applied to an input signal. A model is built then
transformed to ―c‖ automatically.
Choose to create the Simulink model for blocks in Signal Processing Blockset and
Simulink block libraries, or you can find the model in Target for TI C6000 demos.
For example a small model an ADC it will be used and it need to run this model a
microphone connected to the Mic In connector on your C6416 DSK, and speakers
and headphone connected to the headphone connector on the C6416 DSK
To test the model, speak into the microphone and listen to the output from the
speakers. And observe the output.
To download and run your model on your C6713 DSK, complete the following
tasks:
1. Use Simulink blocks, Signal Processing Blockset blocks, and blocks from other
blocksets to create the model application.
2. Add Target for TI C6000 blocks that let your signal sources and output devices
communicate with the C6416DSK
3. Add the C6416DSK target preferences block from the Target Preferences library
to the model. Verify and set the block parameters for the hardware. In most cases,
the default settings work fine.
4. Set the configuration parameters for the model.
5. Build the model to the selected target.
6. Test the model.

7.5.1 Building the Simulink Model


To build the model for audio reverberation, follow these steps:
1. Start Simulink Matlab 2006b.
2. Create a new model by selecting File > New > Model from the Simulink
menu bar.
3. Use Simulink blocks and Embedded target for Ti C6000 as in fig (7.19)
to create these model in fig (7.20), By Entering C6416dsklib at the
MATLAB prompt opens this window showing
the library blocks. This block library is included in Target for TI C6000
c6000lib blockset in the Simulink Library browser. Drag and drop C6716
DSK ADC and C6716 DSK DAC blocks to the model.

521
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (7.19)

Fig (7.20)

4. Save your model with a suitable name before continuing.


5. Adding C6416 DSK Blocks to the Model as in fig (7.21) So that you can
send signals to your C6416 DSK and get signals back from the board,
Target for TI C6000 includes a block library containing five blocks
designed to work with the codec on the C6416 DSK:
 Input block (C6416 DSK ADC)
 Output block (C6416DSK DAC)
 Light emitting diode block (C6416DSK LED)

522
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Software reset block (Reset C6416 DSK)


 DIP switch block (C6416 DSK DIP Switch)

To add C6716 DSK target blocks to your model, follow these steps:
 Double-click Target for TI C6000 in the Simulink Library browser to open the c6000lib
blockset.
 Click the library C6000 target preference DSK Board

Fig (7.21)

6. The C6416 DSK ADC and C6416 DSK DAC blocks generate code that
configures the codec on your C6416 DSK to accept input signals from the
input connectors on the board, and send the model output to the output
connector on the board. Essentially, the C6416 DSK ADC and C6416
DSK DAC blocks.

7.5.2 Configuring Target for TI C6000 Blocks


To configure Target for TI C6000 blocks in your model, follow these steps:
1. Click the C6716 DSK ADC block to select it.
2. Select Block Parameters from the Simulink Edit menu.
3. Set the following parameters for the block:

523
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Clear the Stereo check box.


 Select the +20 dB mic gain boost check box.
 For Output data type, select Double from the list.
 Set Scaling to Normalize.
 Set Source gain to 0.0.
 Enter 64 for Samples per frame.
 For C6416 DSK ADC source, select Mic In.

7.5.3 Generating Code:


To generate code from the Simulink Model follow this steps:
1. Chose simulation →configuration parameters →Real Time Workshop
→ Chick the box of generate code as shown in fig(7.22)

Fig(7.22)

7.5.4 Simple successful communication system Simulink Model:


Here the system with Simulink in fig (7.23) the block in the system as follows
 ADC & DAC
 Modulation (BPSK) & Demodulation.
 Randmizer & Derandmizer.
 FFT & IFFT.

524
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure(7.23) Simple Successful communication system SIMULINK model

7.4.6 Limitations using SIMULINK models


 Some blocks from communication toolbox don‘t work (like modulation …..).
 SIMULINK doesn‘t support Viterbi coprocessor that must be used to implement Viterbi
algorithm (to save processor clock cycle and memory space).
 Flexibility of each block is limited.
 The MATLAB which comes with kit seems to be incompatible with the code composer.

525
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6 WiMAX IMPLEMENTATION USING TMS320C6416


7.6.1 Introduction
In this section the implementation of the WiMAX Uplink transmitter and receiver is presented
the section starts with presenting the intended system to be implemented , the section proceeds to
complete explanation of each block including a flowchart of the block to show how it is
implemented ,the facilities and options introduced in the block , the problems which we faced
and how it is solved and the Run outputs .The last part is the total implemented WiMAX system ,
applications of this system and Future upgrades.

7.6.2 Implemented WiMAX system block diagram


It was intended to implement the following block diagram

7.6.2.1 Transmitter of the Uplink of MS:-

source
Mic randomizer conv. coder
coding

cp and
pilots ifft mapping interleaver
insertion

Figure (7.24) Transmitter of the WiMAX uplink

526
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.2.2 Receiver of the Uplink MS

pilots and
cyclic prefix fft demapping deinterleaver
extraction

source VITERBI
headphone derandomizer
decoding DECODER

Figure (7.25) Receiver of the WiMAX uplink


Where the blue blocks represents the implemented blocks using SIMULINK and ―C‖
language and the Gray ones represents the implemented blocks using ―C‖ only.

7.6.3 Analog to digital converter and digital to analog converter


7.6.3.1 Introduction
The analog to digital converter is used to convert the analog input (here the audio signal) to
digital bits to be on a suitable form of processing on the kit.
Also the digital to analog converter is used to convert the digital output back to analog audio
output.
The TLV320AIC23B inherent CODEC which was previously pointed out is used to implement
the A/D and the D/A.

7.5.3.2 Implementation methodology


The ADC and DAC is implemented by two different ways the first by the SIMULINK as shown
before and the other method is by ―C language‖ programming .The ―C‖ program is got from the
DSK examples which comes with the kit

527
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Flowchart:

Figure (7.26) ADC & DAC flowchart


The header file "dsk6713_aic23.h" must be included in the project and then adjust the
sample rate of the sampler to 8Ksample/s Uint32 fs=DSK6416_AIC23_FREQ_8KHZ
The program will enter an infinite loop that call an interrupt service routine that input a sample
then outputs it using the instructions input_sample() and
output_sample(sample_data)that is defined in "dsk6713_aic23.h"header file

7.6.3.3 Facilities of the implemented block


 Ability of changing the sample rate of any supported sample rate as previously shown.
 Ability to introduce a specified delay in the interrupt service routine.
 Interrupt based block not polling based which satisfy self synchronization with the input
signal.

7.6.3.4 Problems faced


 The header file is available for the C6713 DSP and not for C6416.
 The generated ―C‖ code using SIMULINK is very difficult to add our PHY layer in
between.

528
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.4 Randomizer and the Derandomizer


7.6.4.1 Background
As pointed out in the WiMAX chapter the randomizer prevents the long sequences of ones and
zeros to ease the synchronization process and decrease the DC component.
The Randomizer begins with padding zeros to complete the input data frame DataLength to a
certain fixed frame length fixeddatalength.Then the randomizer stores the padded frame in
register (here it is an array) then the randomizer XORs last two bits in the synchronization
pattern Fixeddata1 the output is then XORed with the input data then it rotates right the
synchronization pattern for the incoming bits and repeats for the fixeddatalength.
The Derandomizer do the same steps but in the reverse order (i.e shift-XOR then

7.6.4.2 Implementation methodology


The Randomizer performs the following
 ―for‖ loop on the length of the fixeddatalength and then it checks the index of the
loop and if the index is bigger than the DataLength it pads zeros(i.e. stores zero in the
array Outputpadding.
 Then another ―for‖ loop of the same length to XOR the last two bits and then XORs the
output with the input data.

a =(Fixeddata1[randfixedlengt1]^Fixeddata1[randfixedlength-
2]);
OutputRand[i] = (Outputpadding[i]^a);

o An internal loop is responsible for rotating right the synchronization sequence

Fixeddata1[randfixedlength-1-j]=Fixeddata1[randfixedlength-
2-j];

The Derandomizer do:


 The same steps will be done but the depadding will proceed the shift-XOR procedure

529
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Flowchart:

(a) (b)
Figure (7.27) Randomizer and Derandomizer flowchart
(a) Randomizer (b) derandomizer

530
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.4.3 Facilities of the implemented block


 Ability to enter input data by any length (because of padding).
 Ability of changing the Fixed data length by changing the global variable of fixed
length value in the beginning of the program.
 Ability of changing the synchronization pattern .

7.6.4.4 Simulation results ,kit outputs


Randomizer as in WiMAX system xor with fixed data {1 0 0 1 0 1 0 1 0 0 0 0 0 0 0}
The output would be as following:

(a) Using Visual C++

Figure(7.28) visual C++ simulation for randomizer and Derandomizer

531
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

(b) Using Code composer

______________________________________________________________________________
_______
Figure(7.29) Code composer output for randomizer and Derandomizer

532
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.5 Covolutional Coding


7.6.5.1 Background
Convolutional encoder can be thought of as a delay line with (𝐾 − 1) elements. Parameter 𝐾 is
referred to as constraint length. Input to the delay line is a binary information sequence 𝑢𝑛 of
length N. The sequence is shifted through a delay line, one bit at a time. For each input bit 𝑢𝑛 ,
there are 𝑅 = 1/𝑟 output bits 𝑥1𝑛 , 𝑥2𝑛 , 𝑥3𝑛 … … … 𝑥𝑅𝑛 . Parameter 𝑟 is referred to as the code
rate. The output is formed by adding (modulo 2) outputs of delay line elements, according to
binary polynomials
𝐺1 = 𝑔11 , 𝑔12 , … , 𝑔1𝑘 ; 𝐺2 = 𝑔21 , 𝑔22 , … , 𝑔2𝑘 ; 𝐺𝑅 = 𝑔𝑅1 , 𝑔𝑅2 , … , 𝑔𝑅𝑘
The polynomials are usually specified in octal notation. In addition, by appending (𝐾 − 1) zeros
(tail bits) at the end of the N-bit input sequence, it is also ensured that the final state is the all-
zero state.

_________________________________________________________________
Fig (7.30) K=9, R=1/2 Convolutional Encoder

7.6.5.2 Implementation methodology


The Flowchart of the program is shown in Fig (7.31)

Program Description :
The program is divided into three stages:
 The first stage: is to input the generating polynomial and convert it to binary to get the
connected register.
 The second stage: is entering the input to register bit by bit to calculate the output of
the convolutional coding instantaneously.
 The third stage: is XOR the connected register and get the output and concatenate
them to get the frame.

533
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

_____________________________________________________________________________
Fig (7.31) Convolutional Encoder Flowchart

7.6.5.3 Facilities of the implemented block


 Ability to change the data length of the input.
 Ability to change the generator polynomials.
534
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 Ability to change the constraint length.


 Ability to change the rate.

7.6.5.4 Simulation results using C++:


Convolutional encoder of WiMAX (1/2,7,133,171)

Figure (7.32) Simulation results of the convolutional encoder

7.6.6 Viterbi Coprocessor (VCP)


7.6.6.1 Introduction
Viterbi Coprocessor (VCP) is a programmable peripheral for decoding of convolutional codes,
integrated into Texas Instruments‘ TMS320C6416 DSP device. The inputs into the coprocessor
are 7-bit branch metrics, obtained by combining channel soft decisions. The outputs are bit-
packed hard decisions, or 16-bit soft decisions. The VCP also computes the Yamamoto bit.
 VCP programmable parameters are:
o Constraint length K ( 5, 6, 7, 8 or 9)
o Code rate (1/2, 1/3 or 1/4)
o Polynomials
o Frame length and termination (with or without tail bits)
o Initial conditions for state metric computation
o Threshold for Yamamoto bit generation

7.6.6.2 Background on Viterbi Decoding Algorithm


Viterbi algorithm is an efficient implementation of a maximum likelihood sequence detector. It
produces the most likely transmitted sequence Un.est , given received noisy sequence yn .

535
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The most likely sequence is found by traversing (in forward and backward directions) a trellis
whose structure is determined by convolutional code parameters. An example of a trellis for K=5
is shown in Fig (1.3).
The trellis consists of nodes (states) that are connected by branches. The total number of stages
in the trellis, for a terminated frame, is (N+K−1), i.e., it represents the length N of the input data
sequence, followed by (K−1) tail bits. At each stage, there are 2(K−1) states. The state is the
decimal representation of the contents of encoder‘s memory elements. Two branches are
originated in each state (corresponding to binary inputs un = 0 and un = 1), and two branches
are terminated in each state. Each branch is labeled with 1-bit input label (―0‖ or ―1‖), and R-bit
output label.
The entire trellis can be constructed from Viterbi butterflies, a structure consisting of two states
at stage n, connected by two branches each to two states at stage n+1. One such butterfly is
highlighted in Fig (7.33).

Index [𝑛]
Fig (7.33), Trellis for a K=5 Convolutional Code
Index [𝑘]

The main steps in the Viterbi algorithm are described in the following sections.

7.6.6.3 Branch Metrics Computation


Associated with each branch in the trellis is a branch metric. The branch metric is a measure of
how ―close‖ the received noisy values yn = y1n , y2n , … … yRn are to the output branch
label o = {o1 , o2 , … … oR }.
Branch metric BM is computed as a Euclidean distance between the received noisy sample and
branch label. This expression can be simplified as follows:
Assuming BSPK modulated bits (0 → 1, 1 → −1), the branch metrics are calculated as
follows:
 Rate 1/2: there are 2 branch metrics per symbol period
𝐵𝑀0 𝑡 = 𝑦0 𝑡 + 𝑦1 (𝑡)

536
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

𝐵𝑀1 𝑡 = 𝑦0 𝑡 − 𝑦1 (𝑡) Eqn (7.1)


 Rate 1/3: there are 4 branch metrics per symbol period
𝐵𝑀0 𝑡 = 𝑦0 𝑡 + 𝑦1 𝑡 + 𝑦2 (𝑡)
𝐵𝑀1 𝑡 = 𝑦0 𝑡 + 𝑦1 𝑡 − 𝑦2 𝑡
𝐵𝑀2 𝑡 = 𝑦0 𝑡 − 𝑦1 𝑡 + 𝑦2 𝑡
𝐵𝑀3 𝑡 = 𝑦0 𝑡 − 𝑦1 𝑡 − 𝑦2 (𝑡) Eqn (7.2)

 Rate 1/4: there are 8 branch metrics per symbol period


𝐵𝑀0 𝑡 = 𝑦0 𝑡 + 𝑦1 𝑡 + 𝑦2 𝑡 + 𝑦3 (𝑡)
𝐵𝑀1 𝑡 = 𝑦0 𝑡 + 𝑦1 𝑡 + 𝑦2 𝑡 − 𝑦3 (𝑡)
𝐵𝑀2 𝑡 = 𝑦0 𝑡 + 𝑦1 𝑡 − 𝑦2 𝑡 + 𝑦3 (𝑡)
𝐵𝑀3 𝑡 = 𝑦0 𝑡 + 𝑦1 𝑡 − 𝑦2 𝑡 − 𝑦3 (𝑡)
𝐵𝑀4 𝑡 = 𝑦0 𝑡 − 𝑦1 𝑡 + 𝑦2 𝑡 + 𝑦3 (𝑡)
𝐵𝑀5 𝑡 = 𝑦0 𝑡 − 𝑦1 𝑡 + 𝑦2 𝑡 − 𝑦3 (𝑡)
𝐵𝑀6 𝑡 = 𝑦0 𝑡 − 𝑦1 𝑡 − 𝑦2 𝑡 + 𝑦3 (𝑡)
𝐵𝑀7 𝑡 = 𝑦0 𝑡 − 𝑦1 𝑡 − 𝑦2 𝑡 − 𝑦3 (𝑡) Eqn (7.3)

7.6.6.4 State Metric Computation


The trellis is traversed in the forward direction in order to accumulate branch metrics along
paths through the trellis.
Viterbi algorithm is based on the fact that it is sufficient to accumulate state metrics 𝑠𝑚 𝑘 ,
𝑘 = 0, . . . , 2𝐾−1 − 1. We have seen that two branches (corresponding to two paths) merge in
each state. At each state, the path with the larger accumulated metric is chosen as the survivor
and the other path is discarded. The path metric associated with the survivor path becomes state
metric for the state and stage in which the two paths have merged. The process of accumulating
path metrics and selecting the survivor is graphically represented in Fig (7.34).

Fig (7.34) State Metric Accumulation

As will be seen in the next section, it is necessary to ―remember‖ the input label of the branch
belonging to the survivor path. This information is referred to as transition bit and is denoted as
𝑡𝑟𝑎𝑛𝑠𝑖𝑡𝑖𝑜𝑛 [𝑘][𝑛] in Fig (7.34). Therefore, one transition bit per state per stage needs to be saved
for the next step in the algorithm.
At stage 0, state metrics need to be initialized. One of the choices is to initialize them all to
zero. However, in order to take advantage of the fact that the initial state is zero, the state 0 can
be ―favored‖ by giving it a higher initial metric than the remaining states. For example, state zero
could be initialized to 0 and remaining states to the smallest negative number.

537
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.6.5 Yamamoto Bit


In addition to the state metric 𝑠𝑚 𝑘 , associated with each state k is a Yamamoto bit 𝑌[𝑘]. The
idea behind the Yamamoto bit is to ―remember‖ if, at any stage in the trellis, the distance
between the survivor path and the discarded path was smaller than the Yamamoto threshold. If
this was the case, it is concluded that the decoding is not reliable and a higher layer in the
network may decide to discard the entire frame.

7.6.6.6 Traceback
At the start of the traceback, we first exploit the fact that the encoder terminates in state zero.
The traceback therefore starts from state 0 at the last trellis stage, i.e., stage (𝑁 + 𝐾 − 1). We
then exploit the transition bits saved during state metric accumulation process. The transition bit
associated with state 0 at stage (𝑁 + 𝐾 − 2), denoted 𝑡𝑟𝑎𝑛𝑠𝑖𝑡𝑖𝑜𝑛 [0][𝑁 + 𝐾 − 1] , gives
information on the origin for the path which terminated in state 0 at stage (𝑁 + 𝐾 − 1). If the
transition bit is 0, the origin is state 0 at stage (𝑁 + 𝐾 − 1), otherwise the origin is state 1 (see
Fig (7.35)).
By following the transition bits while traversing the trellis in the backward direction, we are
effectively choosing the overall survivor path that corresponds to a particular input sequence.
The sequence of input labels of branches along the survivor path is the decoded maximum likely
sequence. In, the decoded sequence is Un.est = 0,1,1,1 . The last four zeros in the path are
tail bits and are not part of the information frame.

Fig (7.35), Example of Survivor Path and Associated Decoded Sequence

7.6.6.7 Sliding Window Processing


As seen in 7.6.6.4, during state metric accumulation, the transition bits for all states and all
stages need to be saved in order to perform traceback. In order to reduce storage requirements,
Viterbi decoding can be split into blocks, which are referred to as sliding windows.
The sliding window concept is shown in Fig (7.35). The state metric accumulation starts at
stage 0, and is performed continuously for the entire frame, over (N+K−1) stages. After state
metrics (and transition bits) have been accumulated for the first window W1 of (R+C) stages, the
traceback starts from the state which has the maximum accumulated metric at the last processed

538
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

stage. In order to improve reliability of the decisions, the decisions for last C stages will not be
used, only the first R. R is called reliability length, i.e., it is the portion of the window for which
the decoding is reliable. C is called convergence length, i.e., it is the portion of the window for
which the decoding is converging.

___________________________________________________________________________
Fig (7.35). Sliding Window Processing

After the state metrics have been accumulated for additional R stages, the traceback for the
second window starts from the state which has the maximum accumulated metric at the last
processed stage.
Since the last C stages from the first window were discarded, the reliability portion R of the
second window W2 overlaps with convergence portion of window W1.
If the overlap between windows is sufficiently large (Fourney‘s rule states that the overlap
should be up to 5*(K−1)), then there will be no noticeable degradation in the BER (Bit Error
Rate) performance of the algorithm.

7.6.6.8 VCP and the relation between Viterbi theory


7.6.6.8.1 Overview
The DSP controls the operation of the VCP Fig (7.36) using memory-mapped registers. The
DSP typically sends and receives data using synchronized EDMA transfers through the 64-bit
EDMA bus. The VCP sends two synchronization events to the EDMA: a receive event
(VCPREVT) and a transmit event (VCPXEVT).
The VCP input data corresponds to the branch metrics and the output data to the hard decisions
or soft decisions.

539
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (7.36), VCP Block Diagram

7.6.6.8.2 Input Data


The branch metrics (BM) are calculated by the DSP and stored in the DSP memory subsystem
as 7-bit signed values. Per symbol interval T, The data must be sent to the VCP as described in
Table (7.4), Table (7.5), and Table (7.6) for rates 1/2, 1/3, and 1/4, respectively (the base address
must be double-word aligned).
The branch metrics can be saved in the DSP memory subsystem in either their native format or
packed in words (user implementation).

Table(7.4). Branch Metrics for Rate 1/2


Data
MSB LSB
BM1 T BM0 T BM1 0 BM0 0
BM1 3T BM0 3T BM1 2T BM0 2T
BM1 5T BM0 5T BM1 4T BM0 4T
........ ........ ........ ........

540
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Table (7.5). Branch Metrics for Rate 1/3


Data
MSB LSB
BM3 0 BM2 0 BM1 0 BM0 0
BM3 T BM2 T BM1 T BM0 T
BM3 2T BM2 2T BM1 2T BM0 2T
........ ........ ........ ........

Table (7.6). Branch Metrics for Rate 1/4


Data
MSB LSB
BM3 0 BM2 0 BM1 0 BM0 0
BM7 0 BM6 0 BM5 0 BM4 0
BM3 T BM2 T BM1 T BM0 T
BM7 T BM6 T BM5 T BM4 T
........ ........ ........ ........

7.6.6.8.3Output Data
The VCP can be configured to send either hard decisions (a bit) or soft decisions (a 16-bit
value, 12-bit sign-extended) to the DSP after the decoding. Decisions ordering at the VCP output
depend on the programmed traceback mode and the VCPEND in case the DSP is set to work in
big-endian mode.
The decisions buffer start address must be double-word aligned and the buffer size must
contain an even number of 32-bit words.

7.6.6.8.4 Programmable VCP Parameters


We will describe the significance of programmable VCP parameters which affect VCP
algorithm. Those parameters are reviewed in Table (7.5).

7.6.6.8.5 VCP Parameters

7.6.6.8.5.1Generating POLY[0:3]
VCP supports single shift register, rate 1/2, 1/3 or 1/4 convolutional codes with constraint
length 5,6,7,8 and 9. Polynomials are programmable as 4x8-bit values (POLY[0:3]), representing
binary polynomial coefficients. The code rate and constraint length are not programmed directly,
but are computed inside the VCP based on polynomials. The polynomial generators are 9-bit
values defined as 𝐺(𝑧) = 𝑏8 𝑧 −8 + 𝑏7 𝑧 −7 + 𝑏6 𝑧 −6 + 𝑏5 𝑧 −5 + 𝑏4 𝑧 −4 + 𝑏3 𝑧 −3 +
−2 −1
𝑏2 𝑧 + 𝑏1 𝑧 + 𝑏0 , but only 8 bits are passed in the POLYn bit fields so that 𝑏1 is the most
significant bit and 𝑏8 the least significant bit (𝑏0 is not passed but set to 1 by the internal VCP
hardware).

541
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Table (7.5). Programmable VCP Parameters


Parameter Name Parameter Description Register Size (bits)
POLY[0:3] Encoder polynomials VCPIC0 4𝑥8
YAMEN Yamamoto bit computation enable bit VCPIC1 1
YAMT Yamamoto threshold VCPIC1 12
F Frame Length (excluding tail bits) VCPIC2 16
R Reliability length VCPIC2 16
C Convergence length VCPIC3 16
IMAXS Maximum state metric VCPIC4 12
IMINS Minimum state metric VCPIC4 12
TB Traceback mode (tailed, mixed, convergent) VCPIC5 2
IMAXI Maximum State Index VCPIC5 8
SDHD Soft decisions or hard decisions VCPIC5 1
SYMX Determines number of symbols transferred per VCPXEVT VCPIC5 4
SYMR Determines number of symbols transferred per VCPREVT VCPIC5 4
OUTF Output parameter read flag VCPIC5 1
VCPIC = ―VCP Input Configuration Register‖

7.6.6.8.5.2 Yamamoto Bit


As seen in 7.6.6.5, the computation of the Yamamoto bit requires a threshold, YAMT. The
threshold is input to the VCP on a per-frame basis. It is a 12-bit value. If Yamamoto bit
computation is enabled, i.e., YAMEN bit is set, the Yamamoto bit is reported for each frame in
VCP‘s output register VCPOUT1.

7.6.6.8.5.3 State Metrics

State Metric Accumulation

State metrics are accumulated modulo−212 (the size of accumulated state metric
registers is 12 bit). According to a literature result published in [1]―Viterbi Decoder
Coprocessor User’s Guide”, modulo−2C truncation of state metrics can be performed
without loss of decoding performance if the branch metrics satisfy the following bound:
2𝑐−1 − 1 ≥ 2 𝐾 − 1 + 2 𝐵
where K is constraint length and B is upper bound for branch metrics.
For example, for C=12 and K=9, the branch metric bound is B ≤ 113.7 which is slightly
smaller than the available 7-bit input range. Since branch metric is a combination of 1/r
soft decisions, assuming that soft decisions have the same upper bound, the

542
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

corresponding bound for soft decisions is 56.8 for rate 1/2, 37.9 for rate 1/3, and 28.4 for
rate 1/4 codes.

State Metric Initialization

At the beginning of each frame, state metrics are initialized in the following manner:
the state at index IMAXI (user input) is set to value IMAXS (user input). All other states
are set to value IMINS (user input). IMAXS and IMINS are 12-bit signed values.
Typically, initial state is known to be zero, IMAXI=0.

Traceback modes and Sliding Windows Processing


The hard-decision memory can store up to 32768 = 128 ∗ 256 traceback bits and there
are 2𝐾−1 bits stored at each trellis stage. Therefore, the hard-decision memory can store
decisions of 32768/2𝐾−1 symbols.
The soft-decision memory can store up to 8192 traceback soft values and, therefore,
contain up to 8192 = 32 ∗ 256 soft decisions of 8192/2𝐾−1 symbols.
Assume a terminated frame of length F (excluding tail bits) and a constraint length K, F
and K determine whether all decisions can be stored in the traceback memories. If all
decisions do not fit, then the traceback mode is set to mixed and the original frame is
segmented into sliding windows (SW); otherwise, the traceback mode is set to tailed and
no segmentation is required.
In case of a nonterminated frame or if you want to start decoding without waiting for the
end of the frame, the traceback mode should be set to convergent and the frame might have
to be segmented into sliding windows depending on whether the decisions will fit in the
traceback memories.

Tailed Traceback Mode

This mode is used when a full frame can reside within the coprocessor traceback memory
see Fig (7.37).
The state metrics are computed over F + K − 1 symbols, the traceback is initialized with
the tails state and executed over F + K − 1 symbols. It should be noted that only F
decisions are output. They are output in reverse order and in blocks of user-defined size.

Fig (7.37), Tailed Traceback


Mode

543
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Mixed Traceback Mode

This mode is used when the full frame does not fit into the coprocessor traceback
memory and the frame is terminated. The frame is split into sliding windows
see Fig (7.38).
The state metrics are computed over F + K − 1 symbols, the traceback is initialized with
the tails state and executed over F + K − 1 symbols. It should be noted that only F
decisions are output in blocks of user-defined size.
The state metrics computation of sliding window 𝑖 + 1 is done in parallel with the
traceback computation of sliding window 𝑖.
Tailed traceback type is used on the last sliding window.

Fig (7.38), Mixed Traceback Mode -- Example With Five


Sliding Windows
Convergent Traceback Mode

This mode is used with nonterminated frames or when you want to decode a portion of
the frame.
When the frame does not fit into the coprocessor traceback memory, then the frame is
split into sliding windows see Fig (7.39).
The state metrics are computed over F + C symbols, the traceback is initialized with the
tails state and executed over F + C symbols. It should be noted that only F decisions are
output in blocks of user-defined size.
The state metrics computation of sliding window 𝑖 + 1 is done in parallel with the
traceback computation of sliding window 𝑖.

544
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (7.39), Convergent Traceback Mode -- Example With Five


Sliding Windows

F, R, and C Limitations

In case of tailed mode, Frame processing does not need to be split into sliding windows if
the frame length (not including tail bits) observes bounds shown in Table (1.2.5).

Table (7.6). Maximum Frame Length for Non-Sliding Window Processing


Minimum Frame Length for Non-Sliding Window Processing
(TB = tailed)
Constraint Length K Hard Decision Soft Decision
K=9 120 24
K=8 217 49
K=7 378 90
K=6 635 155
K=5 2044 508

If the length of the Frame to be decoded does not satisfy bounds from Table (7.6), sliding
window processing is used, and reliability length R and convergence length C need to be
programmed. Some restrictions apply to the selection of R and C and are listed in Table (7.7).
Note that, for soft decisions, the only choices of C are 3*(K−1) and 6*(K−1), and R is fixed
given the constraint length K.
The correct operation of VCP is not guaranteed if these conditions on R and C are not
satisfied.

545
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Table (7.7). Hard Decisions and Soft Decisions with Mixed/Convergent Modes
Hard Decisions Soft Decisions
Traceback mode Traceback mode
Tailed Mixed * / Convergent Tailed Mixed / Convergent
Fmax R+C C possible values Fmax R, C = 3(K-1) R, C = 6(K-1)
( non-punctured code ) ( punctured code )
K=9 120 124 3,6,9,12,15 * (K-1) 24 R=4,C=24 Not allowed
K=8 217 217 3,6,9,12,15,18 * (K-1) 49 R=28,C=21 R=7,C=42
K=7 378 372 3,6,9,12,15,18 * (K-1) 90 R=60,C=18 R=54,C=36
K=6 635 605 3,6,9,12,15,18 * (K-1) 155 R=60,C=15 R=60,C=30
K=5 2044 1020 3,6,9,12,15,18 * (K-1) 508 R=60,C=12 R=60,C=24
* Mixed mode is not allowed for frame sizes that can be handled in tailed mode

Note: Additional configurations that are valid for F, R, and C are R=192, C=96, Rate=1/3,
K=7, Convergent mode, Hard decision, and Frame lengths = 278, 310, 342, 358, 480, 482, 486,
624, 626, 768, 770, and 802.

7.6.6.9 VCP Programming Procedure


This section outlines steps required to decode a single frame of data using the VCP. For
possible approaches to decoding of multiple frames of the same or different user channels,

7.6.6.9.1 Initialize Input Buffers


The user computes branch metrics and stores them in DSP internal or external memory. For a
terminated frame with F information bits, and code with constraint length K, the total number of
symbols is 𝑁𝑡𝑜𝑡 = 𝐹 + 𝐾 − 1. For non-terminated frame, i.e., no tail bits, the total number of
input symbols is 𝑁𝑡𝑜𝑡 = 𝐹.
For rate r, constraint length K code, there will be 𝑁𝑡𝑜𝑦 ∗ (21/(𝑟−1) ) 7-bit branch metrics.
Branch metrics are organized.
The DSP memory address of the beginning of the pre-computed branch metrics array will be
referred to as &BM[0]. The beginning of the branch metric array should be aligned on a 64-bit
boundary.

7.6.6.9.2Allocate Output Buffers


Hard decisions are transferred from the VCP in 64-bit words, stored in a bit-packed manner.
Therefore, for a frame with F information bits, the size of the allocated output buffer should be
ceil [F/64]*8 bytes.
Soft decisions are transferred from the VCP also in 64-bit words, but each soft decision is 16
bits. Therefore, for a frame with F information bits, the size of the allocated output buffer should
be ceil[F/4]*8 bytes.
If the output parameter read flag is set (OUTF=1), two additional 64-bit words should be
allocated for the output parameter word.

546
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

The DSP memory addresses of the beginning of the allocated buffers for VCP decisions and
output parameters will be referred to as &sdhd[0] and &output_p[0], respectively. All buffers
should be aligned on a 64-bit boundary.

7.6.6.9.3 Prepare VCP Input Configuration Word


For each frame, VCP input configuration registers VCPIC0−VCPIC5 are programmed. The
register configuration is first prepared in the DSP memory (internal or external). It is transferred
to the VCP via EDMA once the VCP is started. The DSP memory address of the beginning of
the prepared input configuration is denoted &input_config[0].

7.6.6.9.4 Prepare EDMA Links


The VCP requires setting up the following context per user channel:
 3 to 4 EDMA parameters, see Table (7.8)
 The input configurations parameters
Several user channels can be programmed prior to starting the VCP. A suggested
implementation is to use the EDMA interrupt generation capabilities ―see the
TMS320C6000 DSP Enhanced Direct Memory Access (EDMA) Controller Reference Guide,
SPRU234” and program the EDMA to generate an interrupt after the user channel‘s last
VCPREVT synchronized EDMA transfer has completed.

Table (7.8). Required EDMA Links Per User Channel


Direction* Data Usage Required/Option
al
Transmit Input Send the input configuration Required
configuration Parameters
parameters
Transmit Branch metrics Send branch metrics Required
Receive Decisions Read decisions Required
Receive Output parameters Read output parameters Optional (OUTF
bit)
* Transmit direction (DSP−>VCP), receive direction (VCP−>DSP)

7.6.6.9.5 EDMA Resources

7.6.6.9.5.1VCP Dedicated EDMA Resources


Within the available 64 EDMA channel event sources, two are assigned to the
VCP: event 28 and event 29.
 Event 28 is associated to the VCP receive event (VCPREVT) and is used as the
synchronization event for EDMA transfers from the VCP to the DSP (receive). EDMA
channel 28 is primarily intended to serve VCP to DSP transfers.
 Event 29 is associated to the VCP transmit event (VCPXEVT) and is used as the
synchronization event for EDMA transfers from the DSP to the VCP (transmit).
EDMA channel 29 is primarily intended to serve DSP to VCP transfers.

547
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.6.9.5.2 Special VCP EDMA Programming Considerations


The EDMA parameter consists of six words as shown in Fig (7.40). All EDMA transfers, in
the context of the VCP, must be done using 32-bit word elements, must contain an even number
of words, and have source and destination addresses double-word aligned.
All EDMA transfers must be double-word aligned and the element count for the VCP EDMA
transfer must be a multiple of 2. Single-word transfers that are not double-word aligned cause
errors in TCP/VCP memory.
For more information, ―see the TMS320C6000 DSP Enhanced Direct Memory Access
(EDMA) Controller Reference Guide (SPRU234)‖.

___________________________________________________________________________
Figure (7.40) EDMA parameters

7.6.6.9.5.3 Event Generation

VCPXEVT Generation

A VCP transmit event (VCPXEVT) is generated when any of the following conditions
appears:
 A START command write in VCPEXE.
 All input control words have been received and are correct.

548
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

 One half (BOTTOM HALF or TOP HALF) of the input FIFO buffer is empty.
 OUTF bit in VCPIC5 is 0 and the traceback is completed.
 OUTF bit in VCPIC5 is 1 and the all the decisions have been read.

VCPREVT Generation

A VCP receive event (VCPREVT) is generated when any of the following conditions
appears:
 The traceback unit has written one half (BOTTOM HALF or TOP HALF) of the
output FIFO buffer.
 OUTF bit in VCPIC5 is 0 and the traceback is completed (the whole frame has been
decoded).
 OUTF bit in VCPIC5 is 1 and all decisions have been read.

7.6.6.9.5.4 Operational Modes

Start

To start the VCP, the START command must be written in VCPEXE. Writing a START
stops any ongoing activity, generates a VCPXEVT, and the VCP waits for input control
parameters.

Stop

To stop the VCP, the STOP command must be written in VCPEXE. The VCP stops any
ongoing activity and goes into an idle state (VCPSTAT0 = 0).

Pause

To pause the VCP, the PAUSE command must be written in VCPEXE. Writing a PAUSE
pauses the processing unit. Any ongoing EDMA transfer runs to completion but no
subsequent event is generated. The PAUSE command is acknowledged by setting the PAUS
bit in VCPSTAT0 to 1.

Unpause

To unpause the VCP, the UNPAUSE command must be written in VCPEXE. Writing an
UNPAUSE unpauses the processing unit. Any event to be generated is generated. The
UNPAUSE command is acknowledged by clearing the PAUS bit in VCPSTAT0 to 0.

549
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.6.10 Viterbi Function


7.6.6.10.1 Main Viterbi Function

Flowchart Diagram
In figure (7.41) the Viterbi main function is introduced

Fig (7.41), Viterbi Function


Flowchart

550
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Program Description

As we see in the Flowchart the Viterbi Function has two input arrays (as Call by reference
function), this two inputs is ―Input Coded Data‖ and ―Output Decoded Data‖ in our system the
Viterbi Function will be in receiver after DeInterlever function so the input to Viterbi Function
will be the ―OutDeInter‖ array, Viterbi Function is divided into three stages.
 The First Stage: in Viterbi Function is Calculate the Branch metric from the binary
input data according to specific rate and rearranges it as describe Table (1.2.1),
Table (1.2.2), and Table (1.2.3)
 The Second Stage: is to use the VCP to decode the Coded Data and this happen in
three steps:
i. Configure the VCP_parameter.
ii. Use this parameter to get the VCPICs.
iii. Submit EDMA.
iv. START the VCP.
 The Third Stage: is to convert the output data from its Decimal format to binary and
save it in ―OutViterbi‖ array.

7.6.6.10.2 Branch Metric Function

Flowchart Diagram
The flowchart of the branch metric function is shown in Fig (7.42)

Program Description

As shown in the flowchart the Branch metric program is divided into 2 stages:
o The First stage: is to convert the input binary from (0 →1) and (1→ -1) the idea behind
the branch metric calculation is to make the Viterbi decoder received the noise signal and
make it take the decision for the received signal, but because we use hard decision
receiver so we use the hard decision modulator so we convert the input to viterbi to (1,-
1).
o The second stage: is to calculate the Branch metric according to the equation which
explains it before in section 7.6.6.3 with the specific rate.
o The third stage: is to rearrange the branch metric to align it to Int32 instead of Int8.

551
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Fig (7.42), Branch Metric Function


Flowchart
552
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.6.10.3 Configure VCP and start decoding Function


There are three types to configure the VCP
VCP_BaseParams: Structure used to set basic VSCP Parameters
Structure: VCP_BaseParams

Members: VCP_Rate rate; Code rate


Uint8 constLen; Constraint Length
Uint16 frameLen; Frame Length
Uint16 yamTh; Yamamoto Threshold
Uint8 stateNum; State Index
Uint8 decision; Hard/soft Decision
Uint8 readFlag; Output Parameter Read flag

Description: This is the VCP base parameters structure used to set up the VCP
programmable parameters. You create the object and pass it to the
VCP_genParams() function which returns the VCP_Params structure. See
the VCP_genParams() function.

Example:
VCP_BaseParams vcpBaseParam0 = {
3, /* Rate */
9, /*Constraint Length (K=5,6,7,8, OR 9)*/
81, /*Frame Length (FL) */
0, /*Yamamoto Threshold (YAMT)*/
0, /*Stat Index to set to IMAXS (IMAXI) */
0, /*Output Hard Decision Type */
0 /*Output Parameters Read Flag */
};
VCP_genParams(&vcpBaseParam0, &vcpParam0);

553
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

VCP_ConfigIc: Structure containing the IC register values

Structure: typedef struct {


Uint32 ic0;
Uint32 ic1;
Uint32 ic2;
Uint32 ic3;
Uint32 ic4;
Uint32 ic5;
} VCP_ConfigIc;

Members: ic0 Input Configuration word 0 value


ic1 Input Configuration word 1 value
ic2 Input Configuration word 2 value
ic3 Input Configuration word 3 value
ic4 Input Configuration word 4 value
ic5 Input Configuration word 5 value

Description: This is the VCP input configuration structure that holds all of the
configuration values that are to be transferred to the VCP via the EDMA.
Though using the EDMA is highly recommended, the values can be
written to the VCP using the CPU with the VCP_icConfig() function.

554
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

VCP_Params: Structure containing all channel characteristics


Structure: typedef struct {
VCP_Rate rate;
Uint32 constLen;
Uint32 poly0;
Uint32 poly1;
Uint32 poly2;
Uint32 poly3;
Uint32 yamTh;
Uint32 frameLen;
Uint32 relLen;
Uint32 convDist;
Uint32 maxSm;
Uint32 minSm;
Uint32 stateNum;
Uint32 bmBuffLen;
Uint32 decBuffLen;
Uint32 traceBack;
Uint32 readFlag;
Uint32 decision;
Uint32 numBranchMetrics;
Uint32 numDecisions;
} VCP_Params;
Members: rate The rate: 1/2, 1/3, 1/4
The available constants are:
VCP_RATE_1_2
VCP_RATE_1_3
VCP_RATE_1_4
constLen Constraint length
poly0 Polynomial 0
poly1 Polynomial 1
poly2 Polynomial 2
poly3 Polynomial 3
yamTh Yamamoto Threshold value
frameLen The number of symbols in a frame
relLen Reliability length
convDist Convergence distance
maxSm Maximum initial state metric
minSm Minimum initial state metric
stateNum State index set to the maximum initial state metric
bmBuffLen Branch metrics buffer length in input FIFO
decBuffLen Decisions buffer length in output FIFO
traceBack Traceback mode
The available constants are:
VCP_TRACEBACK_NONE
VCP_TRACEBACK_TAILED
VCP_TRACEBACK_MIXED
VCP_TRACEBACK_CONVERGENT
readFlag Output parameters read flag
decision Decision selection: hard or soft
The following constants are available:
VCP_DECISION_HARD
VCP_DECISION_SOFT
numBranchMetrics Number of branch metrics per event
numDecisions Number of decisions words per event

Description: This is the VCP parameters structure that holds all of the information concerning the user channel.
These values are used to generate the appropriate input configuration values for the VCP and to program
the EDMA.

555
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Example:
extern VCP_Params *params;
VCP_ConfigIc *config;
...
VCP_genIc(params, config);

In our program we use the last method to configure our viterbi function and the configuration
is:
VCP_Params vcpParameters = {
VCP_RATE_1_2, /* rate */
9, /* constLen */
113, /* poly0 */
235, /* poly1 */
0, /* poly2 */
0, /* poly3 */
0, /* yamTh */
88, /* frameLen */
0, /* relLen */
24, /* convDist */
250, /* maxSm */
0, /* minSm */
0, /* stateNum */
8, /* bmBuffLen */
16, /* decBuffLen */
1, /* traceBack */
1, /* readFlag */
0, /* decision */
0, /* numBranchMetrics */
0, /* numDecisions */

};
After setting the configuration of VCP we use the submit EDMA function to
void submitEdma(VCP_UserData *userData, VCP_Params *vcpParameters,Uint32
**decisions, Uint32 **outParms, Uint32 *numDec);
To configure the VCP and send the Branch Metric which we calculate and then START VCP
and wait until finish.

7.6.6.10.4 Dec2Bin Function


The last function we use is ―Dec2Bin‖ function this function used to convert the output
decimal format to binary to continue the system.

556
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Flowchart Diagram

Fig (7.43), Branch Metric Function


Flowchart

557
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Program Description

This function is divided into 4 stages as shown Flowchart


 The First stage: is to initialize the two loops which used two convert from decimal to
binary.
 The second stage: is to check the LSB which 0 or 1, we do this using AND operator
with the input.
 The Third stage: is to check if we finish a word or not.
 The Fourth stage: is to check if we finish a Frame or not.

7.6.6.11 General Problems and notes


i. The generating POLY which we write in VCP configuration is written in a decimal
format not octal format as we used to write.
ii. If the Constraint length is ―K=9‖ you must take care that the MSB ―the bit number 9‖ is
set for default and when you write the PLOY you must write the equivalent decimal
format with the remaining 8 bit.
iii. When you send the branch metric array to VCP you may first change the pointer of
branch metric array from Int32 to Int8.

7.6.6.11 Kit outputs for convolutional coding and Viterbi decoder


Convolutional encoder &Vitrebi of WiMAX (1/2,7,133,171)

558
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure (7.44) Conv.coding and Viterbi decoder output

559
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.7 Interleaver and Deinterleaver


7.6.7.1 Background
As pointed out in the WiMAX chapter the interleaver reorders the output bits that is outputted
from the convolutional encoder this will prevent burst errors and produce a frequency diversity
which will increase the reliability of the Viterbi decoder which has a very bad performance in
presence of burst errors.
The Interleaver reorders the bits in two levels
 The first step ensures that the adjacent coded bits are mapped onto nonadjacent
subcarriers, which provides frequency diversity and improves the performance of the
decoder.
𝑁 𝑘
o 𝑚𝑘 = 𝑐 𝑘 𝑚𝑜𝑑 𝑑 + 𝑓𝑙𝑜𝑜𝑟 Eqn (1.4)
𝑑 𝑑
 The second step ensures that adjacent bits are alternately mapped to less and more
significant bits of the modulation constellation

𝑚𝑘 𝑑.𝑚 𝑘
o 𝑗𝑘 = 𝑠. 𝑓𝑙𝑜𝑜𝑟 + 𝑚𝑘 + 𝑁𝑐 − 𝑓𝑙𝑜𝑜𝑟 𝑚𝑜𝑑(𝑑) Eqn(1.5)
𝑠 𝑁𝑐

Where mk: index after first level interleaver.


jk :index after second level interleaver.
Nc: frame length.
k: original frame index.
d: arbitrary parameter=16.
S:no. of bits/symbol .
The Deinterleaver performs the following:
The Deinterleaver reverses the interleaver effect by applying the following two equations

𝑗 𝑑.𝑗
o 𝑚𝑗 = 𝑠. 𝑓𝑙𝑜𝑜𝑟 + 𝑗 + 𝑓𝑙𝑜𝑜𝑟 𝑚𝑜𝑑 (𝑑) Eqn(1.6)
𝑠 𝑁𝑐

𝑑.𝑚 𝑗
o 𝑘𝑗 = 𝑑𝑚𝑗 − 𝑁𝑐 − 1 . 𝑓𝑙𝑜𝑜𝑟 Eqn(1.7)
𝑁𝑐
Where mj: index after second level deinterleaver
Kj: original index.

7.6.7.2 Implementation methodology

560
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

_______
_______
_______
_______
_______
_______
_______
_______
____________________________
Figure (7.45) Interleaver and deinterleaver flowchart

Program description
 The program begins with definitions of constants N c,s,d,….. .
Nc=ConvLenght*RATE;
d=16;
s=6/2;
 Then the program performs a for loop that have the length of N c .
 The index is substituted in the two programs to get the interleaved index.
temp=(k/d);
mk=(Nc/d)*(k%d)+temp;
temp=(mk/s);
temp1=(d*mk)/Nc;
jk[k]=(s*temp)+((mk+Nc-(temp1))%s);
 Then the bits is arranged by the new indices

The Deinterleaver is the same but the two equations are different.

561
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.7.3 Facilities of the implemented block


 Ability to accept any frame length such that it is multiple of 16.
 This is the real WiMAX interleaver not the well known block interleaver.

7.6.7.4 Problems faced in implementation


 The equations of the interleaver has a high computation which cause the processor to stop
when the interleaver is introduced to WiMAX system and the input frame length is 192
bit.
 Solution: is to decrease the frame length to 96 bit only.
 The frame length must be multiples of 16.
 Although the ceil and floor function requires special header a small trick is introduced to
solve the problem
o Integer/integer=integer (floor).
o And the operator % represents mod function.
o Temporary variables are introduced.
 To complete optimization of the interleaver block the following steps are achieved:
o Temporary variables are deleted to save the memory.
o Shift right by 4 is introduced to represent division by 16 which will decrease the
processing to 1 clock cycle instead of 16 clock cycle.
o Mod is replaced by ANDing with 15 (&1111)

7.6.7.5 Simulation results , Kit output


Input data length 128 (first &second interleave process inWiMAX)
(a) Using Visual C++

Figure(7.46) Simulation of the interleaver

562
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

(b) Using Code composer

Figure(7.47) Output of the interleaver

7.6.8 Symbol mapping and symbol demapping


7.6.8.1 Background
The symbol mapping is intended to perform a base band modulation that is the input bits is
mapped into I,Q channels as a complex numbers . This mapping is performed in WiMAX using
QPSK, 16QAM, 64QAM and adaptive modulation is adopted.

This section show how the mapping procedure is implemented. The implementation is presented
by two different ways.

563
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Decision
region

Figure (7.48) The supported symbol mapping in WiMAX

7.6.8.2 Implementation methodology


7.6.8.2.1 Using lookup table
Mapper:
 The program will prompt the user to choose the type of modulation.
printf("\n modulation types:-\n");
printf("(1)BPSK\n");
printf("(2)QPSK\n");
printf("(3)16QAM\n");
printf("(4)64QAM\n");
printf("choose a modulation scheme:");*/
scanf("%d",&modtype);
 A complete look up tables of the three mapping schemes is stored
 According to the user selection a switch case is accessed.
 The approbriate no. of bits is buffered then transformed to decimal representation to
represent the index
integer=frame[i]*32+frame[i+1]*16+frame[i+2]*8+frame[i+3]*4+fram
e[i+4]*2+frame[i+5];

 Then the output index is used to point the real and imaginary components of the mapped
symbol
modulated[i/6].real=lookup64QAM_real[integer];
modulated[i/6].imag=lookup64QAM_imag[integer];

564
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Flowchart

Figure (7.49) Mapper and demapper ―version 1‖


The demapper:
 The demapper also stores the look up table of the modulation scheme
 The user is prompted to choose the type of modulation.
 Quantization is performed to place each constellation point which can be shifted due to
AWGN noise or quantization noise
o The quantizer checks if the constellation point is outside point so the quantizer
approximate it to the borders of the constellation.
if(input[j].real>=sqrt(M)-1)
input[j].real=(float)sqrt(M)-1;
else if(input[j].real<=-sqrt(M)+1)
input[j].real=(float)-sqrt(M)+1;

565
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o The quantizer encloses each constellation point by a decision region and


approximate any point in it to the specified constellation point.
if((input[j].real>=i-1)&&(input[j].real<i+1))
input[j].real=(float)i;

 Then the demapper search the lookup table to find both the real and imaginary
component and get the index .
 The index is then transformed to binary representation using the function
transformbin.
for(j=0;j<4;j++)
{
if((input[i].real==lookupQPSK_real[j])&&(input[i].imag
==lookupQPSK_imag[j]))
break;
}
integer=j;
transformbin(integer,transform,2);
demodoutput[2*i]=transform[0];
demodoutput[2*i+1]=transform[1];

 Transformbin:
o Divide by 2 and keep the reminder in array.
o Continue division until the required no. of bits is got.
o Reorder the array

566
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.8.2.2 Using equations:


Flowchart

Figure (7.50) Mapping and demapping flow chart

567
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Take 64 QAM as an example


 By inspection of the constellation diagram it can be found thatin binary coded
constellation
o The LSB 3 bits is constant within the row (i.e. imaginary component)
o The MSB 3 bits is constant within the column (i.e. I channel)
o This can be summaried in the following table
o
Real component ―MSB‖ Imaginary component ―LSB‖
Binary input Decimal equivelant ―i‖ I-channel Binary input Decimal equivelant‖i‖ Q-channel
000 0 -7 000 0 7
001 1 -5 001 1 5
010 2 -3 010 2 3
011 3 -1 011 3 1
100 4 1 100 4 -1
101 5 3 101 5 -3
110 6 5 110 6 -5
111 7 7 111 7 -7
Table (7.7) I-Q chnnels for some biary data

 Using the above table the constellation equations can be deducted


𝑠𝑖 = 7 + 2𝑖 + 𝑗(7 − 2𝑖) Eqn (7.8)

modulated[i/6].real=7+2*(frame[i]*4+frame[i+1]*2+frame[i+2]
);
modulated[i/6].imag=7-
2*(frame[i+3]*4+frame[i+4]*2+frame[i+5]);

Hence the mapping is achieved without a look up table.

Demapper:
 The demapper will perform the same steps in reverse order.
 First the demapper will separate the real from imaginary component .
 Every component is substituted in the reverse equation
𝑆 𝑟𝑒𝑎𝑙 −7
o 𝑖𝑟𝑒𝑎𝑙 = 𝑖
2
−𝑆𝑖 𝑖𝑚𝑎𝑔 +7
o 𝑖𝑖𝑚𝑎𝑔 = Eqn (7.9)
2
 Then ireal and iimag. Will transformed to binary using Transformbin and serialized

568
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Advantages and disadvantages of both method:


 The first method is superior in implementing in any mapping arrangement by simply
changing the lookup table.
 However the memory requirement and also the processing of loop-until-find will make
the second method more applicable.
because it only needs simple calculation. And the demapping is much easier
 The main disadvantage of the second way is only difficulty of getting such equation in
other arrangements like gray coding.

7.6.8.3 Facilities of the implemented block


 Ability of implementing adaptive modulation by changing the choice in the switch case.
 Ability to implement (binary-gray-user defined) coding constellation.
 Immune against noise and quantization errors due to the quantizer.
 The second method is memory and processing optimized.
 Ability of acquiring any length of data

7.6.8.4 Problems faced in implementation

 At first the look up tables were defined as floats which take 4 bytes each, then the
mapping block when assembled to the system doesn‘t work
The data type of the look up table is changed to char (1 byte).

 Also the use of the second method is clearly solve the above problem.
 There is no COMPLEX data type in C so a type definition is needed

7.6.9 OFDM transmitter and receiver


7.6.9.1 Background
The OFDM as pointed out in chapter 4 is one of the major bocks in he WiMAX system the
OFDM is employed to combat the multipath fading in the excessive high data rates.
The OFDM employs IFFT(inverse Fourier transform) in the transmitter followed by adding the
cyclic prefix .The receiver starts with extracting the cyclic prefix and then perform FFT
algorithm.

The OFDM transmitter and receiver are implemented using the FFT-butterfly algorithm which is
fast and optimized way to calculate the DFT algorithm

569
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.9.2 Implementation methodology


Flow charts:

Fig (7.51) OFDM transmitter and receiver

570
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure (7.52) FFT algorithm

571
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Program description:
OFDM transmitter:
 The OFDM transmitter consists of IFFT and adding cyclic.
 The IFFT is scaled version of FFT but with opposite phase sign.
 So the input stream is first conjugated.
 Then FFT algorithm is applied.
 The output is furthermore conjugated.
 The first quarter of the OFDM symbols is copied and appended after the FFT pitput to
implement the addition of cyclic prefix.

OFDM receiver
 The OFDM receiver consists of extraction the Cyclic prfix the FFT algorithm.
 The output is quantized to fit in charater data type

FFT block:
The FFT algorithm is explained in chapter 4 in section of ―OFDM implementation‖
 The program perform the following
o Bit reversal of input samples
o Calculate no. of stages=log2 N
o Calculate no. of butterflies=N/2 initially.
o Calculate butterfly length=2 initially
The program loops in a 3 phases ―for‖ loop one for the stages ,one for the butterflies and the last
for the butterfly lower legs.
At each internal loop the required twiddle constants is calculated and multiplied by the input.
Then we add and subtract the butterfly legs.
Then the butterfly length is multiplied by 2 and the no. of butterflies is multiplies by 2 and so
on.

7.6.9.3 Facilities of the implemented block


 Ability to implement any power of 2 subcarriers.
Ability to change the cyclic prefix length.
 Quantization in the OFDM receiver to ease the demodulation process.

572
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.9.5 Simulation results and kit output

Figure (7.53) OFDM and mapping simulation

Figure (7.54) (a) OFDM and mapping kit results

573
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Figure (7.54) (b) OFDM and mapping kit results

7.6.10 RTDX
Real-Time Data Exchange (RTDX) is a technology developed by Texas Instruments that enables
real-time bi-directional communication between a digital signal processor (DSP) or
microcontroller and a host application.
The writing and reading the data requires:
 The MATLAB program which will be introduced.
 A C program which will be introduced.

7.6.10.1 Reading Data from a Target Application


The following steps describe the basic instructions that enable you to setup MATLAB to read
data messages from a target application.
A MATLAB script (h_readmsg.m) containing these instructions is attached to this Application
Report.
using this script.
1. Declare the function.
function void = h_readmsg()
2. Get a handle to Code Composer Studio.
cc = ccsdsp;
3. Get a handle to RTDX channel ―ochan‖.
rtdx_ochan = cc.rtdx;
4. Enable RTDX.

574
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

rtdx_ochan.enable;
5. Open the RTDX channel for reading.
open( rtdx_ochan, 'ochan', 'r' );
6. Read data within a loop until no more data is available.
timeout_msg = 'Timeout';
NOMOREDATAMSG = 'No more data is available!';
errmsg = NaN;
while ( isempty( findstr( timeout_msg , errmsg ) ) )
try
% read data
data = readmsg( rtdx_ochan, 'ochan', 'int32' );
% display data
disp( data );
catch
errmsg = lasterr;
disp( NOMOREDATAMSG );
break;
end
end
7. Close the RTDX channel.
close( rtdx_ochan, ‘ochan’ );
8. End function.
Return

And run the following program in C the program is in the Examples that comes with the kit
―t2h.C‖ found in C6000 examples in the code composer folder
This means target to host transfer.

7.6.10.2 Writing Data to a Target Application


The following steps describe the basic instructions that enable you to setup MATLAB to send
data to a target application.
A MATLAB script (h_writemsg.m) containing these instructions is attached to this Application
Report.
1. Get a handle to Code Composer Studio.
cc = ccsdsp;
2. Get a handle to RTDX.
rtdx_ichan = cc.rtdx;
3. Enable RTDX.
rtdx_ichan.enable;
4. Open a target-declared, RTDX channel for writing.
open( rtdx_ichan, 'ichan', 'w' );
5. Write data to the target application.
writemsg( rtdx_ichan, 'ichan', int32(data) );
And run the following program in C the program is in the Examples that comes with the kit
―h2t.C‖ found in C6000 examples in the code composer folder

575
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

This means host to target transfer.

7.6.11 Interfacing 2 DSPs Via McBSP:


• One McBSP of one C6000 DSP device can be connected to a McBSP on another C6000 DSP
device to serve as a high-speed data communication port.
• To achieve the maximum data rate, it is necessary to connect the two serial ports such that one
device behaves both as a clock master and frame master.
• McBSP transmitter that generates clocks for data transfer should also generate necessary frame
synchronization signals.
• The other McBSP portion then acts as a slave awaiting

these control signals from the master

Figure (7.55) Interfacing two DSP kits.

7.6.12 Implemented WiMAX system


As we previously introduced in the previous sections all the blocks have been come to life In this
section the Implemented WiMAX system is introduced then the Output is presented.

7.6.12.1 Implemented WiMAX parameters.


o The effective data length frame is 40 bits.
o These bits are inputted to the Randomizer of same fixed length and fixed
data of length of length 15 bits
Fixeddata1[randfixedlength]={1,0,1,0,1,0,1,0,1,0,1,0,1,0,1};

576
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

o The output is inputted to convolutional encoder of the following


parameters
VCP_RATE_1_2, /* rate */
9, /* constLen */
113, /* poly0 */
235, /* poly1 */
0, /* poly2 */
0, /* poly3 */
0, /* yamTh */
40, /* frameLen */
0, /* relLen */
24, /* convDist */
250, /* maxSm */
0, /* minSm */
0, /* stateNum */
8, /* bmBuffLen */
16, /* decBuffLen */
1, /* traceBack */
1, /* readFlag */
0, /* decision */
0, /* numBranchMetrics */
0, /* numDecisions */

};

 This corresponds to convolutional encoder of rate ½ and constraint length of 9 with


generator polynomials of 113,235 in decimal format.
 The encoder adds 8 bits flushing zeros (tail bits).
 Then the encode doubles the output bits o/p=96 bits.
 This bits is inputted to the interleaver (the WiMAX interleaver).
 Then the bits is mapped to 16 symbols using the 64QAM.
 Then the output if processed by the IFFT algorithm of size 16 subcarriers.
 Then the system adds 4 subcarriers for cyclic prefix

 The output of the Transmitter is 20 symbols.


At the receiver the inverse operation with the matched parameters is achieved

7.6.12.2 WiMAX output


Input
1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 1 0 0
0

OutputDerand
1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 1 0 0
0

577
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

OutputRand
0 1 1 0 0 0 0 1 1 1 0 1 1 0 1 1 1 0 0 0 1 0 0 1 1 1 1 0 1 0 1 0 0 1 1 1 1 0 0
0
Outputpadding
0 1 1 0 0 0 0 1 1 1 0 1 1 0 1 1 1 0 0 0 1 0 0 1 1 1 1 0 1 0 1 0 0 1 1 1 1 0 0
0 0 0 0 0 0 0 0 0
ConvOutput
0 0 1 1 1 0 1 0 0 0 0 1 1 1 1 0 1 1 1 1 1 0 0 1 1 0 0 1 1 1 0 1 0 0 1 1 1 1 1
0 1 1 0 1 0 1 1 0 1 1 0 0 1 0 1 0 1 1 0 1 1 0 0 1 1 1 1 1 1 1 0 1 0 1 1 0 0 0
0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 0
Outinterleaver
0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 1 0 1 0 0 0 1 1 1 0 1 0 1 0 1 1 0 0 1
1 1 1 0 1 1 0 1 0 1 1 1 0 1 1 0 0 1 1 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 0
1 0 0 0 0 1 1 0 1 1 0 1 1 1 0 1 0 0
modulated [0]=-5+-3i
modulated[1]=3+-3i
modulated[2]=-3+5i
modulated[3]=7+3i
modulated[4]=-5+-5i
modulated[5]=3+1i
modulated[6]=-5+-7i
modulated[7]=-1+3i
modulated[8]=7+1i
modulated[9]=-5+-5i
modulated[10]=-1+3i
modulated[11]=3+-7i
modulated[12]=-5+-1i
modulated[13]=1+5i
modulated[14]=3+-3i
modulated[15]=5+-1i
modulated[16]=-5+-3i
modulated[17]=3+-3i
modulated[18]=-3+5i
modulated[19]=7+3i

OFDM[0]=0.125000+-0.875000i
OFDM[1]=0.219822+0.186877i
OFDM[2]=-0.021447+-0.228553i

578
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

OFDM[3]=-1.160362+-1.841358i
OFDM[4]=-0.125000+-1.125000i
OFDM[5]=-1.275865+0.754985i
OFDM[6]=3.289214+0.728553i
OFDM[7]=-2.169414+0.632034i
OFDM[8]=-1.875000+-0.375000i
OFDM[9]=-0.512716+-1.040430i
OFDM[10]=-0.728553+0.478553i
OFDM[11]=-1.193191+-0.072855i
OFDM[12]=-0.125000+0.375000i
OFDM[13]=-0.431242+-0.901432i
OFDM[14]=0.460786+0.021447i
OFDM[15]=0.522968+0.282180i
OFDM[16]=0.125000+-0.875000i
OFDM[17]=0.219822+0.186877i
OFDM[18]=-0.021447+-0.228553i
OFDM[19]=-1.160362+-1.841358i

OFDM Rx
outcyclicextract[0]=-5.000000+-3.000000i
outputOFDMrx[0]=-5+-3i
outcyclicextract[1]=3.000000+-3.000000i
outputOFDMrx[1]=3+-3i
outcyclicextract[2]=-3.000000+5.000000i
outputOFDMrx[2]=-3+5i
outcyclicextract[3]=7.000000+3.000000i
outputOFDMrx[3]=7+3i
outcyclicextract[4]=-5.000000+-5.000000i
outputOFDMrx[4]=-5+-5i
outcyclicextract[5]=3.000000+1.000000i
outputOFDMrx[5]=3+1i
outcyclicextract[6]=-5.000000+-7.000000i
outputOFDMrx[6]=-5+-7i
outcyclicextract[7]=-1.000000+3.000000i
outputOFDMrx[7]=-1+3i
outcyclicextract[8]=7.000000+1.000000i
outputOFDMrx[8]=7+1i
outcyclicextract[9]=-5.000000+-5.000000i
outputOFDMrx[9]=-5+-5i

579
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

outcyclicextract[10]=-1.000000+3.000000i
outputOFDMrx[10]=-1+3i
outcyclicextract[11]=3.000000+-7.000000i
outputOFDMrx[11]=3+-7i
outcyclicextract[12]=-5.000000+-1.000000i
outputOFDMrx[12]=-5+-1i
outcyclicextract[13]=1.000000+5.000000i
outputOFDMrx[13]=1+5i
outcyclicextract[14]=3.000000+-3.000000i
outputOFDMrx[14]=3+-3i
outcyclicextract[15]=5.000000+-1.000000i
outputOFDMrx[15]=5+-1i
Demodoutput
0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 1 0 1 0 0 0 1 1 1 0 1 0 1 0 1 1 0 0 1
1 1 1 0 1 1 0 1 0 1 1 1 0 1 1 0 0 1 1 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 0
1 0 0 0 0 1 1 0 1 1 0 1 1 1 0 1 0 0
OutputDeInte
0 0 1 1 1 0 1 0 0 0 0 1 1 1 1 0 1 1 1 1 1 0 0 1 1 0 0 1 1 1 0 1 0 0 1 1 1 1 1
0 1 1 0 1 0 1 1 0 1 1 0 0 1 0 1 0 1 1 0 1 1 0 0 1 1 1 1 1 1 1 0 1 0 1 1 0 0 0
0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 0 0 0
OutputViterbi
0 1 1 0 0 0 0 1 1 1 0 1 1 0 1 1 1 0 0 0 1 0 0 1 1 1 1 0 1 0 1 0 0 1 1 1 1 0 0
0 0 0 0 0 0 0 0 0
OutputDerand
1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 1 1 0 0
0

7.6.13 General problems


 SIMULINK incompatibility
 change the strategy to ―c‖ programming.
 Memory insufficient & processor limited capabilities
 This result in false outputs
 optimization of data types and code algorithms.
 Using small frames.
 System assembly
 Converting programs to functions.
 Use of global variables with unique names.
 Some problems occurs in case of large data
 use a small frame and small fft size .

580
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

7.6.14 Applications of the implemented WiMAX system


 Reading a wav. File framing the bits and transfer the frames through the system and write
the output in .wav file to compare (we can also add noise)
 Real time Audio transmission.
 Text file transmission.
 Technology analysis using DSP (The matlab sends frames to kit and the kit returns back
the frame after noise addition then MATLAB can calculate the BER).
 Image transmission.

7.6.15 System upgrades


 Implementing the real WiMAX frame.
 Subchannelization and pilot insertion.
 Channel estimation and equalization.
 Adaptive modulation and coding(AMC) .
 Turbo implementation using TCP.
 Video processing.
 RF.

581
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Selected References and Bibliography:


[1] Wireless Communications, Principles and Practices, Theodore S. Rappaport , Prentice
Hall Communications Engineering and Emerging Technologies Series , Series Editor.
[2] Digital modulation techniques / Fuqin Xiong. p. cm. - (Artech House telecommunications
library).
[3] McGraw Hill - Digital Communications By John Proakis 4th Edition.
[4] Communication Systems , 4th Edition , Simon Haykin.
[5] MATLAB 2007b Hel p.
[6] Digital modulation techniques , Fuqin Xing.
[7] Digital communications over fading channels ,Marvin K.Simon and Mohamed slim
Alouini.
[8] Wireless communications , Andrea goldsmith .
[9] Digital communication fundamentals and applications, Bernard Sklar,2 nd edition.
[10] Theory And Applications Of OFDM And CDMA Wideband Wireless Communications
Henrik Schulze And Christian Luders Both Of Fachhochschule Sudwestfalen Meschede,
Germany
[11] Multi-Carrier and Spread Spectrum Systems K. Fazel Marconi Communications GmbH
Germany And S. Kaiser German Aerospace Center (DLR) Germany
[12] Multi-Carrier Digital Communications Theory and Applications of OFDM Ahmad R. S.
Bahai and Burton R. Saltzberg Algorex, Inc. Iselin, New Jersey
[13] OFDM-Based Broadband Wireless Networks Design and Optimization Hui Liu Guoqing
Li
[14] Fundamentals of WiMAX Understanding Broadband Wireless Networking Jeffrey G.
Andrews, Ph.D. Department of Electrical and Computer Engineering The University of
Texas at Austin Arunabha Ghosh, Ph.D. AT&T Labs Inc. Rias Muhamed AT&T Labs Inc.
[15] Smart antenna engineering , Ahmed El Zooghby.
[16] MIMO system technology for wireless communications, George Tsoulos.
[17] Space-Time Codes and MIMO Systems, Mohinder Jankiraman.
[18] From Theory to Practice: An Overview of MIMO Space–Time Coded Wireless Systems
IEEE JOURNAL ON SELECTED AREAS IN COMMUNICATIONS, VOL. 21, NO. 3,
APRIL 2003 , David Gesbert, Member, IEEE, Mansoor Shafi, Fellow, IEEE, Da -

582
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

shan.Shiu, Member, IEEE, Peter J. Smith, Member, IEEE and Ayman Naguib, Senior
Member, IEEE.
[19] G. J. Foschini, "Layered Space-Time Architecture for Wireless Communication in a
Fading Environment When Using Multiple Antennas", Bell Laboratories Technical
Journal, Vol. 1, No. 2, Autumn, 1996, pp. 41-59.
[20] V-BLAST: An Architecture for Realizing Very High Data Rates Over the Rich-
Scattering Wireless Channel,P. W. Wolniansky, G. J. Foschini, G. D. Golden, R. A.
Valenzuela
[21] Hybrid Transceiver Schemes for Spatial Multiplexing and Diversity in MIMO
Systems,Walter da C. Freitas Jr., Student Member, IEEE, Francisco R. P. Cavalcanti,
Member, IEEE, and Renato R. Lopes, Member, IEEE
[22] On the Performance of the MIMO Zero-Forcing Receiver in the Presence of Channel
Estimation Error, Cheng Wang, Student Member, IEEE, Edward K. S. Au, Student
Member, IEEE, Ross D. Murch, Senior Member, IEEE, Wai Ho Mow, Senior Member,
IEEE, Roger S. Cheng, Member, IEEE, and Vincent Lau, Senior Member, IEEE.
[23] WiMAX Fourm , Mobile WiMAX – Part I: A Technical Overview and Performance
Evaluation, February 21, 2006.
[24] IEEE Std 802.16-2004 , Coexistence of Fixed Broadband Wireless Access Systems.
[25] IEEE 802.16e-2005 Air Interface , IEEE Standard for Local and metropolitan area
networks , Part 16: Air Interface for Fixed and Mobile
[26] Broadband Wireless Access Systems , Amendment 2: Physical and Medium Access
Control Layers for Combined Fixed and Mobile Operation in Licensed Bands and
Corrigendum 1.
[27] Wireless MAN , Inside the IEEE 802.16 Standard for Wireless Metropolitan Area
Networks , Carl Eklund , Roger B.Marks , Subbu Ponnuswamy , Kenneth L.Stanwood ,
Nico J.M. van Waes.
[28] Scalable OFDMA Physical Layer in IEEE 802.16 Wireless MAN (Intel Journal ) .
[29] DSP Applications Using C and the TMS320C6x DSK. Rulph Chassaing.
[30] Digital Signal Processing and Applications with the C6713 and C6416 DSK. Rulph
Chassaing.
[31] Target for TI C6000™ 3 User’s Guide.

583
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

[32] TMS320C64x DSP Viterbi-Decoder Coprocessor (VCP) Reference Guide.


[33] TMS320C6000 CPU and Instruction Set Reference Guide (literature number SPRU189).
[34] TMS320C6000 DSP Peripherals Overview Reference Guide.
[35] Code Composer Studio Application Programming Interface Reference Guide.
[36] Dsk6416_Technical Referance.

584
Advanced Technologies in Wireless Communication Systems with Mobile WiMAX system simulation and implementation

Вам также может понравиться