Вы находитесь на странице: 1из 25

UNIVERS

IDAD
NACION
FACULTAD DE
INGENIERÍA
E. P.Y
ELÉCTRICA
ELECTRÓNICA
INGENIERÍA
ELECTRÓNICA

TRABAJODE INVESTIGACION DE BIESTABLE, MULTIVIBRADORES


Y RGISTROS
ASIGNATURA:
Sistemas Digitales.
HORARIO/TURNO:
17:00 – 18:30/02L
PROFESOR: Utrilla
Salazar Dario.
ESTUDIANTE:
Palomino Conde
Jean Carlos. 1

CODIGO:
1713220073
TRABAJO DE INVESTIGACION SOBRE
BIESTABLES, MULTIVIBRADORES Y
REGISTROS
BIESTABLES
Se dividen en:

 Biestables disparados por nivel (LACTH).


 Biestables disparados por flanco (FLIP FLOPS)

La diferencia entre ellos es que los LATCH están diseñados para trabajar con niveles (estados) y
los FLIP FLOPS para trabajar con flancos (cambios de estados).

LATCHES
 El latch (cerrojo) es un dispositivo de almacenamiento temporal de dos estados
(biestable).
 Almacenan información de manera asíncrona.
 Los latches pueden permanecer en cualquiera de sus dos estados debido a la
retroalimentación, lo que consiste en conectar cada una de las salidas a las entradas
contrarias.
 Con latches se pueden hacer directamente circuitos secuenciales o se pueden usar para
crear flip flops.
 Tipos: SR, Ś Ŕ y D.

LATCH SR (SET Y RESET)


)
 Es el dispositivo de memoria más sencillo.
 Es un biestable con un estado set y reset (1 y 0).
 Se tienen dos versiones.

2
LATCH SR con habilitación
)  Cuando EN esta en alto, habilita las entradas S
y R para que controle el estado al que va a
cambiar.
 Si EN esta en bajo, el latch no cambiara de estado pese a cualquier combinación haya en
las entradas.

Tabla de verdad del latch SR con habilitacion:

C.I. Lactch SR 74LS279 cuadruple:

3
LATCH D con habilitación


)
Se diferencia del latch SR en que solo tiene una entrada aparte de la de habilitación (EN).
 El circuito tiene un inversor para evitar la combinación prohibida en las entradas del latch
SR.

Tabla de verdad del latch D:

C.I. Latch D 74LLS75 cuadruple:

4
FLIP FLOPS
 Son dispositivos síncronos, es decir, cambia de estado únicamente con una entrada de
disparo de reloj.
 Los cambios en las salidas ocurren en sincronía con la señal de reloj.
 Memorizan un bit de información.
 Existen varios tipos de flip flops así como variaciones que son implementadas para
funciones específicas.
 Tipos: SR, JK y D.
 Cambian de estados con:

FLIP FLOP SR
SR
5
 Sus entradas set y reset son entradas síncronas.
 El cambio en su salida se efectúa por el disparo de reloj.
 Las entradas pueden cambiar cualquier momento así la señal de reloj este en alto o bajo.
 Este flip flop tiene un estado prohibido o no valido.

Tabla de verdad del FF SR activo en alto:

Tabla de verdad del FF SR activo en bajo:

Tabla de excitación del flip flop SR:


6
Qn Qn+1
S R
0 0 0 X
0 1 1 0
1 0 0 1
1 1 X 0

C.I. FF SR 4044:

FLIP FLOP JK

 Es el más versátil y utilizados de los flip flops.


 La entrada J representa set y la entrada K representa reset.
 Este flip flop no tiene combinaciones prohibidas (J y K pueden 1 simultáneamente).

Tabla de verdad del FF JK activo en alto:

7
Tabla de excitación del flip flop JK:

Qn Qn+1
J K
0 0 0 X
0 1 1 X
1 0 X 1
1 1 X 0

C.I. FF JK 74LS76:

FLIP FLOP D 8
 Es muy útil cundo se necesita almacenar un único bit de datos (0 o 1).
 La salida del FF D es igual a al estado de la entrada, en sincronía con la señal de reloj.

Tabla de verdad del FF D activo en alto:

Tabla de verdad del FF D activo en bajo:

Tabla de excitación del flip flop D:

Qn Qn+1
D
0 0 0
0 1 1
1 0 0
1 1 1

9
C.I. FF D 74JS74:

FLIP FLOP T
 “Toggle” (conmutación) cambia la salida con cada pulso de clock.
 La salida del flip flop T tiene la mitad de la frecuencia de la señal de entrada T.
 Es de utilidad en la construcción de contadores binarios, divisores de frecuencia y
dispositivos de sumas binarias en general.
 Se puede implementar un flip flop T a partir de un flip flop JK, llevando ambas entradas J
y K a alto (1 logico).

Tabla de verdad del flip flop T:

10
Qn Q n+1
T
0 0 0
0 1 1
1 0 1
1 1 0

ENTRADAS ASINCRONAS
 Las entradas asíncronas pueden variar la salida del FF independientemente de la señal de
reloj y los estados en sus entradas.
 Estas entradas se le denominan entrada de inicialización (pre) y borrado, clear (CLR).
 Un nivel activo en bajo en la entrada de inicialización del FF (preset) pone en estado SET
al FF, y un nivel activo en bajo a la entrada de borrado (CLEAR) del FF lo pone en estado
RESET.

11
FLIP FLOP SR MAESTRO-ESCLAVO
 Han sido ampliamente usados hasta la aparición de los disparados por flancos.
 La construcción de un FF SR maestro-esclavo es a partir de dos cerrojos SR con entradas de
habilitación conectados en cascadas.
 La señal de reloj entra al cerrojo maestro y la señal de reloj complementada entra al esclavo.

Tabla de verdad del flip flop SR maestro esclavo:

S R Qn CLK Q n+1
0 0 0 ↑ 0
0 0 1 ↑ 1
0 1 0 ↑ 0
0 1 1 ↑ 0
1 0 0 ↑ 1
1 0 1 ↑ 1
1 1 0 ↑ X
1 1 1 ↑ X
FLIP FLOP JK MAESTRO-ESCLAVO
 la construcción de este flip flop se realiza a partir de dos biestables SR con entrada de
habilitación conectados en cascada.
 La señal del reloj entra al biestable maestro y la señal de reloj complementada al
biestable esclavo.

12
Tabla de verdad del flip flop SR maestro esclavo:

J K Qn CLK Q n+1
0 0 0 ↑ 0
0 0 1 ↑ 1
0 1 0 ↑ 0
0 1 1 ↑ 0
1 0 0 ↑ 1
1 0 1 ↑ 1
1 1 0 ↑ 1
1 1 1 ↑ 0

FLIP FLOP D MAESTRO-ESCLAVO

 Está formado por dos biestables sincronizados por nivel.


 Estos biestables están interconectados por sus terminales de información (la salida del
primero con la entrada del segundo).
 Y las entradas del sincronismo conectadas inversas (para que funcionen en contraparte).

13
Tabla de verdad del flip flop SR maestro esclavo:

D QN CLK QN +1
0 0 ↑ 0
0 1 ↑ 0
1 0 ↑ 1
1 1 ↑ 1

APLICACIONES DE LOS FLIP FLOPS


 Describiremos tres aplicaciones generales de los flip flops:

Almacenamiento de datos en paralelo


) simultánea una serie de bits de datos, procedentes de
 consiste en almacenar de forma
varias líneas paralelas en un grupo de flip flops.
 Lo podemos hacer utilizando flip flops tipo D.
 Las entradas de reloj de los flip flops se conectan juntas de modo que funcionan con el
mismo flanco de disparo.

14
Divisor de frecuencia
 Es la división, reducción de frecuencias de una señal periódica.
)
 Se aplica un tren de pulsos a la entrada de reloj de un flip flops JK modo basculación
(J=K=1).
 La señal de salida del flip flops es una señal cuadrada que tiene una frecuencia igual a la
mitad de la señal de entrada.

Contador binario
 ) utilizamos flip flops JK disparados con flanco negativo.
En este caso
 Al inicio los flip flops están en estado reset.
 El flip flop A báscula en cada impulso de la señal de reloj negativa.
 La salida del ff A dispara al ff B, de manera que siempre QA realiza una transición de
nivel alto a nivel bajo, el ff B báscula.

MULTIVIBRADORES 15
 Es un circuito generador de pulsos que produce una salida de onda rectangular.
 Los multivibradores se clasifican en astables, biestables o monoestable.

Multivibradores astables


)
También se denominan multivibradores de corriente libre (free running).
 Genera un flujo continuo de pulsos.
 El versátil temporizador CI 555 puede ser implementar multivibradores astables.
 También hay multivibradores que utiliza dos inversores CMOS. La frecuencia de este
circuito es de alrededor de 10KHz, la cual puede ser modificada variando los valores de
los resistores o condensadores.
 Cuando los multivibradores astables son utilizados en los sistemas digitales son llamados
relojes.

Multivibradores monoestables
 También se denominan multivibradores de un disparo (one shot).

)
Cuando se dispara el monoestable, este genera un pulso de una determinada duración
cada vez que se dispara su entrada.
 Podemos configurar el tiempo de duración del pulso de salida, variando los valores de
tanque de retardo, compuesto generalmente por una resistencia y un condensador.
 El monoestable del CI 555 es no redisparable, es decir cuando la salida del monoestable
esta en nivel alto desatenderá cualquier cambio en su entrada.

16
Multivibradores biestables
 )
También se denominan flip flops.
 Este tipo de multivibrador siempre está en uno de sus dos estados estables (set y reset).
 Su pulso de entrada produce en la salida un cambio de nivel.

REGISTROS
17
 Conjunto de biestables que funcionan al unísono compartiendo sus señales de control.
 Los más utilizados son los biestables tipo D, o también los JK y SR funcionando como D.,
 Los registros necesitan una señal de sincronismo por nivel (latch) o flanco.,
 A diferencia de los contadores no tiene una secuencia especifica de datos.
 Aplicaciones: almacenamiento y desplazamiento de datos.

Tipos:
Dependiendo del tipo de entrada y salida los registros pueden ser:

Registro de entrada en serie y salida en serie (SISO)


 Sólo la entrada del primer flip-flop y la salida del último son accesibles externamente.
 Se emplean como líneas de retardo digitales y en tareas de sincronización.

Registro de entrada en serie y salida en paralelo (SIPO)


 Son accesibles las salidas de todos los flip-flops, pero sólo la entrada del primero.
 Este tipo se emplean para convertir datos serie en datos paralelo.

Registro de entrada en paralelo y salida en serie (PISO)


 Son accesibles las entradas de todos los flip-flops, pero sólo la salida del último.
 Normalmente también existe una entrada serie, que sólo altera el contenido del primer
flip-flop, pudiendo funcionar como los del grupo anterior.
 Este tipo se emplea para convertir datos serie en paralelo.
 Veremos un registro con entrada en paralelo y salida en serie y carga asíncrona.

18
 Registro de
entrada en
paralelo y
salida en serie y
carga síncrono.

Registro de entrada en paralelo y salida en paralelo (PIPO)


 Todas las entradas, así como todas las salidas son accesibles.
 Este tipo se usa para cálculos aritméticos.

19
DIVISOR DE FRECUENCIA
Hacer los siguientes ejercicios.

a) Divisor de frecuencia ÷5

Q2 Q1 Q0
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

-Para hacer el divisor entre 5, utilizamos la entrada asíncrona del flip flop JK para reiniciar
la cuenta. Para esto utilizaremos una compuerta nand de dos entradas, a la cual
Q 2 y Q0 estarán conectados a sus entradas de esta compuerta nand, que a su vez
estará conectada a la entrada de reset de los 3 flip flop JK para que en el momento que
Q2=Q0=1 se reiniciara el conteo.

-Simulación en proteus:

0 0 1
Q0:B Q2:A Q2:B
10

10
4

11 9 3 5 11 9
J Q J Q J Q
S

13 1 13
CLK CLK CLK
2

12
K Q
7 2
K Q
6 12
K Q
7 U6:A
R

74LS00
14

15

14

U2:B(CLK) 74LS112 74LS112 74LS112

R2(2)
R2 U7:A
3

10k 2
3
C2 1
1uF
74LS08

20
CLOCK

Q0

Q1

Q2

b) Divisor de frecuencia ÷9
Q3 Q2 Q1 Q0
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
1 0 1 0
1 0 1 1
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1

-Para hacer el divisor entre 9, utilizamos la entrada asíncrona del flip flop JK para reiniciar
la cuenta. Para esto utilizaremos una compuerta nand de dos entradas, a la cual
Q3 y Q0 estarán conectados a sus entradas de esta compuerta nand, que a su vez
estará conectada a la entrada de reset de los 4 flip flop JK para que en el momento que
Q3=Q0 =1 se reiniciara el conteo.

-Simulación en proteus:

0 0 0 1
U1:A U1:B Q0:A U8:A
10
4

3 5 11 9 3 5 3 5
J
S

Q J
S

Q J
S

Q J
S

Q
1 13 1 1
CLK CLK CLK CLK
2

2
K Q
6 12
K Q
7 2
K Q
6 2
K Q
6 U3:A
R

74LS00
15

14

15

15

U1:A(CLK) 74LS112 74LS112 74LS112 74LS112

R1(2)
R1 U4:A
3

10k 2
3
C1 1
1uF
74LS08

21
Q0

Q1

Q2

Q3

c) Divisor de frecuencia ÷ 12

Q3 Q2 Q1 Q0
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
1 0 1 0
1 0 1 1
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1
-Para hacer el divisor entre 12, utilizamos la entrada asíncrona del flip flop JK para
reiniciar la cuenta. Para esto utilizaremos una compuerta nand de dos entradas, a la cual
Q3 y Q2 estarán conectados a sus entradas de esta compuerta nand, que a su vez
estará conectada a la entrada de reset de los 4 flip flop JK para que en el momento que
Q3=Q2=1 se reiniciara el conteo.

-Simulación en proteus:

1 1 0 1
U8:B U9:A U9:B U12:A
10

10
4

11 9 3 5 11 9 3 5
J Q J Q J Q J Q
S

13 1 13 1
CLK CLK CLK CLK
2

12
K Q
7 2
K Q
6 12
K Q
7 2
K Q
6 U10:A
R

74LS00
U8:B(CLK)
14

15

14

15

74LS112 74LS112 74LS112 74LS112

R3(2)
R3 U11:A
3

10k 2
3
C3 1
1uF
74LS08

22
Q0

Q1

Q2

Q3

d) Divisor de
frecuencia ÷ 15

Q3 Q2 Q1 Q0
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
1 0 1 0
1 0 1 1
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1

-Para hacer el divisor entre 15, utilizamos la entrada asíncrona del flip flop JK para
reiniciar la cuenta. Para esto utilizaremos una compuerta nand de cuatro entradas, a la
cual Q 3 ,Q 2 , Q 1 y Q 0 estarán conectados a sus entradas de esta compuerta nand, que
a su vez estará conectada a la entrada de reset de los 4 flip flop JK para que en el
momento que Q3=Q2=Q 1 =Q0=1 se reiniciara el conteo.

-Simulación en proteus:

0 1 1 1
U12:B U13:A U13:B U16:A
10

10
4

11 9 3 5 11 9 3 5
S

J Q J Q J Q J Q
5
4

2
1

13 1 13 1
CLK CLK CLK CLK
U14:A
12 7 2 6 12 7 2 6 74LS13
K Q K Q K Q K Q
R

R
14

15

14

15

U12:B(CLK) 74LS112 74LS112 74LS112 74LS112

R4(2)
6

R4 U15:A
10k 2
3
C4 1
1uF
74LS08

23
Q0

Q4 Q3 Q2 Q1 Q0
Q1
0 0 0 0 0
0 0 ❑0 0 1
2
0 0 0 1 0
0 0 0 1 1
0 0 Q31 0 0
0 0 1 0 1
e) 0 0 1 1 0
0 0 1 1 1
0 1 0 0 0 Divisor de frecuencia ÷ 22
0 1 0 0 1
0 1 0 1 0 0 1 0 1 1
0 1 1 0 0
0 1 1 0 1
0 1 1 1 0
0 1 1 1 1
1 0 0 0 0
1 0 0 0 1
1 0 0 1 0
1 0 0 1 1
1 0 1 0 0
1 0 1 0 1
1 0 1 1 0

-Para hacer el divisor entre 22, utilizamos la entrada asíncrona del flip flop JK para
reiniciar la cuenta. Para esto utilizaremos una compuerta nand de tres entradas, a la cual
Q4 , Q2 , Q1 estarán conectados a sus entradas de esta compuerta nand, que a su vez
estará conectada a la entrada de reset de los 5 flip flop JK para que en el momento que
Q 4 =Q 2=Q 1=1 se reiniciara el conteo.

-Simulación en proteus:

? ? ? ? ?
U16:B U17:A U17:B U19:A U19:B
10

10

10
4

11 9 3 5 11 9 3 5 11 9
J Q J Q J Q J Q J Q
S

13 1 13 1 13
13

CLK CLK CLK CLK CLK


2
1

12
K Q
7 2
K Q
6 12
K Q
7 2
K Q
6 12
K Q
7 U20:A
R

74LS12
14

15

14

15

14

U16:B(CLK) 74LS112 74LS112 74LS112 74LS112 74LS112

R5(2)
R5 U18:A
12

10k 2
3
C5 1
1uF
74LS08

24
Q0
0 1 1 0 1
Q1
0 1 1 1 0
0 1 1 1 1
Q2 1 0 0 0 0
1 0 0 0 1
Q3 1 0 0 1 0
1 0 0 1 1
Q4 1 0 1 0 0
1 0 1 0 1
1 0 1 1 0
1 0 1 1 1
1 1 0 0 0
e) Divisor de frecuencia ÷ 29 1 1 0 0 1
1 1 0 1 0
Q4 Q3 Q2 Q1 Q0
1 1 0 1 1
0 0 0 0 0 1 1 1 0 0
-Para 0 0 0 0 1 hacer 1 1 1 0 1 el divisor entre 29,
0 0 0 1 0 utilizamos la entrada
0 0 0 1 1 asíncrona del flip flop JK para reiniciar la cuenta. Para
esto 0 0 1 0 0 utilizaremos una compuerta nand de cuatro entradas, a
la cual 0 0 1 0 1 Q 4 , Q 3 ,Q 2 y Q 0 estarán conectados a sus entradas de
esta 0 0 1 1 0 compuerta nand, que a su vez estará conectada a la
entrada 0 0 1 1 1 de reset de los 5 flip flop JK para que en el momento
que 0 1 0 0 0 Q4 =Q3=Q2=Q0 =1 se reiniciara el conteo.
0 1 0 0 1
0 1 0 1 0 -Simulación en proteus:
0 1 0 1 1
0 1 1 0 0

Q0

Q1

Q2

Q3

Q4

25

Вам также может понравиться