Вы находитесь на странице: 1из 137

 

 
IJCSI
 

International Journal of
Computer Science Issues

Special Issue on VLSI, Communication and Instrumentation


ICVCI-2011
Volume 1, Issue 1, November 2011
ISSN (Online): 1694-0814

© IJCSI PUBLICATION
www.IJCSI.org
 
IJCSI proceedings are currently indexed by:

© IJCSI PUBLICATION 2011


www.IJCSI.org
EDITORIAL
The developments in the field of Engineering and Technology have made enormous
contributions in all walks of life. The world consists of a large number of natural and man-made
systems which has paved the way for innovation and creativity. The field of Electronics,
Communication and Instrumentation is not far behind in this race. The ever increasing restrictions in
power, space, Industrial Automation and biomedical instrumentation is a cue to set up a new era in
which communication tools, Control system algorithms and Digital signal processing applications
have to be embedded with VLSI techniques for attaining better results. These needs are the real
inspirational force behind ICVCI.

The departments of ECE and AEI of SAINTGITS College of Engineering, Kottayam are
elated to organize the International Conference on VLSI, Communication and Instrumentation
(ICVCI-2011) on April 7th to 9th, 2011. This conference is organized in association with ISA (South
India Section) and IETE. The conference aims at creating awareness of recent developments in thrust
areas such as Communication, VLSI, Signal Processing, Control System, Industrial Automation and
allied fields. It is a confluence of Scientists, Engineers, and Practitioners of all areas of electronics
which offers solution for practical and industrial problems through the exchange of ideas and
convergence of technology.

The response to call for papers was overwhelming and around 800 papers were received from
various part of the globe. Out of this, 200 papers were selected by the reviewers. And 172 papers
were presented. As the conference is mainly focused in four areas of Electronics namely, VLSI,
Signal Processing, Communication and Instrumentation, the papers are distributed accordingly and
fifteen technical sessions are arranged as oral and poster sessions. Out of 172 papers the best 22
papers are selected to publish in International Journal of Computer Science Issues (IJCSI).

Eminent international professors have been invited to deliver Plenary Sessions in the
conference, which will enlighten us with their enormous acquaintance in the field during various
sessions of the conference. The invited speakers will bring to light the cutting edge technologies in
various areas so that the participants may benefit from this technical gorge. Foreseeing that this
Special Issue will enhance the knowledge and understanding in the use of latest technologies, this
will act as a catalyst for further research activities.

We owe the success of this conference to all those who rendered their valuable time, energy
and resources at various levels. We are happy to place on record the cooperation, support and
guidance received from all quarters. We would like to thank all the reviewers, authors, session chairs
and the participants for their active participation in molding this conference into a rich technical
forum.

Prof. P.S. Godwin Anand


Professor & Head
Department of Applied Electronics & Instrumentation.
SAINTGITS College of Engineering
Pathamuttam -Post, Kottayam District
Kerala State, INDIA
Pincode- 686532
 

Chief Editor

Prof. P.S. Godwin Anand (Member ISA)


Professor & Head
Department of Applied Electronics & Instrumentation.
SAINTGITS College of Engineering
Pathamuttam -Post, Kottayam District
Kerala State, INDIA
Pincode- 686532.

Guest Editors

Dr. Kyung-Tae Kim


Professor, Dept. of School of Information and Multimedia Engineering
Hannam University, Korea.

Dr. Sisil Priyantha Kumarawadu


Professor of Electrical Engineering & Director, Engineering Research Unit
University of Moratuwa, Sri Lanka

Dr. S. Narayana Iyer


Professor,Dean - PG & Doctoral Studies ,ECE Department
SAINTGITS College of Engineering, Kottayam

Dr. K. P Zacharia
Professor, Department of Applied Electronics & Instrumentation.
SAINTGITS College of Engineering, Kottayam

Dr.G.Lakshminarayanan
Associate Professor
ECE Dept, NIT Trichy.

Dr.K.Najeeb
Assistant Professor (CSE Dept)
Government College of Engineering, Sreekrishnapuram

Dr.Rama Komaragiri
Assistant Professor
ECE Department, NIT Calicut
Dr.Radhakrishnan
Principal & Prof of ECE
Sri Ramakrishna Engineering College, Coimbatore

Dr.B. LathaKumari
Prof & Head, ECE Department
University College of Engineering, Muttom

Dr.V.R Vijayakumar
Associate Professor, ECE Dept
Anna University of Technology, Coimbatore

Dr. M Wilscy
Professor and Head , CSE Department
University of Kerala, Kariavattom

Dr. S. Baskar, BOYSCAST Fellow,


Professor, Dept of EEE
Thiagarajar College of Engineering, Madurai

Dr. Ranganath Muthu


Professor, Department of EEE,
SSN College of Engineering, Chennai

Dr. N. Sivakumaran
Asso. Professor, ICE Department
National Institute of Technology, Trichy.
TABLE OF CONTENTS
1. Pneumatic Pressure Cell with Twin Diaphragms Embedding Spherical Corrugations in a 1-6
Dual Diaphragm Structure
A. Cellatoglu and K. Balasubramanian

2. A New Generation VLSI Approach for V/F Control of Three-Phase Induction Motor 7-12
M. S. Aspalli, Veerendra D. and P. V. Hunagund

3. Design and Implementation of Color Conversion Module RGB to YCbCr and Vice Versa 13-18
Prathibha E., Siva Yellampalli and A. Manjunath

4. Thermal Bound Placement With Wire Length Consideration for Standard Cells in VLSI 19-22
Bishnu Prasad D. and Jagannath Samanta

5. AODV with Source Route Accumulation for improved Routing in WiMAX 23-27
Yogesh Chaba, Yudhvir Singh and Amit Kumar

6. Traffic Analysis and Optimization of GSM Network 28-31


Madhusmita Panda and Saraju Prasad Padhy
32-36
7. Efficient VLSI Architecture for Discrete Wavelet Transform
Usha Bhanu N. and A. Chilambuchelvan

8. Multiple Parameters based Approach to Estimate and width in Mobile Ad Hoc Networks 37-43
P. I. Basarkod and S. S. Manvi

9. Performance Analysis of Multicast Routing and Wavelength Assignment (MRWA) 44-50


Protocol with Dynamic Traffic Grooming in WDM Networks
N. Kaliammal and G. Gurusamy

10. The Development of Controller for Buoyancy Engine for Use in Autonomous 52-57
Underwater Vehicle (AUV)
J. Supriyanka, Shabnam Parveen, P. Kavita and N. Jagdesh Babu

11. Analytical Modeling of Double Gate MOSFET and Its Application 58-62
S. Panigrahy and P. K. Sahu

12. Evolutionary Design of IFLC for a Three Tank System 63-69


P. S. Godwin Anand and P. Subbaraj

13. Frequency Reconfigurable Conformal Antennas for Wireless Networks 70-73


Y. V. B. Reddy, K. Veeraswamy, P. VamsiKrishna and B. Chandra Mohan
14. Analysis of V-BLAST Techniques for MIMO Wireless Channels with different 74-79
modulation techniques using Linear and Non Linear Detection
Shreedhar A. Joshi, Rukmini T. S. and Mahesh H. M.

15. Half Wavelength Double-ridged Half Height Rectangular Waveguide Resonator 80-84
Divya Unnikrishnan and Girish Kumar

16. Monitoring of Risky Parameters Using ZigBee Based Wireless Sensor Network 85-91
Shanmugaraj M. and R. Prabakaran

17. Peak to Average Power Ratio (PAPR) Reduction Techniques for OFDM-MIMO System 92-96
P. Malathi and P. T. Vanathi

18. Analytical Model for Compensating the Curling Effect in MEMS Cantilever Beam 97-100
Vaishali B. M., Uday V. Wali and Anil V. Nandi

19. Approaches to Optimum Dimensions Selection of Remotely Sensed EO-1 Hyperion Data 101-107
for Crop Classification
Hasmukh J. Chauhan and B. Krishna Mohan

20. Study of Transistor Mismatch in Differential Amplifier at 32 nm CMOS Technology 109-115


V. S. Raju Mandapati, Nishanth P. V. and Roy Paily

21. Six new Full Adder Cells Based on Majority-not Gate in 45nm CMOS Technology and
116-122
Analysis in SOI Technology
Manijeh Alizadeh, Behjat Forouzandeh and Reza Sabbaghi-Nadooshan

22. Fuzzy C-Means method for Colour Image Segmentation with L*U*V* Colour 123-127
transformation
R. Krishna Priya, C. Thangaraj and C. Kesavadas
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
1
ISSN (Online): 1694-0814
www.IJCSI.org

Pneumatic Pressure Cell with Twin Diaphragms


Embedding Spherical Corrugations in a Dual Diaphragm
Structure
A. Cellatoglu1 and K. Balasubramanian2
1
Department of Computer Engineering, European University of Lefke
Turkish Republic of Northern Cyprus, Mersin 10, TURKEY

2
Department of EE Engineering, European University of Lefke
Turkish Republic of Northern Cyprus, Mersin 10, TURKEY

Abstract
Thin metallic shallow spherical diaphragms are being used for 1.1 Thin Metallic Shallow Spherical Diaphragm
measuring pneumatic pressure in process industries. The drift in
vertex realized due to application of pressure is transformed into The drift in the vertex of a thin diaphragm with shallow
electrical signal and this is calibrated for pressure. We now spherical structure depends on the elastic properties of the
propose a modified structure for the pressure cell by having materials used for the diaphragm, its geometry and size.
double ended shallow spherical shells embedded with spherical With an appropriate pickup the enhancement of the drift
corrugations as to enhance the sensitivity to a greater extent. By
produces significant raise in the level of signal. The
having dual such installation in the structure of the pressure cell
it concedes further increase in sensitivity. The construction relationship between the applied pressure and the drift in
details of the diaphragm structure, theory and analysis to assess the vertex is established by solving governing equations
the performance are presented. with boundary conditions of the diaphragm. Fig.1 shows
the schematic of a shallow spherical shell inflated by
Keywords: Dual Diaphragm Structure, Spherical Corrugations, pneumatic pressure wherein w denotes the altitude of a
Pressure Cell, Sensitivity Enhancement, Twin Diaphragms
point in the surface of shell measured from plane of the
rim at a radial distance r. The altitude of the vertex from
1. Introduction the rim plane is denoted as f. The altitude f is shown to be
dependent on pressure and various mechanical parameters
Pneumatic pressure cells employing diaphragms are [9-11] as
conventionally being used in process industries. Most
diaphragm based cells use strain gauge pickups[1] and ra (1)
f = A−
certain cells use vibrating wires[2]. Thin metallic 3. A
diaphragms yield relatively larger drift in the vertex when
pressure is acting on it. This drift in diaphragm was where ra: radius of the rim of the diaphragm
transformed into electrical signal by using inductive
η
1/ 3
pickup, capacitive pickup and LVDT pickups[3-5].  (2)
A= +ς
Enhancing further the sensitivity a structure with dual 2 
1/ 2
diaphragm structures were also reported[6,7]. A α 3 η2  (3)
ς =  + 
geometrical structure involving corrugations along with a  27 4 
diaphragm for making a pressure cell was also reported[8]. 56.h 2 (4)
α =
Yielding further enhancement in sensitivity we propose (1 + γ )(
. 23 − 9.γ )
now dual diaphragm structure for the pressure cell with
each one having twin diaphragms with embedded h : plate thickness and γ : Poisson ratio.
corrugations. This enhances the sensitivity to a greater
extent compared to other types diaphragm cells. 7. p.ra 4 h 2 (5)
η=
Evidently, the sensitivity enhancement permits the cell to 8.D (1 + γ )(
. 23 − 9.γ )
measure weaker pressure signals and makes it more useful.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
2
ISSN (Online): 1694-0814
www.IJCSI.org

shown in Fig.2. This has improved geometrical structure


compared to the earlier pressure cell[8] wherein four
where D : flexural rigidity which is a mesurement of
spherical diaphragms with spherically embedded
stiffness and is given by
corrugations are employed. Each diaphragm structure of
the twin diaphragms has two diaphragms with multiple
E.h 3 corrugations embedded. The diaphragms are spaced facing
D= (6)
(
12. 1 − γ 2
) symmetrically opposite to each other wherein the
pneumatic pressure is allowed in at the vertex position of
where E : Young’s modulus. the first diaphragm and capacitor plates for the pickup are
extended in the other diaphragm.
The altitude of the diaphragm w was shown to be related
to f [7],[9] as given below Shallow
Corrugations Spherical Shell

Gas
ra
entrance
from r
pressure
p
chamber
w f

Diaphragm Pickup

Fig.2. Schematic of he Sectional View of the Double ended


Diaphragm Structure with Embedded Corrugations
Fig. 1 Schematic of Diaphragm based Pressure Cell

2
An array of light weight Silver coated Teflon cylindrical
 r
2
 plates arranged in one diaphragm slide through the gaps
w(r ) = f .1 −    (7)
 a  between the similar cylindrical plates arranged in the
 
other diaphragm. When pressure is applied it causes both
The pickup installed in the diaphragm should be efficient corrugated diaphragms to move in opposite directions.
in extracting the drift information into electrical signal. When the plates move in the gaps of each other it results
One of its essential requirement is that it should be light in in change of effective area of plates contributing to
weight such that it will not impose any payload which change in capacitance. By classical theory, the
would affect the mechanical properties of the diaphragm. capacitance between any two plates is given by
Therefore, in the past weightless inductive pickups and εA
C = (8)
capacitive pickups were attempted. Now for the proposed d
twin dual diaphragm structure we use modified hollow
cylindrical capacitive pickup as it adapts better to this where ε: Dielectric constant,
geometry. A: Area of plates and
d: displacement between plates.
The instantaneous capacitance is detected and converted
2. Twin Dual Diaphragms With Embedded
into voltage for measurement and transmission to any
Corrugations other location for processing and display.
2.1 Geometry and Structure 2.2 Drift Contributions from Spherical
Corrugations
A simplified schematic of the geometrical structure
illustrating the principle of the corrugations embedded The purpose of embedding corrugations is to yield
twin dual diaphragms installed with capacitive pickup is relatively larger drift in vertex due to application of
pressure. For assessment of the drift in vertex contributed
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
3
ISSN (Online): 1694-0814
www.IJCSI.org

by a shallow spherical corrugation, the geometry of a There are two diaphragms at the end. Including the
segment of corrugation shown in Fig.3 is referred. displacement contributed by two ending diaphragms the
resulting drift is
xj fd = fn + 2.fx (11)

wj Since there are two corrugated diaphragms the relative


displacement between the two vertices fdr is given by

fdr = 2.fd (12)

fj
This distance fdr realized is much greater than that of the
distance realized from a single diaphragm f. Therefore, the
application of pressure px causes relatively larger
capacitance with the array of capacitor plates. As a result,
the sensitivity contributed by dual corrugated twin
diaphragm based pressure cell is exceedingly larger than
that of single diaphragm pressure cell. Fig.4 shows the
Fig.3. A Segment of Shallow Spherical
Corrugation relationship between the capacitances realized in dual
corrugated diaphragm cell compared to that of dual
diaphragm cell and single diaphragm cell.
The corrugation with groove length xj exhibits the axial
distance wj at the point of terminating the groove where as The diaphragm used is a medium strength Al alloy of
the axial distance of vertex passing through the centre of 2.5cm radius, 0.6mm thickness and 0.5cm of height for the
the diaphragm is fj. spherical shell with Young’s modulus 200GN/m2 and
Knowing fj, the distance wj can be obtained by using the Poisson ratio 0.3. Corrugations with 8 sectors are
relation (7) with r made as rj and a made as ra. employed in each diaphragm structure. Silver coated thin
Teflon cylindrical plates with radius of outer cylinder as
Therefore, each slanted sector of a groove contributes an 2cm and length 1.5cm is employed as capacitor plates.
axial distance of wj for producing drift when pressure acts The length of the innermost plate used is 1.2 cm. With the
on the diaphragm structure. application of pressure of 10 Pascals the drift in the
For standard applied pressure ps, the end spherical shell of vertices of diaphragms has shown a capacitance of 15pF
the diaphragm yields the drift in the vertex fs governed by where as when the pressure is void the capacitance
(1) to (6) developed is 2PF. For a similar arrangement of capacitor
plates in dual diaphragm pressure cell excluding
For an unknown pressure px, if the drift in vertex is corrugations the capacitance resulted is 7pF for the
denoted as fx, then change in drift of the vertex ∆f is given pressure of 10 Pascals. For a single diaphragm cell the
by capacitance realized is nearly half compared to dual
diaphragm cell.
∆f = fx-fs (9)
With an application of pressure of 10 Pascals to the cell
This change in drift of the vertex ∆f is experienced due to with 8 sectors of corrugations it has resulted a capacitance
change in pressure ∆p=px-ps. of 15 pF and if number of sectors decrease then the
The axial distance experienced at any instant due to capacitance also would decrease accordingly as per the
application of instantaneous pressure px acting on the relationship already discussed.
diaphragms is obtained from the contributions of all For the sector geometry of xj as 0.5cm, rj as 2 cm the fj
slanted sectors of the corrugations and from the drifts of resulted is 0.6 cm and wj is 0.2078cm. The drift
the ending up shallow spherical shells. contribution per sector is 0.0776 cm for the applied
If one sector of corrugation contributes an axial distance pressure of 10 Pascals. Therefore, the total drift resulted
of wx due the applied pressure px, then the axial distance by 8 sectors would be 0.622 cm. The contribution of drift
materialized by one diaphragm with n sectors of due to two ending diaphragms would be 0.4cm. This has a
corrugation is total displacement of 1.022cm contributed by corrugations
and diaphragms. Obviously the drift contribution reduces
fn = n.wx (10) with the number of sectors employed and for four sectors
this would be 0.711cm. Fig.5 shows the realization of
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
4
ISSN (Online): 1694-0814
www.IJCSI.org

capacitance as a function of number of sectors present in 2.3 Generation of Electrical Signal with
the corrugations. The pressure applied is kept as a Applied Pressure
reference of 10 Pascal’s in all computations.
The capacitance realized in the chamber of twin dual
diaphragm pressure cell has to be transformed into
electrical signal for transmitting, processing and display.
15pF Fig.6 shows the circuit schematic of the transducer
Cap
producing the electrical signal. An AC bridge comprising
the transducer capacitance Ct, lumped capacitor C2,
Cx parallel circuits of C3,L3 and C4,L4 is excited by a
sinusoidal signal of frequency 10KHz. The error signal is
picked up in the other two nodes of the bridge and is
2pF amplified by a difference amplifier. The amplified signal
represents the intensity of the pressure signal. When the
bridge is balanced the output signal would be zero.
Single Whenever Ct changes due to change in pressure, then the
diaphragm unbalance in bridge gives error signal denoting the
Cell pressure applied.
0 px 10 Pascal The condition for balancing the bridge is as follows.
Fig.4. Capacitances Resulted in
Different Diaphragm Structures Ct
=
(
1 − ϖ 2 L 4.C 4 ) (13)
C2 (
1 − ϖ 2 L3.C 3 )
15pF
The voltage gain G of the difference amplifier is given by
Cap

Cx G = R2( R1
) (14)

and the output voltage of the amplifier is


5pF
tp = G. ei (15)
where ei is the error signal obtained from the bridge.
These circuit parameters are chosen to amplify the signal
2 4 8
to the required level against the variations due to
0 Number of corrugations environmental conditions such as temperature.
The elements of the bridge and the gain of the difference
Fig.5. Capacitance Cx vs Corrugations
amplifier are selected such that desirable range of error
signal is reached for optimized measurement with the type
R2
of diaphragms involved. There is an inherent nonlinearity
involved in the transducer and this linearized and
Ct calibrated to pressure by using a circuit scheme shown in
R1
Fig.7. The analogue output from the differential amplifier
C3,L3 of the transducer circuit is in AC form with a frequency of
10KHz and this is converted into DC by a standard
C4 L4 tp precision rectifier using op-amps. This is then converted
R2
into binary form of data by using a 12-bit Analogue to
C2 Digital Converter (ADC) and driven with the address bus
of the 4K EPROM where the lookup table of the
linearized pressure data (Pd) is already stored. This binary
data obtained at the addressed location is converted into
analogue form by a Digital to Analogue Converter (DAC)
Fig.6. Transducer Circuit Schematic for Deriving
Pressure Signal
and the calibrated pressure signal (pa) is sent externally
for meeting other purposes. Also, the binary information
from 4K EPROM is converted into BCD form again
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
5
ISSN (Online): 1694-0814
www.IJCSI.org

using lookup table approach implemented with EPROMs in Fig.8. The microprocessor is supported by RAM and
and driven to a display system for the display of pressure EPROM memory and standard peripherals such as
information. The display information is latched and keyboard and display. With this scheme any type of
updated every second automatically. pressure waveform could be generated and applied to the
pressure cell and response could be read and analyzed.
Precision ADC EPROM Analogue Pressure Signal
Rectifier

Digital
Pressure Pressure Trans
From DAC Pd Data ADC & Chamber
Transducer In Port -ducer
tp
Binary to BCD
Converter O
EPROMs EPROM ut
RAM MPU Po Current Pressure
Linearized to Cell
rt
Analogue Pneuma
&
Pressure Latch tic
D
Signal pa and Press
A
Display Convert
C

Fig.7 Schematic of Linearizer


Pd: Linearized Digital Pressure Data Keyboard Display

3. Experımental Analysıs
Fig.8. Experimental Setup
In order to assess the performance of the pressure cell
both simulated and practical experiments are conducted.
10
3.1 Simulated Experiment Pascal

A pressure cell of twin dual diaphragms embedded with Simulated Output


corrugations of 8 sectors shown in Fig.2 and its geometry Pressure
and materials explained in section 2.2 is experimented by output
computing the capacitance realized for different pressure
inputs covering its operating range. First the relative drift
in the vertices of two diaphragms is computed as per Experimental
Output
relations (1) to (7) and (9) to (12). After then capacitance
is computed as per relation (8). Lookup table approach is
used in the computation wherever possible. Incidentally 0
when we change the geometry and materials for the
diaphragms, then lookup tables have to be changed 0 px 10
accordingly. After computing the capacitance the error Pascal
signal in the transducer is computed and the peak value is Input Pressure
taken as the rectified voltage. It is again given the lookup Fig.9. Experimental Results
table in the EPROM as to obtain the measured pressure
signal. In this computation it has been found that the The instantaneous analog voltage derived from a byte sent
computed pressure tallies closely with the assumed to the output port is converted into equivalent current and
pressure input. driven to a current-to-pneumatic pressure converter. This
converter has a pressure chamber backup with compressed
3.2 Setup for Practical Experimentation air and lets the output pressure at regulated level as set by
the magnitude of the actuating current. Consequently the
A microprocessor based experimental setup is realized for response in analogue form from the pressure cell is
conducting practical experiments with the twin dual digitized and read by the microprocessor for further
diaphragm pressure cell. Its simplified schematic is shown
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
6
ISSN (Online): 1694-0814
www.IJCSI.org

analysis. The data are saved in memory for further usage [4] A.Cellatoglu and K. Balasubramanian, 2010, “LVDT
in making graphics plot and driving dot-matrix display. Pickup for Improved Sensitivity in Diaphragm Based
High-Pressure Measuring Mechatronic Device”,
Proceedings (CD) of International Conference on
Convergence of Science & Engineering Education and
While there is one to one correspondence between the Research, a Global Perspective in the New Millennium,
applied pressure and simulated output pressure determined (ICSE-2010), Bangalore, pp IT_1 to IT_5. (ICSE-2010-
by computation there is a little difference in the practically Abstract pp 182).
obtained pressure especially at higher ranges of the [5] K. Balasubramanian and A.Cellatoglu, 2008, “Exploiting
applied pressure. Fig.9 shows both the results for different the Inflation Characteristics of Thin Metallic Spherical
values of the applied pressure. Diaphragm for Designing Pneumatic Pressure Transducer”,
Proceedings of the International Conference on Digital
Factory, (ICDF-2008), Coimbatore, India, pp 1828-
4. Conclusıon 1834.
[6] A. Cellatoglu and K.Balasubramanian, 2010, “High
The geometry of the capacitance pickup is optimized such Performance Capacitive Pickup Implanted Pneumatic
as to produce maximum capacitance generated within the Pressure Cell Holding Dual Diaphragms”, Proceedings of
available space of the chamber. The plates of the capacitor International Conference on System Dynamics and
are geometrically constructed in the form of concentric Control, (ICSDC2010), Manipal, India, pp 17-22.
hollow cylinders. The cylindrical plates attached to one (ICSDC2010-Abstracts pp 3).
diaphragm would make movement within the gaps of [7] A. Cellatoglu and K.Balasubramanian, 2010, “Embedded
cylindrical plates attached to another diaphragm and this Microcontroller for Performance Analysis of Mechatronic
would produce relatively more capacitance than the earlier Devices”, Proceedings of 2010 International Conference on
parallel plate capacitors. When the sector length involved Embedded Systems and Applications (ESA-2010), Las
Vegas, USA, Paper ESA-3507, pp 21-26.
in corrugation is more it contributes more axial drift and
[8] A.Cellatoglu and K.Balasubramanian, 2011, “A Pressure
the capacitance. Nevertheless, after some increase in Cell of Dual Corrugated Diaphragms Installed with
sector length the geometrical stability of the dual Capacitive Pickup”, Proceedings of International
diaphragm structure is affected and additional care should Conference on Mathematics and Computer Science,
be taken to guide the path of the diaphragms when Chennai.
dynamic pressure is applied. Therefore for every [9] K.Balasubramanian and A.Cellatoglu, 2010, “Precision
diaphragm size there exists an optimum sector length and Pneumatic Pressure Measurement with diaphragm based
this is followed in designing the diaphragm structure. mechatronic devices”, International Journal of Electronics
Twin diaphragm based pressure cell with spherical published by Taylor and Francis, Vol. 97, No. 1, pp 45–
62.
corrugations yield more axial displacement of the vertex
[10] D.Maier-Schneider, J.Maibach and E.Obermeier, 1995, J.
contributing to generation of increased signal strength. Microelectromechanical Systems, Vol 4, pp 238-241.
Added to this effect, the dual diaphragm configuration [11] H.E.Elgamel, 1995, Sensors and Actuators A, Vol 50, pp
enhances the capacitance output nearly two folds. The 17-22.
capacitance enhancement contributes to increased
sensitivity in pressure measurement and promises to be
more useful in several process industries.

References
[1] S.Rangan, G.R.Sarma and V.S.V.Mani, 1983,
Instrumentation Devices and Systems, Tata McGraw Hill,
NewDelhi.
[2] K.Balasubramanian, K.Guven and Z.G.Altun, 1994,
"Microprocessor-based new technique for measuring
pneumatic pressure using opto-coupler controlled vibrating
wire transducer", Proceedings of the 1994 IEEE
Instrumentation and Measurement Technology Conference,
Hamamtsu, Japan, pp 464-467.
[3] K.Balasubramanian and A.Cellatoglu, 2008, “An Inductive
Pickup for Pneumatic Pressure Transducer Developed over
Thin Metallic Spherical Diaphragm”, Proceedings of the
International Conference on Mathematics and Computer
Science, (ICMCS 2008), Chennai, India, pp 19-26.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
7
ISSN (Online): 1694-0814
www.IJCSI.org

A New Generation VLSI Approach for V/F Control of


Three-Phase Induction Motor
M.S.Aspalli1, Veerendra.D2and P.V.Hunagund3
1
Dept of Electrical & Electronics Engg, Poojya Doddappa Appa College of Engg,
Gulbarga.INDIA.

2
Dept of Electrical & Electronics Engg, Poojya Doddappa Appa College of Engg,
Gulbarga.INDIA.

3
Department of Applied Electronics, Gulbarga University,Gulbarga.
Karnataka.INDIA

resulting in longer life, lower power dissipation. Although


Abstract various induction motor control techniques are in practice
AC induction motor (ACIM) is the workhorse of industrial and today, the most popular control technique is by generating
residential motor applications due to its durability, robustness variable frequency supply, which has constant voltage to
and reliability. In today’s energy conscious world, driving and frequency ratio. This technique is popularly known as V/F
controlling the induction motor efficiently are prime concerns. In control [4]. Introduction of VLSI technology for the
the proposed scheme the v/f control of 3-phase induction motor
induction motor control are getting more and more
uses the advanced VLSI technology that is a unique VLSI chip
called Digital Signal Controller (DSC), which has features of important and popular now a days. Both microcontroller
both microcontroller and DSP. In this work, a v/f controlled and DSP are presently used in motor control. In this
three phase induction motor controller is developed using proposed scheme v/f control of three-phase induction
dsPIC30F2010 chip. The output voltage is filtered using c-type motor is developed by using digital signal controller
output filter. A 1HP, 3-phase, 415V, 50Hz, 1440rpm, induction dsPIC30F2010. A Digital Signal Controller (DSC) is a
motor is used as load for the inverter. Digital Storage single-chip, embedded controller that seamlessly
Oscilloscope Textronix TDS2024B is used to record and analyze integrates the control attributes of a Microcontroller
the various waveforms. The experimental results for v/f control (MCU) with the computation and throughput capabilities
of 3-PH induction motor using dsPIC30F2010 chip clearly shows
of a Digital Signal Processor (DSP) in a single core.
constant volts per hertz and stable inverter line to line output
voltage. Microchip’s dsPIC DSC offers everything what we would
Keywords: DSC, constant volts per hertz, VLSI, PWM inverter, expect from a powerful 16-bit MCU. By skillfully adding
ACIM. DSP capability to a high-performance 16-bit MCU,
Microchip’s dsPIC30F families of DSCs achieve the best
of both worlds and mark the beginning of a new era in
1. Introduction embedded control of three-phase induction motor [5].

As far as the machine efficiency, robustness, reliability,


durability, power factor, ripples, stable output voltage and
torque are concerned, three- phase induction motor stands
at the a top of the order. Motor control is a significant, but
often ignored portion of embedded applications. Motor
control applications span everything from residential
washing machines, fans to hand-held power tools, and
automotive window lift, traction control systems and
various industrial drives [1-2]. All most in all the
applications there is a drastic move away from analog
motor control to precision digital control of motors using
Figure 1. Torque-speed characteristics of the induction motor
different processors. Digital control of induction motors
results in much more efficient operation of the motor,
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
8
ISSN (Online): 1694-0814
www.IJCSI.org

2. V/F Control of Three-Phase Induction rectifier, three-phase full bridge inverter, control circuit,
Motor speed sensing unit and output filter. In the proposed work
the three-phase bridge rectifier is designed using IN5408
The torque developed by the induction motor is directly power diodes. Each power diode is protected from high
proportional to the v/f ratio. If we vary the voltage and dv/dt by using metal oxide varistors.The output of
frequency, keeping their ratio constant, then the torque rectifier is filtered by 100µF, 450V capacitors. The three-
produced by induction motor will remain constant for all phase inverter has FGA25N120ANTD -IGBT switches,
the speed range. Fig.1 shows the torque-speed with the snubber circuit for each switch. The output of
characteristics of the induction motor with V/F control. inverter is filtered by c-type filter. The filtered output is
The voltage and frequency reaches the maximum value at applied to the three-phase induction motor. The digital
the base speed. We can drive the induction motor beyond control of motor is achieved by applying gate pulses from
the base speed. But by doing so only frequency varies but the control circuit to each the IGBT’s switch through
not voltage. Hence the ratio of v/f will no longer remain optoisolation.
constant. Since the torque developed by the induction
motor is directly proportional to the v/f ratio will not 3.2 Power circuit design
remain constant throughout the speed [4].
The power circuit is designed using 25A, 1200V IGBT.
Let us consider the sinusoidal ac voltage is applied to the These IGBTs are protected against surge voltages using
three-phase induction motor [6]. Then we have steady snubber circuit. The 3-phase induction motor is connected
state, to 3-phase bridge inverter as shown in Figure 3. The
V ∝ jωφ (1)
power inverter has six IGBT switches that are controlled
in order to generate 3-phase AC output from the DC bus.
That is,
PWM signals, generated from the controller, control these
V ∝ ωφ (2)
six switches. The amplitude of phase voltage is
Where V and φ are magnitude of stator voltage and stator determined by the duty cycle of the PWM signals While
flux and V and φ are phasors of stator voltage and stator the motor is running, three out of six switches will be on
flux, respectively, Thus, we get at any given time; either one upper and two lower
V V switches or one lower and two upper switches. The output
φ≈ ≈ (3) of the inverter is almost square wave which is having
ω 2Πf
harmonics.
Hence from the above equation, we can write
V
φ≈ (4)
f
The slip for maximum torque is
Rr
sm =
[( )]
(5)
Rs + β ( X S + X r )
2 2 2 1/ 2

Where S m, R s, R r , X s , X r are Slip for maximum torque,


per phase resistance of stator winding, per phase resistance
of rotor winding, per phase leakage resistance of stator
winding, per phase leakage resistance of rotor winding
referred to stator winding respectively. The acceleration
and deceleration of the motor can be controlled by
controlling the change of the supply frequency to the
motor with respect to time [8].
Figure 2. Block diagram of complete system

3. Implementation of V/F Motor Drive A passive filter at the output of power circuit is used to
remove the harmonics. The windings of induction motor
3.1 System overview oppose any sudden change in direction of current flow
until all the energy stored in winding is dissipated. A fast
The basic block schematic of three-phase induction motor recovery diode is connected antiparallel to each IGBT to
drive is shown in Fig.2. It has three-phase full bridge facilitate this. This diode is known as freewheeling diode.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
9
ISSN (Online): 1694-0814
www.IJCSI.org

Hence all the six IGBTS are having six freewheeling


diode which is shown in power circuit [3-5]. Figure 4. Gate pulses for the firing IGBTs.
If the upper and lower switches of the same half bridge are It also consists of six opto-coupler for isolating the control
switched on at the same time then this will cause DC bus and power circuits. Six IGBTs of the power circuit are
supply to short. To prevent the DC bus supply from being controlled by the pulse width modulation (PWM) signals
shorted, certain dead time must be given between generated by the control circuit. These PWM signals are
switching off the upper switch and switching on the lower indeed required to derive a varying AC voltage from the
switch and vice versa. This ensures that both switches are power circuit. A dead time of 2 micro second is given
not conducting at the same time as each one change states. between switching off the upper switch and switching on
The dead gap is made longer than the maximum turn-on the lower switch and vice versa, to avoid shorting the DC
time and turn-off time. Fig.4. shows the gate pulses for bus.
firing the IGBTs.
In this proposed scheme a 50% PWM duty cycle is used.
Because a 50% PWM duty cycle is normally used as a
zero-current reference point [6]. If all the PWM duty
cycles are at 50%, then all the phases will have same
applied average voltage and there will be zero average
current flowing in the motor. If the PWM signals are
raised above the 50%, then the positive current will be
generated in the winding. If the PWM signals are raised
below the 50%, then the negative current will be generated
in the winding.

4. Experimental Results and Analysis of


Speed Control
The proposed scheme is implemented and tested in power
Figure 3. Three-phase bridge inverter electronics laboratory. Various readings are taken for
various speed and load. The results are tabulated in table
3.3 Control Circuit 1, 2, 3, 4, 5 and 6 Fig. 5, 6,7,8,9, and 10 show the various
characteristics for the set RPMs 1440,1380,1320,1260
In this work Microchip’s dsPIC30F2010 digital signal respectively. In this work an accuracy of 98% is achieved
controller is used. Microchip’s dsPIC30F2010 digital in the speed control. Fig 13 shows the complete hard ware
signal controllers place unprecedented performance in the experimental set up.
hands of 16-bit MCU designers. The dsPIC DSC has the
“heart” of a 16-bit MCU with robust peripherals and fast Table 1. Results for load Torque vs. 1440 rpm
interrupt handling capability and the “brain” of a DSP that SL. Load Actual Frequency Current
manages high computation activities, creating the NO Torque RPM Of V out (A)
optimum single-chip solution for embedded control of 01 4.091 1440 48.0054 0.90
three-phase induction motor. 02 9.81 1430 48.2274 0.90
03 14.715 1430 48.23 0.95
04 19.24 1430 48.23 0.95

2000
1800
1600
Speed (RPM)

1400 1440 1430 1430 1430


1200
1000
800
600
400
200
0 5 10 15 20 25
Torque(NM)
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
10
ISSN (Online): 1694-0814
www.IJCSI.org

Figure 5.Actual RPM vs Load Torque for 1440 rpm

Table 2. Results for load Torque vs 1380 rpm


2000
SL. Load Actual Frequency Current
1800
NO Torque RPM Of V out (A)
1600

Speed (RPM)
01 4.091 1380 46.095 0.92 1400
02 9.81 1380 46.096 0.93 1200 1250 1260 1260 1250
03 14.715 1370 46.05 0.93 1000
04 19.24 1390 46.091 0.95 800
600
1600 400
200
1400 1380 1380 1370 1390
0 5 10 15 20 25
Speed (RPM)

1200
Torque(NM)
1000
800 Figure 8. Actual RPM vs Load Torque for 1260 rpm

600
400
Table 5. Experimental results for load 0.5Kg.
200
0 5 10 15 20 25 SL. Actual Stator Frequency
Torque(NM) NO speed voltage Hz V/F
Figure 6. Actual RPM vs Load Torque for 1380 rpm 01 1260 354 42.17 8.30
02 1310 357 44.14 8.11
03 1370 368 46.09 8.0
Table 3. Results for load Torque vs 1320 rpm 04 1450 372 48.22 7.9
SL.N Load Actual Frequency Current
O Torque RPM Of V out (A)
01 4.091 1310 44.14 0.85
02 9.81 1310 44.13 0.89 49
03 14.715 1320 44.13 0.90 48
04 19.24 1310 44.12 0.90
Frequency (Hz)

47
46
45
2000 44
1800 43
1600 42
Speed (rpm)

1400 1320
1310 1310 1310 41
1200
1000
350 355 360 365 370 375
800 Voltage(volt)
600
400 Figure 9. Stator voltage magnitude verses frequency
200
0 5 10 15 20 25
Torque(NM) Table 6. Experimental results for load 2Kg
Figure 7. Actual RPM Vs Load Torque for 1320 rpm SL. Actual Stator Frequency
NO speed voltage Hz V/F
Table 4. Results for load Torque vs 1260 rpm 01 1260 350 43.17 8.0
SL. Load Actual Frequency Current 02 1310 355 45.14 7.8
NO Torque RPM Of V out (A) 03 1370 362 46.09 7.9
04 1450 367 48.22 7.9
01 4.091 1250 42.1752 0.95
02 9.81 1260 42.05 0.90
03 14.715 1260 42.05 0.90
04 19.24 1250 42.10 0.95
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
11
ISSN (Online): 1694-0814
www.IJCSI.org

49
48
Frequency (Hz)

47
46
45
44
43
42
345 350 355 360 365 370
Voltage (volt)

Figure 10. Stator voltage magnitude verses frequency


Figure 11(c) Gate pulses at 500gm load for 1360rpm
From the Table 5 and 6 we can observe that, as the voltage
is varied, the frequency also varies proportionally. Hence Fig.ure 12 (a), (b), and (c) shows the waveforms of
the ration of v/f is almost constant. inverter line to line voltage at 1440.1380 and 1360 rpm
Figure 11 (a), (b) and (c) shows the gate pulses at 500gm speed.
load for the 1440, 1380 and 1320 rpm speed respectively.

Figure 12(a) Waveforms of inverter line to line voltage for 1440rpm

Figure 11(a) Gate pulses at 500gm load for 1440rpm

Figure 12(b) Waveforms of inverter line to line voltage for 1380rpm


Figure 11(b) Gate pulses at 500gm load for 1380rpm
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
12
ISSN (Online): 1694-0814
www.IJCSI.org

References
[1] Alfredo,Thomas A. Lipo and Donald W. Novotny, “A New
Inductio Motor V/f Control Method Capable of High-
Performance Regulation at Low Speeds” IEEE Trans.
Industry Applications, Vol. 34, No. 4 July/ August 1998.
[2] Pradeep M Patil, Sanjay L Kurkute, “Speed control of three
phase induction motor using single phase supply alongwith
active power factor correction” ACSE Journal, Volume (6),
Issue (3), Oct. 2006.
[3] Speed Control of 3-Phase Induction Motor Using PIC18
Microcontrollers, by Microchip Technology Inc.
[4] VF Control of 3-Phase Induction Motors Using
PIC16F7X7 Microcontrollers by Microchip Technology
Figure 12(c) Waveforms of inverter line to line voltage for 1320rpm Inc.
[5] An introduction to AC induction motor control using
dspic30F MCU , by Microchip Technology Inc.
[6] AC Induction Motor Control Using Constant V/Hz
Principle and Space Vector PWM Technique with
TMS320C240, by Texas instruments.
[7] Ned Mohan Tore. Undeland and William.p.Robbins:
“Power Electronics:Converter,Applications and
Design”,John Willey and Sons,1995.
[8] Rashid M.H,Power Electronics-Circuits,Devices and
Apllications”, third edition Printice HallIndia,2001.
[9] K.Gopakumar,V.TRanganathan and R,Bhat, “Three phase
induction motor operation from pwm voltage source
inverter.” IEEE Trans. Industry Applications, Vol. 29,
No. 5 September/October 1993.
[10] Werner Deleroi, Johan B. Woudstra, and Azza fathima,
“Analysis and Application of Three-phase Induction Motor
Figure 13. Photograph of complete system Controller with Improved Transient Performance.” ” IEEE
Trans. Industry Applications, VOL. 25, NO. 2,
MARCHIAPRIL 1989 .
[11] A New Induction Motor V/f Control Method Capable of
High- Performance Regulation at Low Speeds Alfredo
5. Conclusions Mu˜noz-Garc´ıa, Thomas A. Lipo, Fellow, IEEE, and
Donald W. Novotny, Fellow, IEEE.
A new generation VLSI approach for the v/f control of
[12] K.Koga, R.Ueda and T.Sonada, “constitution of v/f control
three-phase induction motor has been presented. This
for reducing the speed error in induction motor system.” In
complete system is developed and tested in power Conf.Rec.IEEE-IAS Annu.Meeting,1990.
electronics laboratory. Speed control of motor is acquired
[13] Robbie F. McElveen, Member, IEEE, and Michael K.
with the accuracy of ±10 rpm. Hence in this proposed
Toney, Senior Member, IEEEStarting High-Inertia Loads
scheme 98% accuracy of speed control is recorded. With IEEE Transactions On Industry Applications, Vol. 37, No.
the variation of stator voltage, frequency is also varied 1, January/February 2001.
proportionally, such that v/f ratio is constant. The inverter [14] M.S.Aspalli,, Vinaya Kumar, P V Hunagund, Development
line to line voltage recoded is very stable and very smooth and Analysis of Variable Frequency Three Phase Induction
compared to single-phase. Hence this three-phase Motor Drive, IJ-ETA-ETS, July 10-Dec 10, Vol.3,
induction motor V/F control by DSC is more stable, Issue2:PP 189-195.
efficient and economical.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
13
ISSN (Online): 1694-0814
www.IJCSI.org

Design and Implementation of Color Conversion Module


RGB to YCbCr and Vice Versa
Prathibha. E1, Dr. Siva Yellampalli2 and Prof. A. Manjunath3
1
VTU Extension Centre, UTL Technologies LTD
Yeshwanthpur, Bangalore-560022

2
VTU Extension Centre, UTL Technologies LTD
Yeshwanthpur, Bangalore- 560022

3
Sri Krishna Institute of Technology
Bangalore-560090

Abstract 2. Block Diagram


In this paper, we present the architecture and design of a color
space conversion module. The color space converter module is
The block diagram for the proposed system is shown in
used for changing the image from RGB color space to YCbCr
color space and vice-versa. The color space conversion module Fig. 1. The proposed system consists of a color conversion
was designed using VHDL and was implemented on an FPGA. module designed using VHDL and implemented on a
This design methodology helped us to achieve faster the time to FPGA. The color conversion module is interfaced with the
market and also the ability to reuse one physical device across real world image through MATLAB. For color space
multiple functions. conversion, the RGB image is taken and converted into
Keywords: VLSI, Fuzzy Logic, FPGA, VHDL, RGB, YcbCr
pixels using MATLAB. The generated pixels are given to
the color converter module. The color conversion module
generates new set of pixels, YCbCr pixels which are given
back to the MATLAB which recreates the image in
1. Introduction YCbCr color space. If the image is in YCbCr color space
the same color space converter can be used to generate
Color space conversion has become an integral part of image in RGB color space. This design helps us to reduce
image processing and transmission. Real time images and the overall hardware requirement of the system.
video are stored in RGB color space [1]. Transmitting
images in RGB color space is not practical as their
bandwidth requirement is very high. To overcome this RGB/YC
problem and minimize the bandwidth requirement images bCr Matlab Color
in RGB color space are converted into other color space Image Converter
such as YUV, YIQ and YCbCr and then transmitted. The Module
choice of the color space is dependent on the application
and their requirement such as less storage, bandwidth or YCbCr/R
computation in analog or digital domains [2]. In this paper GB Matlab
we present the architecture of a color conversion module Image
for efficient implementation of color space conversion
from RGB to YCbCr color space and vice versa using an
FPGA based system. Figure 1. Block diagram of the proposed system
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
14
ISSN (Online): 1694-0814
www.IJCSI.org

3. Introduction to Color Spaces  The color values may be written in the range 0.0
(minimum) to 1.0 (maximum). Full intensity red
A color space is a method by which we can specify, create is 1.0, 0.0, 0.0.
and visualize color. As humans, we may define a color by  The color values may be written as percentages,
its attributes of brightness, hue and colorfulness. A from 0% (minimum) to 100% (maximum). Full
computer may describe a color using the amounts of red, intensity red is 100%, 0%, 0%.
green and blue phosphor emission required to match a
color. A printing press may produce a specific color in The color values may be written as simply by multiplying
terms of the reflectance and absorbance of cyan, magenta, the range 0.0 to 1.0 by 255. This is commonly found in
yellow and black inks on the printing paper [3]. A color is computer science. Full intensity red is 255, 0, 0.
thus usually specified using three co-ordinates, or
parameters. These parameters describe the position of the
3.2 YCbCr Color Space
color within the color space being used. They do not tell
us what the color is, that is dependant on what color space YCbCr is a family of color spaces used in video systems.
is being used. A color space is a mathematical Y is the luma component and Cb and Cr are the chorma
representation of a set of colors. components. The YCbCr color space is a scaled and an
offset version of the YUV color space. Y is defined to
The most popular color models are have a range of 16– 235; Cb and Cr are defined to have a
nominal range of 16–240 [5].
1.RGB (used in computer graphics);
2.YIQ, YUV, or YCbCr (used in video systems);
3. CMYK (used in color printing). The basic equations to convert between RGB and YCbCr
are:
However, none of these color spaces are directly related to Y = 0.257R+ 0.504G + 0.098B + 16
the intuitive notions of hue, saturation, and brightness. All Cb = –0.148R – 0.291G+ 0.439B + 128
color spaces can be derived from the RGB information Cr = 0.439R – 0.368G – 0.071B + 128
supplied by devices such as cameras and scanners [1] [4]. &
R = 1.164(Y – 16) + 1.596(Cr – 128)
G = 1.164(Y – 16) – 0.813(Cr – 128) – 0.391(Cb – 128)
3.1 RGB Color Space B = 1.164(Y – 16) + 2.018(Cb – 128)
The red, green and blue (RGB) color space is widely used
throughout computer graphics. Red, green and blue are The above equations have been used to generate the logic used in
three primary additive colors: individual components are the color conversion module.
added together to form a desired color and are represented
by a three dimensional, Cartesian coordinate system as
shown in Figure 2.

Figure 2. RGB Color Cube.

Figure 3. RGB Color Model


The RGB color space is the most prevalent choice for
computer graphics, because color displays uses red, green,
and blue to create the desired color [1].
3.3 Color Bars
The RGB color model colors, as shown in Fig. 3 can be Tables 1, 2 gives RGB, and YCbCr values for 100%
written in several different ways. amplitude, 100% saturated color bars for the different
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
15
ISSN (Online): 1694-0814
www.IJCSI.org

colors,(i.e., for White, Yellow, Cyan, Green, Magenta, Space depends on the application. BUS_IN are the pixels
Red, Blue, Black)[6]. Inputs of the particular image to the color space converter
and BUS_OUT gives the converted pixels output of the
Table 1. 100% RGB Color Bars image. Table 3 shows the Color Converter module
conversion.

Magneta
Table 3. Color Space Conversion Table
Yellow

Green
White

Black
Cyan

Blue
Red
Color Space
(IC_I) (OC_I) Sel
Conversion
R 255 255 0 0 255 255 0 0 0( RGB) 1(YCbCr) 01 RGB to YCbCr
G 255 255 255 255 0 0 0 0 1(YCbCr) 0( RGB) 10 YCbCr to RGB
B 255 0 255 0 255 0 255 0

Table 2. 100% YCbCr Color Bars 4.2 Block Diagram


The block diagram of the implemented color space
Magneta
Yellow

Green
White

Cyan

Blue
Red

converter module is as shown in Fig. 5. The input to the


Black color space converter module in the form of pixels is given
to BUS_IN. The two logical inputs IC_I and OC_I help us
Y 235 210 170 145 107 82 41 16 to define the color space conversion. If IC_I is 0 (zero)
Cb 128 16 166 54 202 90 240 128 and OC_I is 1 (one) then the color space converter
Cr 128 146 16 34 221 240 110 128 converts the pixels in RGB color space into pixels in
YCbCr color space. If IC_I is 1 (ne) and OC_I is 0 (zero)
then the color space converter converts the pixels in
YCbcr color space into pixels in RGB color space[8].
4. Design and Implementation
The design and implementation of the color conversion 5. Simulation and Synthesis Report
module is explained in this section. The color conversion
module was designed using VHDL and then synthesized The project was implemented using Model Sim XE
to a target FPGA [7]. simulator and was synthesized using Xilinx ISE 10.1i. The
FPGA used for implementation was 2v250fg256-6.

4.1 Functional Description

The functional diagram of the proposed color space


converter module is shown in Fig. 4
CLK_I
Rst_I
IC_I
OC_I COLOR
CONVERTER
BUS_OUT

BUS_IN

Figure 4. Color Space Converter pin-out.

The reference design has a CLK_I and RST_I Ports,


which act as Clock and Reset ports to the module. Ports
IC_I and OC_I are the Input and Output conversion types,
which specifies the Input Color Space and Output Color Figure 5. Color Space Converter Block Diagram
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
16
ISSN (Online): 1694-0814
www.IJCSI.org

5.1 RGB Color Space to YCbCr Color Space Green Color:


Conversion
The simulate results of individual color conversion from
RGB color space to YCbCr color space is presented in this
section. Fig. 6 shows the simulated results of white color
conversion from RGB color space to YCbCr color space.
White color:
Figure 9. Simulated Result for Green Color

Magenta Color:

Figure 6. Simulated Result for White Color.

From Table 1 and Table 2, we can observe that white color


is represented as (255,255,255) in RGB color space and as Figure 10. Simulated Result for Magenta Color
(235,128,128) in YCbCr color space. From Fig. 6 we can
see that the input pixels corresponding to white color in
RGB color space (255,255,255) are given to the 6. Verification Using Matlab
conversion module and pixels corresponding to white
color in YCbCr color space are generated by the color The authenticity of the designed color conversion module
conversion module at the output. The pixels generated at is verified for real time application by comparing its
the output matches with the theoretical values, validating output with the output of color conversion module
the designed conversion module. available in MATLAB. For the verification purpose a real
time image in RGB color space as shown in Fig. 14 was
Similar exercise was done for all the basic colors tabulated selected.
in Table 1 and Table 2 and the observed results are shown
in Fig. 7 to Fig. 13. From the figures it can be observed Red Color:
that the simulated output matches the theoretical output.
Yellow Color:

Figure 11. Simulated Result for Red Color


Figure 7. Simulated Result for Yellow Color
Blue Color:
Cyan Color:

Figure 8. Simulated Result for Cyan Color


Figure 12. Simulated Result for Blue Color
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
17
ISSN (Online): 1694-0814
www.IJCSI.org

with those generated by MATLAB for verifying the


Black Color: designed color conversion module.

Figure 13. Simulated Result for Black Color

Similar exercise was carried for YCbCr color space to


RGB color space conversion and even for that conversion
the simulated results matched the theoretical values. Figure 15 YCbCr color Image.

Table 4. RGB to YCbCr color space conversion using MATLAB

R G B Y Cb Cr
234 9 7 81 94 227
236 8 5 81 93 228
240 7 0 81 90 231
243 7 0 82 90 232
247 4 0 81 90 235
248 4 0 82 90 235
248 3 0 81 90 236
247 4 0 81 90 235
250 5 10 84 94 235
249 5 13 84 95 235
Figure 14 RGB color Image.

The selected picture is read using MATLAB and is Table 5. RGB to YCbCr color space conversion using theDesigned color
space conversion module
converted into pixels in RGB color space using inbuilt
MATLAB function. The RGB color space pixels
R G B Y Cb Cr
generated are then converted into YCbCr color space
pixels using MATLAB color conversion module. Then the 234 9 7 81 94 227
same RGB color space pixels generated using MATLAB 236 8 5 80 94 228
from the selected picture are given to the designed color 240 7 0 81 91 230
conversion module to generate YCbCr color space pixels. 243 7 0 79 92 227
Comparing YCbCr pixels generated by MATLAB as well 247 4 0 81 91 235
as the designed color conversion module we verify the 248 4 0 81 91 236
authenticity of the designed color module. 248 3 0 81 91 236
247 4 0 81 91 235
It was observed that both MATLAB and the designed 250 5 10 83 95 235
color module generate the same pixels values in the 249 5 13 83 97 234
YCbCr color space authenticating the designed color
The Simulation results from monitor window are shown in
conversion module. The first ten pixel values generated in
the fig 16. This shows the clock, reset, input conversion
YCbCr color space using MATLAB are shown in Table 4
(Ic_i) is zero and output conversion (Oc_i) is one. Three
and the corresponding pixel values generated by the
inputs and corresponding three outputs can be seen. For
designed color conversion module are shown in Table 5.
example, inputs marked are 236, 8, and 5 are RGB values.
The same procedure was followed for YCbCr color space
And next raising edge of clock gives output that are
to RGB color space conversion. For that purpose the
marked in White color are 80, 94 and 228 are YCbCr
image shown in Figure 15 was used and then the pixels
generated by the color conversion module was compared values.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
18
ISSN (Online): 1694-0814
www.IJCSI.org

8. Conclusion
Design and architecture of color conversion module
designed in VHDL and implemented on FPGA has been
presented in this paper. The advantage of the architecture
is that the same module can be used for both RGB to
YCbCr color space conversion and vice-versa. The
designed color space conversion module was verified by
comparing the output of the designed module with the
output of color conversion module present in MATLAB.

Figure 16: Simulation results from monitor window.


Acknowledgments
The authors Mrs. Prathiba, Dr. Yellampalli and
7. Hardware Results Prof.A.Manjunath thankfully acknowledge the support in
part from Dr. Venkateswarlu, Mr. Vijay Ram Prasad, Mr.
The color space converter is implemented on Virtex II pro Nandeesh and Mr. Vidhasagar.
FPGA; following are the summary of Device utilization as in
Table 6, Timing summary and power report as shown in Figure
17. References
Device utilization summary: [1] Keith Jack, Video Demystified: A Handbook for the
Selected Device: 2vp30ff896-7 Digital Engineer, LLH Technology Publishing, Third
Edition, 2001.
Table 6 Device utilization summary [2] F. Bensaali, A. Amira and A. Bouridance, “Accelerating
matix product on reconfigurable hardware for image
Logic utilization Used Available utilization processing applications”, IEE Proceedings on Circuits and
Number of Slices: 967 13696 7% Devices Systems, vol. 152, No. 3, June 2005.
Number of Slice Flip Flops: 971 27392 3%
[3] Sreenivas Patil, 2007 Reconfigurable hardware for color
Number of 4 input LUTs: 1392 27392 5%
space conversion, Rochester Institute of Technology,
Number of bonded IOBs: 31 556 5% Rochester, New York.
Number of BRAMs: 30 136 22%
[4] M. Sima, S. Vassiliadis, S. Cotofana and J. T.J. Van
Number of GCLKs 6 16 37%
Eijndhoven, “ Color space conversion for MPEG decoding
Number of DCMs 1 8 12%
on FPGA-augmented trimedia processor”, Proceedings
IEEE International Conference on Application-Specific
Timing Summary: Systems, Architectures and Processors, pp. 250-259, June
Speed Grade: -7 2003.

Minimum period: 9.368ns (Maximum Frequency: [5] R.-L. Hsu, M. Abdel-Mottaleb, and A.K. Jain, “Face
Detection in Color Images,” IEEE Trans. Pattern Analysis
106.741MHz) and Machine Intelligence, vol. 24, no. 5, pp. 696-707,
Minimum input arrival time before clock: 3.699ns May 2002.
Maximum output required time after clock: 3.900ns [6] Datasheet (www.alma-tech.com), “High Performance
Maximum combinational path delay: No path found Color Space Converter,” ALMA Technologies, May 2002.
Power report: [7] F. Bensaali and A. Amira "Design and Implementation of
Efficient Architectures for Color Space Conversion”
ICGST-GVIP Journal, Volume 5, Issue1, December 2004.
[8] B. Payette, “Color Space Converter: RGB to YCrCb,”
Xilinx Application Note, XAPP637, V1.0, September
2002.

Figure 17: Power Report


IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
19
ISSN (Online): 1694-0814
www.IJCSI.org

Thermal Bound Placement With Wire Length


Consideration for Standard Cells in VLSI
Bishnu Prasad. D1 and Jagannath Samanta2
1
ECE Department, Haldia Institute of Technology,
Haldia, West Bengal

2
ECE Department, Haldia Institute of Technology,
Haldia, West Bengal

Abstract In the past decade, heat produced by a chip has increased


Due to increase in MOS scaling, frequency and bandwidth of from 2.2 to 10 watt/cm2 due to the continuous increase of
high performance CMOS VLSI circuits, on-chip consumed clock frequency and the total number of transistors. A
power is enhanced. It creates an important role in both switching circuit with considerable power consumption requires
and dc power dissipation. This dissipated power is usually extra expensive cost to remove heat at the packaging level
rehabilitated into degenerated heat, affecting the performance
and therefore the reduction of power dissipation is
and consistency of a chip. In this paper we contribute: an
algorithm for thermal bound placement of standard cells with required at the chip design stage. It is desirable to have an
wire length consideration to minimize the probable occurrence of even temperature distribution for the temperature sensitive
hot spots. Experimental results generated by using standard circuit. The power estimation problem is a hard problem
benchmark instances are quite impressive. by itself. Therefore, it is important to place the
Keywords: Placement, standard cell, hot-spot, dynamic power, blocks/modules such that heat dissipation is evenly
connectivity matrix. . distributed.

The paper is organized as follows: Section 2 provides the


1. Introduction background theory and literature survey related to the
work. Section 3 introduces the problem description.
As time to market pressures and integrated circuit (IC) Sections 4 give the proposed approach where we introduce
design complexity increase, reduction of time for any step a new algorithm for finding the % improvement of
in the design flow may provide an advantage, technically objective function. Results are discussed in section 5
and economically. An integral step in the IC design flow is where we observed for some random instances and
placement in which components targeted to define a benchmarks, and Section 6 concludes the paper and future
device’s functionality are logically placed on the works. References are in the last section.
semiconductor die.
2. Background Theory
With increasing chip sizes, due to increase the number of
components, the wire length is also likely to increase. As
such, both timing control and energy consumption 2.1. How hot spot is generated in Placement?
dominate the design process. By increasing the
temperature of integrated circuits which affect various In VLSI placement, the total heat dissipation comprises
design parameters, such as transistor propagation delay, static and switching components, along with increasing
interconnect delay, electro-migration effects, leakage leakage power dissipation. The switching power
power, and of course, the reliability of a chip. Moreover, dissipations [7],[14] P swp resulting from voltage transitions
for increased power consumption, under design, as turn on and off, is given by:
fabrication and packaging constraints, the heat dissipation P swp =α C L V DD 2 f clk
by the modules of the chip are usually uneven, producing Where α is the % of transistors switching factor at a given
hot spots. As such, physical design of a VLSI chip time, C L is the total load capacitance, V DD is the supply
requires an optimal placement of the modules such that voltage, and f clk is the operating frequency. This
heat dissipation by these modules is evenly distributed. dissipation increases with increasing circuit complexity,
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
20
ISSN (Online): 1694-0814
www.IJCSI.org

and increasing operating speed. Scaling of feature sizes Assume, m ≥ t, and also assume for any thermal matrix T, S t (T)
from micron to nanometer scales causing reduction in be the set of all t x t overlapping sub matrices of T. A sub-matrix
individual transistor capacitances and hence reduces the in S t (T) corresponds to a region of size t x t on the chip. The sub-
dissipation. However, with increasing number of matrix with the largest sum corresponds to the hottest region of
the chip. Connectivity matrix C, whose order is m2 x m2. Each
transistors and on chip local frequencies, the heat positive integer element of the connectivity matrix denotes the
dissipations increased rapidly. number of connection between a pair of cells. Our main
objective is to get percentage improvement of objective function
2.2. Uneven distribution of heat and hot-spot by using our proposed algorithm.

In an Integrated Circuit (IC), there are number of blocks


with different power dissipation that creates some 4. Solution Approach
localized regions of high heat flux which are becoming
prominent with increase in scaling of process 4.1. Proposed Algorithms
technology[12]. These localized regions create hot spots,
which are typically extensively above the average die Input: Order of main-matrix m x m consist of 2D
temperature. placement of standard cells.
Output:
2.3. Previous Work: a) Thermal bound placement of main matrix ζ(M).
b) Connectivity matrix corresponds to minimum wire
The related problem of thermal placement has been length.
studied in the past for printed circuit boards and multichip c) % of improvement of objective function.
modules [1],[8]. Since thermal placement of gates within a
single chip was not of major concern in the past, existing Step1: Generate a width-matrix W, whose order is m x m,
placement algorithms focus on minimizing area and delay each element of this matrix represent the corresponding
[2],[9], and generally do not consider heat dissipation. The width of cell in main-matrix.
problem of ensuring uniformity of sources of heat in a
placement is considered in [3],[10]. The work in [4],[11] Step2: Order of the sub-matrix is t x t, where m ≥ t.
proposes a force-directed method for distributing cells
based on a heat map. However, the work reported in [5] Step3: calculating sums of the elements of the sub-
shows that a uniform heat distribution does not necessarily matrices and determines the max and min sum of the sub-
lead to a uniform temperature distribution. [6] Provides a matrices, that is find σ max (s t ) and σ min (s t ).
solution for improving circuit performance considering the
thermal parameters. In [7] represents thermal bound Step4: Initial threshold= σ max (s t ).
placement for standard cell.
Step5: Generate a connectivity matrix C, whose order is
3. Problem Description m2 x m2. Each element of C may take value in randomly
from 0-10. Occupancy ratio (a fraction between 0 to 1)
Consider a main matrix M of order m by m (mxm), which counts for the ratio between the number of ‘non zero
represent 2D placement of standard cells. Thermal matrix elements’ to the ‘total number of elements’ in the
T of order m x m, whose each element represents the connectivity matrix.
corresponding power density of the standard cell which
are placed across the 2D chip. Step6: Manhattan Distance (MD) will be computed as
MD= (Xi-Xj) + (Yi-Yj), where i and j (i,j 1, 2….m)
8 1 8 represent the coordinates of the centers of the cells. Total
0 3 7 wire length= sum of all the wire lengths for every pair of
8 7 0 3 0 3
3 0 4
cells.
4 8 2
3 1 3 7 2 7
Step7: Initial objective function = GM* (initial threshold,
initial total wire length). µgl best (M) = Initial objective
(a) (b)
Fig1: An example MSP with m=4,n=3 and t=2. function.
(a) is a bad solution (maximum sum=27),
(b) an optimal solution (maximum sum=13) Step8: Change the max element of max-sub-matrix with
min element of min-sub-matrix and takes which are less
thermal value than max element of max-sub-matrix.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
21
ISSN (Online): 1694-0814
www.IJCSI.org

According to the change of Thermal matrix, change the function and also calculate the % improvement of
connectivity matrix. Calculate the total wire length for objective function.
each change and take the change in Thermal matrix, which
gives minimum wire length. Table1. 1 Summary of results for experiments on Critical objective
function for random instances.
Step9: change the placement of cells in main-matrix the
width-matrix. Find σ max (s t ) and σ min (s t ). Circuits
Initial Critical % No.
objectiv objectiv Impro- of
function function vement Iters.
Step10: New threshold = σ max (s t ), New objective Name Size
function =GM (New threshold, New total wire length). Rand1 100 342.30 281.35 17.81 5
Rand2 500 362.80 298.26 17.79 18
µlc best (M) = New objective function.
Rand3 3000 354.24 310.51 12.34 50
Rand5 5000 371.08 319.80 13.82 85
Step11: if (µlc best (M) < µgl best (M) ) Rand7 10000 381.50 321.70 15.67 156
Then µgl best (M)= µlc best (M) Rand8 50000 388.37 332.57 14.37 162
otherwise goto step 13. Rand9 100000 384.17 343.15 10.68 415
Rand10 300000 391.58 352.29 10.03 318
Step12: if ( iteration no < ITERATION_LIMIT). Rand12 500000 394.90 357.80 9.39 809
iteration no= iteration no+1;
Then repeat step 8 to step12. Here, It has been observed from the table that the %
improvement of objective function is quite high for very small
circuit sizes, and decrease for larger circuits. This is expected
Step13: return ζ (M).
since as the size of M increases, the initial value of objective
function becomes larger, thereby rendering its relative
*GM=Geometric Mean improvement insignificant.
Here ITERATION_LIMIT defines maximum number
of iterations in the loop. Table2: Summary of results for experiments on Critical objective
function for MCNC benchmarks.
4.2 Standard Cell Placement Benchmarks
Order Order Initial Critical
%
of main of sub- objective objective
The MCNC benchmark suite was released in the early matrix matrix function function
Improvement
1990s, with a number of standard cell circuits being made 4 2 291.60 160.31 45.02
available in the YAL and VPNR formats. Several 9 2 345.70 215.70 37.60
translators were available, allowing conversion into EDIF, 16 3 380.70 266.30 30.05
and academic Timber Wolf formats. Later, other 25 4 451.23 425.36 5.73
translators allowed conversion into a variety of formats, 100 25 8913.20 8645.16 3.01
100 2 440.40 399.60 9.26
including PROUD, commercial versions of Timber Wolf,
200 2 450.70 413.24 8.31
Cadence LEF/DEF, and the GSRC Bookshelf formats 225 50 401253.60 398763.50 0.62
[13]. While not part of this original group of benchmarks,
IBM’s golem3 has also become a staple in placement
research. These circuits are by far the most commonly
used benchmarks in standard cell placement research. Random Test set

20.00
% of improvement

5. Results & Observations 15.00

10.00
Experiments are performed with a set of MCNC 5.00
benchmark circuits, and some random instances. Since
0.00
thermal data of the MCNC circuits are not available, the
0 100000 200000 300000 400000 500000 600000
power dissipations for the individual gates are generated
Circuit size
with a uniform random number generator. The same is
true for the random instances as well. The value of t is 2.
For the random instances, we generate set of matrices M,
Figure 2: % improvement of objective function with circuit size.
which has elements between 100 and 500000. It can be
The % improvement of objective function is quite high for
noted that initial objective function and critical objective
very small value of t, and gradually decreases for larger
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
22
ISSN (Online): 1694-0814
www.IJCSI.org

values of t. This is because for larger t, there is very little Computer Society Annual Symposium on VLSI, 2008,
scope of swapping in our algorithm. pages 369-374
[8] S. N. Adya and I. L. Markov. Combinatorial techniques
formixed-size placement. ACM Transactions on Design
50 Automation of Electronic Systems, 10(1), pages 58–90,
% of improvement

40 January 2005.
30
[9] N.S. Kim et al. Leakage current: Moore’s law meets static
20 power. Computer, 36(12), pages 68–75, December 2003.
10 [10] M. Xie J. Cong, J. Fang and X. Yuan. Large scale circuit
0 placement. ACM Transactions on Design Automation of
0 50 100 150 200 250 Electronic Systems, 10(2), pages 389–430, April 2005.
Order of main matrix
[11] S-C Lin K. Bannerjee and N. Srivastava. Electrothermal
engineering in the nanometer era: from devices and
interconnects to circuits and systems. In Proceedings of the
Figure 3 % improvement of objective function with m (t=2)
Design Automation Conference, pages 223–230, January
2006.
6. Conclusion And Future Work [12] E J Paradise K-K Lee and S K Lim. Thermal-driven Circuit
Partitioning and Floorplanning. GIT-CERCS Technical
Matrix synthesis problem (MSP) is NP-complete. We Report, Georgia Institute of Technology, 2003.
show that the algorithm is flexible. The proposed [13] X. Yang M. Wang and M. Sarrafzadeh. Dragon2000:
algorithms and the observations are very useful for the Standard cell placement tool for large industry circuits. In
following reasons: (a) it helps to avoid regions of high Proceedings of the International Conference on Computer-
thermal sensitivity in a chip; and (b) can be used to select aided Design, pages 260–264, November 2000.
the device layers for the different modules in a VLSI chip, [14] F. Najm. A survey of power estimation techniques in VLSI
based on their changing thermal behaviors. circuits. IEEE Trans. on VLSI Systems, 2(4), pages 446–
455, 1994.

References
[1] K-Y Chao and D. F.Wong. Thermal placement for high
performance multi-chip modules. In Proceedings of the
International Conference on Computer Design, pages 218–
223, October 1995.
[2] C. Koh J. Cong C. Li, M. Xie and P. Madden. Routability
driven placement and white space allocation. IEEE
Transactions on Computer-aided design of Integrated
Circuits and Systems, 26 (5), pages 858–871, May 2007.
[3] C. C. N. Chu and D. F. Wong. A matrix synthesis approach
to thermal placement. IEEE Transactions on Computer-
aided design of Integrated Circuits and Systems, 17(11),
pages 1166–1174, 1998.
[4] H. Eisenmann and F. M. Johannes. Generic global
placement and floorplanning. In Proceedings of the Design
Automation Conference, pages 269–274, June 1998.
[5] C. H. Tsai and S. M. Kang. Cell-level placement for
improving substrate thermal distribution. IEEE
Transactions on Computer-aided design of Integrated
Circuits and Systems, 19(2), pages 253–266, February
2000.
[6] Yong Zhan Tianpei Zhang and Sachin S. Sapatnekar.
Temperature aware routing in 3dics. In Proceedings of the
Asia-South Pacific Design Automation Conference, pages
309–314, 2006.

[7] P. Ghosal, et. al Thermal-aware Placement of Standard


Cells and Gate Arrays- Studies and Observations: IEEE
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
23
ISSN (Online): 1694-0814
www.IJCSI.org

AODV with Source Route Accumulation for improved


Routing in WiMAX
Yogesh Chaba1, Yudhvir Singh2 and Amit Kumar3
1
Department of computer science, GJUS&T
Hisar, India

2
Department of computer science, GJUS&T
Hisar, India

3
Department of computer science, JIET,
Jind, India

Abstract in June 2001 to promote conformance and interoperability


Worldwide Interoperability for Microwave Access (WiMAX) is on the IEEE 802.16 standard. It is considered the second
a technology that bridges the gap between fixed and mobile generation broadband wireless access (BWA) standard
access and offer the same subscriber experience for fixed and and will most likely be used along with WiFi, rather than
mobile user. Routing protocols for WiMAX mobile ad-hoc replace it. Since WiMAX has such as large signal range, it
networks have to face the challenge of frequently changing
topology, low transmission power, asymmetric links and mainly
will potentially be used to provide wireless Internet access
overhead problems. Ad-hoc on Demand Distance Vector to entire cities and other large areas[1]. Typically, a
(AODV) is one of the widely used routing protocols. In this WiMAX system consists of two parts: -
paper Source Route path Accumulation Approach (SRA) is • WiMAX Base Station - Base station consists of indoor
proposed for improving the performance of Ad hoc On Demand electronics and a WiMAX tower. Typically, a base
Routing Protocol (AODV) to overcome the problems of delay station can cover up to 10 km radius (Theoretically, a
and overhead up to a large extent as comparison to basic AODV base station can cover up to 50 kilo meter radius or 30
protocols. The protocol, AODV-SRA, incorporates source route miles, however practical considerations limit it to about
path accumulation during the route discovery process in AODV
10 km or 6 miles). Any wireless node within the
to attain extra routing information. In this approach when the
RREQ and RREP messages are generated or forwarded by the
coverage area would be able to access the Internet.
nodes in the network, each node appends its own address on • WiMAX Receiver - The receiver and antenna could be a
these route discovery messages. Hence, at any point the RREQ stand-alone box or a PCMCIA card that sits in your
packet contains a list of all the nodes traversed. Each node also laptop or computer. Access to WiMAX base station is
updates its routing table with all the information contained in the similar to accessing a Wireless Access Point in a WiFi
control messages. The performance of AODV with modified network, but the coverage is more. Several base stations
AODV-SRA is compared by NS-2 simulation software under a can be connected with one another by use of high-speed
variety of network conditions. It is also demonstrated how a backhaul microwave links.
small change to the AODV protocol can lead to significantly
Some important characteristics of WiMAX include use of
improved performance results.
Keywords: SRA, AODV, RRQE, RREP the microwave frequency band for wireless data
transmission, its high transmission speed over long
distances and its use of OFDM (Orthogonal Frequency
1. Introduction Division Multiplexing) to enable non line of sight
communication. Some of the challenges facing WiMAX
WiMAX is a short name for Worldwide Interoperability are followings: -
of Microwave Access. WiMAX is described in IEEE • Improving the signal propagation mechanism because
802.16 Wireless Metropolitan Area Network (MAN) using the atmosphere as the transmission medium has
standard. It is expected that WiMAX compliant systems several problems.
will provide fixed wireless alternative to conventional • Increasing the range of band frequencies to provide
DSL and Cable Internet. WiMAX technology was formed service to a larger number of users.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
24
ISSN (Online): 1694-0814
www.IJCSI.org

• Providing adequate quality of service for a greater 2. Overview of AODV Routing Protocol
variety of applications.
• Allowing for increased mobility either through roaming AODV is a distance vector routing algorithm which
or handoff. discovers route whenever it is needed via a route
• Meeting the demand for greater portability by lowering discovery process. It adopts a routing algorithm based on
power consumption or increasing battery efficiency. one entry per destination i.e., it records the address of the
• Offering improved security for devices that use different node which forwards the route request message. AODV
services[3]. possesses a significant feature that once the algorithm
AODV is a widely researched protocol among the research computes and establishes the route between source and
community. Most of the research effort has focused on destination, it does not require any overhead information
simulations aimed at determining the performance of with the data packets during routing. Moreover the route
AODV also in comparison to the performance of other ad discovery process is initiated only when there is a
hoc routing protocols. The design issues of multi-hop ad free/available route to the destination. Route maintenance
hoc network protocols include the ability of the protocol to is also carried out to remove stale/unused routes. The
perform optimally under a wide variety of conditions. Two algorithm has the ability to provide services to unicast,
of the leading ad hoc network routing protocols are the Ad multicast and broadcast communication. AODV routing
hoc On-Demand Distance Vector Routing protocol algorithm has two phases i.e. Route Discovery and Route
(AODV) and the Dynamic Source Routing protocol Maintenance[2].
(DSR). Previous work[4] has studied the performance of The AODV routing protocol is a reactive routing
AODV and DSR in a variety of scenarios. This work protocol; therefore, routes are determined only when
showed that both AODV and DSR drop in performance at needed. Fig. 1 shows various messages exchanges in the
high velocities or when the number of connections is high. AODV protocol.
Based on the results, the authors proposed modifications
to AODV that could improve the performance of each
protocol. One specific proposal is the accumulation of the
source route in request and reply packets during the route
discovery process in AODV. By accumulating this
information, nodes can learn an increased amount of
routing information to different destinations. So the
proposed modification should lead to a reduction in the
routing load of AODV. This paper studies the proposed
modifications by incorporating the source route path
accumulation feature in AODV. The conservative nature
of our protocol helps to decrease the routing load, while at
the same time maintaining good performance in
application oriented metrics such as delay. To evaluate the
new protocol, a detailed packet-level simulation Fig. 1 AODV Protocol Messages
comparing the performance of AODV with source route
path accumulation to AODV is presented. The lists of these messages are:-

Paper Outline • HELLO


The rest of the paper is organized as follows: Section II • RREQ
presents the briefly review of exiting AODV routing • RREP
protocol. Section III presents the proposed ad hoc routing • DATA
protocol AODV-SRA (Ad hoc On Demand Routing with • RERR
Source Route Accumulation). Section IV describes the
simulation environment, performance metrics, comparison Hello messages may be used to detect and monitor links to
of the routing protocols and then also describes the neighbors. If Hello messages are used, each active node
analysis of results. Finally Section V concludes the paper. periodically broadcasts a Hello message that all its
neighbors receive. Because nodes periodically send Hello
messages, if a node fails to receive several Hello messages
from a neighbor, a link break is detected. When a source
has data to transmit to an unknown destination, it
broadcasts a Route Request (RREQ) for that destination.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
25
ISSN (Online): 1694-0814
www.IJCSI.org

At each intermediate node, when a RREQ is received a entry for a node does exist, and if the hop count to any of
route to the source is created. If the receiving node has not the intermediate nodes is less than the previously known
received this RREQ before, is not the destination and does hop count to that node, the routing table entry is updated
not have a current route to the destination, it rebroadcasts for that node. The entry is updated by retaining the
the RREQ. If the receiving node is the destination or has a previously known sequence number for that node. Note
current route to the destination, it generates a Route Reply that if the node was unknown previously, the sequence
(RREP). The RREP is unicast in a hop by hop fashion to number in the routing table entry is set to zero and the hop
the source. As the RREP propagates, each intermediate count value is obtained from the accumulated route. This
node creates a route to the destination. When the source conservative nature of updating the routing table along
receives the RREP, it records the route to the destination with maintaining lifetimes for each route entry helps to
and can begin sending data. If multiple RREPs are invalidate the stale entries and keep the route entries
received by the source, the route with the shortest hop current, thus improving the routing accuracy of the
count is chosen. As data owns from the source to the protocol. As the RREP message is unicast back to the
destination, each node along the route updates the timers source, each intermediate node forwards the RREP packet
associated with the routes to the source and destination, by adding its address in the packet. Hence, at any point the
maintaining the routes in the routing table. If a route is not RREP packet contains all the previously visited nodes.
used for some period of time, a node cannot be sure Similar to the RREQ, the routing table is updated for each
whether the route is still valid; consequently, the node intermediate node visited by the RREP in addition to the
removes the route from its routing table. If data owns and destination node.
a link break is detected, a Route Error (RERR) is sent to
the source of the data in a hop by hop fashion. As the
RERR propagates towards the source, each intermediate
node invalidates routes to any unreachable destinations.
When the source of the data receives the RERR, it
invalidates the route and reinitiates route discovery if
necessary [5].

3. AODV with Source Route Path


Accumulation
Fig. 2 AODV-SRA, RREQ message append the address of visiting nodes
AODV is a distance vector routing algorithm, which
discovers route whenever it is needed. Previous studies
have shown limitations of these protocols in certain
network scenarios. To improve the performance of AODV
is modified to include the source route accumulation
feature of DSR. The AODV is also modified to enable
source route accumulation during the route discovery
cycle i.e. AODV-SRA.

In this Route Discovery phase the route is discover


between source and destination and like in dsr protocol in
AODV-SRA, when the RREQ and RREP messages are Fig. 3 AODV-SRA node S have complete path after RREP at node S
generated or forwarded by the nodes in the network, each
node appends its own address on these route discovery
messages. Each node also updates its routing table with all 4. Simulation Model
the information contained in the control messages. As the
RREQ messages are broadcast, each intermediate node Nowadays, there are many network simulators that can
that does not have a route to the destination forwards the simulate the Network. In this section the most commonly
RREQ packet after appending its address in the packet. used simulator i.e. NS-2[6] is introduced. The NS-2
Hence, at any point the RREQ packet contains a list of all network simulator was used to create a simulation
the nodes traversed. Whenever a node receives a RREQ environment to develop and analyze the proposed protocol
packet, it updates the route to the source node. A new (AODV-SRA) and compare it with the already existing
entry is made in the routing table for any of the AODV. Simulations were run to obtain an estimate of the
intermediate nodes, if one did not already exist. If a route efficiency and the average end to end delay and jitter of
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
26
ISSN (Online): 1694-0814
www.IJCSI.org

the two protocols. The number of sources is varied in the This is defined as the ratio of the number of data packets
simulations. Simulations are run for 180 simulated received by the destinations to those sent by the CBR
seconds. It is using two AODV and AODV- SRA routing sources. As shown in fig 5 AODV-PA has significantly
protocol and node placement is done with seed 1 for the higher packet delivery ratio than AODV.
varying number of nodes 10, 20 and 30.

4.1 End to End Delay


This is defined as the delay between the time at which the
data packet was originated at the source and the time it
reaches the destination. Data packets that get lost between
routes are not considered. Delays due to route discovery,
queuing and retransmissions are included in the delay
metric.

Fig 5 Throughput of AODV and AODV-PA(X: Time in Sec, Y: Number


of Received Packets).

As numbers of nodes are increases, a larger percentage of


nodes come within the range of each other, and the
network topology information is quickly distributed. The
increased knowledge of the network reduces the number
of route discoveries in AODV due overhead routing
information, but it leads to increases in the knowledge of
stale path in AODV-PA. So this graph shows that when
the size of the network is increases as well as the
Fig 4 Average End-to-end delay of AODV and AODV-PA (X: Number throughput of network is decreases but in AODV-PA it
of Nodes,Y: Time in sec). does not give negative effect.

AODV-PA has less delay than AODV under all 4.3 Jitter
conditions. The difference becomes significant at large
number of nodes and which gives large number of
possible connections because of this decrease in the The term jitter is often used as a measure of the variability
average route discovery time per packet delivered to the over time of the packet latency across a network. A
destination. Even though AODV-PA performs path network with constant latency has no variation (or jitter).
accumulation, it takes a conservative approach by relying
on sequence numbers and route expiry timers. Therefore
the number of route discoveries in AODV-PA is more
than AODV. The number of routes accumulated in
AODV-PA increases with the number of nodes and
connections. So the number of routes accumulated during
route discovery increases as the number of nodes increase.
The graph in fig 4 show that when number of nodes are
increases than average end to end delay also increases into
the AODV protocol but in AODV-PA does have positive
effect up to a limit.

4.2 Throughput

Fig 6 Jitter of AODV and AODV-PA(X: Number of Nodes, Y: Jitter)


IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
27
ISSN (Online): 1694-0814
www.IJCSI.org

[2] Kaarthick, Nagarajan, Raguvaran, Raja Mohamed,


If the jitter increases, then the delay of the routing protocol Saimethun, “Adaptive Routing algorithm to support
also increases hence the performance is degraded. The Distributed Services in WiMAX”, International Journal of
Digital Content Technology and its Applications, Vol.3,
jitter graph in fig 6 shows that as number of nodes
No.2 (2009).
increases the jitter reduces in modified routing protocol
since large size of network a give an option to RREQ [3] Susana Rivera Ibáñez, Raúl Aquino Santos, Victor Rangel
Licea, Arthur Edwards Block, Miguel Ángel García Ruiz,
control message to discover the more routes between
“Hybrid WiFi-WiMAX Network Routing Protocol”,
source and destination and updates the tables of nodes Electronics, Robotics and Automotive Mechanics
with current information of stale entries into the table. So Conference(CERMA’08), (ISBN: 978-0-7695-3320-9), pp.
the proposed mechanism of path accumulation with the 87 - 92 (2008).
AODV protocol is having the better performance as [4] S. R. Das, C. E. Perkins, E. M. Royer, and M. K. Marina,
compared to the existing AODV protocol in term of jitter “Performance Comparison of Two On-Demand Routing
also. Protocols for Ad Hoc Networks,” in IEEE Personal
Communication’s Magazine special issue on Ad hoc
Networking, pp. 16–28 (2010).
5. Conclusion [5] Ian D. Chakeres, M. Belding-Royer “AODV Routing
Protocol Implementation Design”, Distributed Computing
WiMAX based mobile ad-hoc networks have to face the Systems Workshops, 2004 and proceedings 24th
challenge of frequently changing topology, low International Conference, (ISBN: 0-7695-2087-1), pp. 698 –
703 (2004).
transmission power, asymmetric links and mainly
overhead problems. In this paper source route path [6] Teerawat Issariyakul, Ekram Hossain “Introduction to
Network Simulator NS2”, Springer Science Business
accumulation approach is proposed for improving the
Media, (ISBN: 978-0-387-71759-3).
performance of Ad hoc On Demand Routing Protocol
(AODV) to overcome the problems of delay and overhead
up to a large extent as comparison to basic AODV
protocols. The protocol, AODV-SRA, incorporates source
route path accumulation during the route discovery
process in AODV to attain extra routing information. By
composing this technique, AODV-SRA also scales better
than AODV in large networks. AODV-SRA has less delay
than AODV under all conditions. The number of routes
accumulated in AODV-SRA increases with the number of
nodes and connections. This is because the number of
routes accumulated during route discovery increases as the
number of nodes increase. The size of the control packets
in the AODV-PA protocol is larger than that of AODV.
This is compensated by the decrease in the number of
routing packets in AODV-PA though the byte overhead of
AODV-PA is less than AODV. AODV-PA could also be
suitable either if overall routing load or if application
oriented metrics such as delay and packet delivery ratio
are important for the ad hoc network application.

Acknowledgement
Financial support received from UGC in form of major
research project to Dr Yogesh Chaba is acknowledged
with thanks

References
[1] Bo Li, Hong Kong University of Science and Technology
Yang Qin and Chor Ping Low, “A Survey on Mobile
WiMAX”, IEEE Communications Magazine, (ISSN: 0163-
6804), Vol.45, No.12, pp. 70-75 (2007).
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
28
ISSN (Online): 1694-0814
www.IJCSI.org

Traffic Analysis and Optimization of GSM Network


Madhusmita Panda1 and Saraju Prasad Padhy2
1
ITER, SOA University
Bhubaneswar, Orissa, PIN-751007

2
Sub Divisional Engineer, Bharat Sanchar Nigam Limited
Bhubaneswar , Orissa,PIN-751007

Abstract infrastructure equipment, GSM decided to specify not only


Accurate traffic dimensioning plays an important role in the air interface, but also the main interfaces that identify
telecommunications network planning and is particularly different parts. There are three dominant interfaces,
important for the performance analysis of mobile and wireless namely, A interface between MSC and BSC, A-bis
networks. The main goal of cellular operators now a day with the Interface between BSC & BTS and an Um interface
increasing number of mobile subscribers is to keep the
subscribers satisfied with the delivered quality of service ( QoS )
between the BTS and MS. The BSS includes two types of
. Traffic analysis & optimization is thus paramount importance elements: BTS which handles radio interfaces towards MS
for network planning and design. They are useful in areas such as & BSC which manages the radio resources and controls
network architecture comparisons, network resource allocations the handover.
and performance evaluations. In order to achieve the best
performance, service providers have to monitor and optimize A BSC can control several BTSs. The main function of the
their network continuously .A Operation Support Subsystem MSC is to coordinate the set up of calls between GSM
(OSS) with an online database is responsible for collection of mobiles and also between GSM mobiles and PSTN users.
data on live networks. In this paper, we have summarized the Specifically, it performs functions such as paging,
measurements taken on an operative GSM-900 & GSM-1800
resource allocation, location registration, and encryption.
network to evaluate and optimize traffic. Here we have
established statistically the following facts (i) The peak hour in In addition GSM specifies three databases: Home location
Orissa varies in four different MSC areas.(ii) Week day traffic is Register (HLR), Visitor Location Register (VLR) and
different from weekend traffic and the traffic intensity of Authentication Centre (AuC). Here radio transmission is
weekday traffic is more than that of weekend traffic.(iii) Traffic performed by assigning carriers and timeslots to logical
channel availability is well within limits which implies that the channels. The frame duration is 4.615 ms and each frame
network is well planned.(iv) SDCCH congestion is beyond is divided into eight timeslots.
threshold limits which implies operator is losing big revenue due
to this. (v) In some cases the traffic carried exceeds the
maximum full rate traffic resulting utilization of half rate TCH &
thus reduction in speech quality.
Keywords: GSM, Traffic Analysis, Busy Hour, BSC, BTS, TCH,
SDCCH, FR, HR.

1. Introduction
GSM (Global System for Mobile Communication) is the
most popular second generation digital cellular standard
published by ETSI. In 2010 there were over 526 million
GSM subscribers in India. It accounts for 78% of the
digital cellular market. A GSM system is basically
designed as a combination of three major subsystems: the Figure: 1. GSM Network Architecture
network subsystem (NSS), the radio subsystem (BSS), and
the operation support subsystem (OSS). In order to ensure There are two types of channels in the air interface:
that network operators will have several sources of cellular physical channels and logical channels. The physical
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
29
ISSN (Online): 1694-0814
www.IJCSI.org

channel is the time slots (TS) and Logical channel refers to measurements from several counters. The summery of
the specific type of information that is carried by the measurements are shown in a tabular form below.
physical channel. Logical channels can also be divided
into two types: traffic channels (TCH) and control channel Table:1 Traffic Measurements
(CCH).There are again two types of traffic channels: half-
Traffic TCH
rate (HR) and full-rate (FR). The FR channel is a 13 kbps Network Handling
Measure
Avali
TCH
SDCCH
coded speech or data channel with a raw data rate of 9.6, Actual Conj
Performance Capacity bility Conje
Traffic estion
4.8 or 2.4 kbps, while the HR supports 6.5 kbps coded (Fullrate)
in Erlang
Rate
(%)
stion (%)
speech or data rate of 4.8 or 2.4 kbps. in Erlang (%)
BSC1BER 3407 3348 95.7 0.58 0.03
BSC2BER 3876 3391 89.6 0.41 0
BSC3BER 8364 9826 87.5 1.02 0.09
2. Parameters and Definitions BSC4BER 2831 4178 84.5 0.88 0.16
BSC1CK 7355 4740 85.3 0.31 0.01
• Traffic: It represents hours of calls in Erlang. BSC2CK 8464 4936 81.6 1.23 0.21
• No of TCH: It is the total number of TCH available in BSC3CK 4816 4732 91.4 0.03 0.17
that sector. Normally one ARFCN (absolute radio BSC1BBSR 2349 1391 90.5 0.22 0
frequency carrier number) generates 8 physical BSC2BBSR 6141 5912 97.4 0.48 0.2
channels which can be configured as 8 Full rate TCH BSC3BBSR 8464 4936 90.9 1.31 0.03
(13 Kbps) or 16 Half rate TCH (6.5 Kbps). BSC1SMB 7476 4456 82 0.68 0.06
• No of SDCCH: One logical channel generates 8 BSC2SMB 6403 3889 80.8 0.56 0.11
SDCCH or CBCH channels. Normally two logical BSC3SMB 5990 6288 91 0.73 0.29
channels are used in a sector and out of 16 chls
generated, 15 are used as SDCCH & one CBCH. 4. Determination of Busy Hour
• TCH Traffic: Traffic carried by TCH channels
• SDCCH Traffic: Traffic carried by SDCCH Channels
• SDCCH Availability Rate: It is the number of SDCCH
Channels available divided by maximum number of
SDCCH channels possible for carrying control
information & signalling.
• TCH Availability Rate: It is the number of TCH
available divided by maximum number of TCH
channels possible for carrying traffic.
• SDCCH Drop: It is call drop at SDCCH level. It may
be due to low signal strength or TCH conjunction etc.
• TCH Drop: It is the call drop at TCH level .It may be
due to bad quality link, low signal strength, suddenly
lost connection or any other.
• SDCCH Congestion: Non availability of SDCCH due
to traffic
• Subscriber Perceived TCH Congestion: Non
availability of TCH due to traffic.

3. Traffic Measurement and Analysis


Figure : 2. Graph : Busy hour of different MSCs of Orissa
In this section the measurements that were carried out on
an operative GSM network GSM-900 & GSM-1800 are
It is found that busy hour in Orissa varies in four different
summarized to evaluate the performance of GSM’s air
MSC areas.
interface during last six months. In the study the statistical
For Berhampur MSC area it is: 1600 hrs to 1700 hrs
modeling of voice traffic in the state of Orissa consisting
For Sambalpur MSC are it is: 1800 hrs to 1900 hrs
of 4 MSCs,13 BSCs and 4467 BTSs are taken into account
For Bhubaneswar MSC area it is: 1000 hrs to 1100 hrs
. All the measurements are taken from OSS (Operation
For Cuttack MSC area it is: 1000 hrs to 1100 hrs
Support Subsystem) using the software Business Object
Following conclusions are made from the above graph.
and are stored in different counters. Each counter can
produce only a narrow view of the network .Furthermore
one performance data can result a number of different
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
30
ISSN (Online): 1694-0814
www.IJCSI.org

There is a non-uniform traffic distribution in different In some BSCs the actual traffic is more than the
MSC areas & the Busy Hour of different MSC areas are theoretical traffic. It is occurring for BSC3BER,
different. BSC4BER & BSC3SMB. It is happening due to the fact
that whenever all the TCH are occupied system
Weekend traffic is different from weekday traffic but its automatically divides the traffic channel (TCH of 13
intensity is normally less than weekday traffic. Kbps) into 2 parts resulting 2 traffic channels (TCH/2 of
6.5 Kbps).That way the traffic channels can be increased
Further analysis needs to be carried out at each BSC level to twice the theoretical value because of one channel
to find out the BUSY HOUR of Location Area which can becoming 2 channels. But the drawback with this is the
be used for dimensioning BSC. speech quality of the channel which reduces as it is
directly proportional to the bit rate. So for these cases and
also for BSC1BER, BSC3CK & BSC2BBSR where both
3.1 Traffic Estimation the values are almost equal, immediate increase in
channels by addition of hardware is required.

3.2 Resource Availability & Usage


TCH Availability (in %)
The following facts were established from below two
graphs at fig: 4 & fig: 5.

Congestion of TCH & SDCCH Channels

TCH availability of 30.9% to 61.4% indicates that the


network is well planned. The resource addition should
take place as soon as TCH availability reaches 20% .
Congestion of TCH with Less than 2% threshold is what
Figure : 3. Graph : Theoretical Vs Actual traffic many operators adopt in the world. The congestion on
SDCCH must be very low, less than 0.1%.It is very
critical because if a call is blocked at this stage, the
The actual traffic carried obtained by BO software is operator will lose big revenue. Here maximum congestion
compared with the theoretical traffic obtained from measured on TCH channels is up to 1.8% which may be
Erlangs -B table and the graph is plotted. The following acceptable but maximum congestion on SDCCH channels
conclusion is drawn from the Graph at figure 3. which is 0.29 % is not acceptable .So some Time Slots
(Logical Channels) meant for TCH may be immediately
diverted and configured as SDCCH channels for those
BSCs with which SDCCH congestion exceeds 0.1%.

Figure: 4. Graph: Availability of TCH in different BSCs

Figure: 5. Graph: Congestion of TCH & SDCCH Channels


IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
31
ISSN (Online): 1694-0814
www.IJCSI.org

4. Conclusions [7] Theodore Rappaport ,Wireless Communications: Principles


and Practice, 2nd Edition.
[8] Andrea Goldsmith, Wireless Communications, 1st Edition ,
In this paper we have presented the results of an extensive Michel Mouly & Marie Bernadette Pautet ,The GSM
study of a GSM network utilization. The experimental system for mobile communication ,1st Edition.
analysis focused on the following parameters. (i) The peak [9] Asha Mehrotra , GSM system Engineering , Artech House
hour in Orissa varies in four different MSC areas (ii) Publisher,1st Edition.
Week day traffic is different from weekend traffic and the [10] Haug, T , Developing GSM standard, pan-European Digital
traffic intensity of weekday traffic is more than that of Cellular Radio Conf., Nic France, 1991.
weekend traffic.(iii) Traffic channel availability is well
[11] Mouly, M., and pautet Marie-Bernadette, Current
within limits which implies that the network is well Evolution of the GSM system, IEEE Personal
planned.(iv) SDCCH congestion is beyond threshold Communications, October 1995, PP.9-19.
limits which implies operator is losing big revenue due to
[12] Beddoes, E, W., GSM Network Architecture, GSM
this. (v) In some cases the traffic carried exceeds the
Seminar, Budapest, October 1990, Session 2.1.
maximum full rate traffic resulting utilization of half rate
TCH & thus reduction in speech quality. These findings [13] Boulmalf, M.,Abrache, J.,Aouam, T.,Horroud,H., Traffic
Analysis of GSM Networks, IEEE Journal ,Digital object
prove to be useful to network planning engineers as they
identifier :978-1-4244-3806-8/09 Publication year 2009
provide them the data that help decide on timely and pages:498-503.
efficient management and investment on infrastructure.
This experimental study can be easily extended to GPRS,
UMTS and other wireless networks.

Acknowledgments

Our thanks to the officers of BSNL who had contributed


towards the measurement of Traffic on live GSM Network.

References
[1] Khedher, H., Tabbane, S., Traffic Characterization of
mobile Networks, IEEE Journal , Digital Object Identifier:
0-7803-7467-3/02 Publication year 2002 ,Page No-1485 -
1489.
[2] Toni Janevski , Traffic Analysis and design of wireless IP
networks, Artech House.
[3] Kennedy Kevin, Luipen Van Boris, Interference effects of
GPRS on a GSM Network, IEEE Journal, Digital Object
Identifier:0-7803-5435-4/99 Publication year 1999, Pages
2087-2091.
[4] Opechowski Z., Correia L.M., Analysis of Traffic
distribution in GSM , IEEE Journal, Digial Object
Identifier 0-7803-7467-3/02 Publication year 2002 ,Pages
390-394.
[5] Bregni, S.; Cioffi, R.; Decina, M , An Empirical study on
time co-relation GSM telephone traffic , Wireless
Communications, IEEE Transactions on Volume: 7 , Issue:
9 Digital Object Identifier: 10.1109/ TWC.2008.070092
Publication Year: 2008 , Page(s): 3428 - 3435
[6] Tatai, P.; Marosi, G.; Osvath, L., A flexible approach to
mobile telephone traffic mass measurement & analysis.
Instrumentation and Measurement Technology Conference,
2001. IMTC 2001. Proceedings of the 18th IEEE Volume:
2 Digital Object Identifier: 10.1109/ IMTC.2001.928281
Publication Year: 2001 , Page(s): 1281 - 1286 vol.2
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
32
ISSN (Online): 1694-0814
www.IJCSI.org

Efficient VLSI Architecture for Discrete Wavelet


Transform
Usha Bhanu. N1 and Dr.A.Chilambuchelvan2
1
Research Scholar-Anna University,
Chennai-601025

2
Professor-CSE Department, R.M.K Engineering College,
RSM Nagar,Chennai-601206

Abstract The first obstacle is that the high cost of hardware


This paper proposes an efficient architecture for 2D DWT. The implementation of multipliers. It is required approximately
proposed architecture includes a transform module, a RAM 256 transistors to build a delay element, 415 transistors for
module and a multiplexer. In transform module, polyphase an adder and 6800 transistors for multiplier. Several VLSI
decomposition and coefficient folding technique is applied to the architectures have been proposed for DWT [5]-[8].
decimation filters of stages 1 and 2 respectively. The advantages
of the proposed architecture are the 100% hardware utilization,
The best known architecture for the 2D DWT is Parallel
fast computing time, regular data flow and low complexity.
Because of the regular structure, the proposed architecture can be filter architecture [1],[2].The design of the parallel filter
easily be scaled with the filter length and 2D DWT level. VLSI architecture is based on the MRPA[3].The MRPA
architecture for the 2-D DWT is implemented using FPGA using intersperses the computation of the second and following
Verilog HDL. levels among the computation of the first level. Because of
the decimation
Keywords: Discrete Wavelet Transform, VLSI
architectures, image compression operation, the quantity of processing data in each level is
half of that in the previous level, which leads to efficient
utilization of hardware. Hence, MRPA is feasible for 1D
DWT architecture. But it is not suitable for the 2D DWT
1. Introduction architecture.
With the rapid progress of VLSI design technologies,
many processors based on audio and image signal This paper is organized as follows. Section II introduces
processing have been developed recently. The two- the 2D DWT algorithm. Section III describes the proposed
dimensional discrete wavelet transform (2-D DWT) plays architecture for 2D DWT. Section IV compares the
a major role in the JPEG-2000 image compression performance of proposed architectures. Finally the
standard. conclusion is given in Section V.

At present, many VLSI architectures for the 2-D DWT


have been proposed to meet the requirements of real-time
processing. The implementation of DWT in practical
2. Two Level DWT
system has some issues. First the complexity of wavelet 2.1 Discrete Wavelet Transform
transform is several times higher than that of DCT.
Second, DWT needs extra memory for storing the Two dimensional discrete wavelet transform(DWT) is
intermediate computational results. Moreover, for real defined as:
time image compression, DWT has to process massive
K −1 K −1
amounts of data at high speeds. The use of software
x JLL (n 1 , n 2 ) = ∑ ∑ g (i1 ).g (i 2 ).x JLL−1 (2n 1 − i1 )(2n 2 − i 2 ) (1)
implementation of DWT image compression provides i1 =0 i 2 =0
flexibility for manipulation but it may not meet some
timing constraints in certain applications. Hardware K −1 K −1

implementation of DWT, however, also has problems. x JLH (n 1 , n 2 ) = ∑ ∑ g (i1 ).h (i 2 ).x JLL−1 (2n 1 − i1 )(2n 2 − i 2 ) (2)
i1 =0 i 2 =0
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
33
ISSN (Online): 1694-0814
www.IJCSI.org

K −1 K −1
x JHL (n 1 , n 2 ) = ∑ ∑ h (i1 ).g (i 2 ).x JLL−1 (2n 1 − i1 )(2n 2 − i 2 ) (3)
i1 =0 i 2 =0 RAM LLLL,LL
N/2*N/2 M TRANSFORM
LLLH,LH
U MODULE
K −1 K −1 LLHL,HL
X
J
x HH (n1 , n 2 ) = ∑∑ h(i1 ).h(i2 ).x LL
J −1
(2n1 − i1 )(2n2 − i2 ) (4) LLHH,HH
i1 = 0 i2 = 0 INPUT ROM
IMAGE DWT
COEFFICIENTS

Where x LL (n1,n2) input image. Figure 2. Block diagram of the proposed work

J 2-D DWT level 3.1 Read Only Memory (ROM)


K filter length
g(n) impulse responses of the low-pass filter This is the input section which stores the image data. The
h(n) impulse responses of the high-pass filter input image is taken from the MATLAB. The
corresponding co-efficients of the image are converted
into its binary equivalent. And these equivalents are stored
in ROM.

Generally, ROM holds programs and data permanently


even when computer is switched off.Data can be read by
the CPU in any order so ROM is also direct access. The
contents of ROM are fixed at the time of manufacture.

3.2 Transform Module


This Chapter deals with the transform module which
is the heart of the block diagram. It performs DWT in two
stages namely, Polyphase Decomposition and Co-efficient
Folding.

Figure 1. Two level DWT


Transform Module

Each decomposition level comprises two stages: stage 1


performs horizontal filtering, and stage 2 performs vertical Stage 1 Stage 2
filtering. In the first-level decomposition, the size of the
input image is N * N , and the outputs are the three sub
bands LH, HL, and HH, of size N/2*N/2. In the second-
Polyphase Co-Efficient
level decomposition, the input is the LL band and the Decomposition
outputs are the three subbands LLLH, LLHL, and LLHH, Folding
Technique
of size N/4*N/4.The multi-level 2-D DWT can be Technique
extended in an analogous manner.
Figure 3. Transform Module

3. Proposed System
The transform module decomposes the input image to the
The proposed system focuses to implement an efficient four subbands LL, LH, HL, and HH, and saves the LL
architecture for the two-dimensional discrete wavelet band to the RAM module. After finishing the first-level
transform (2-D DWT). The advantages of the proposed decomposition, the multiplexer selects data from the RAM
architecture are 100% hardware utilization, fast computing module. The LL band is then sent into the transform
time than that of parallel filter architecture, regular data module to perform the second-level decomposition. The
flow, and low control complexity, making this architecture transform module decomposes the LL band to the four sub
suitable for JPEG-2000. bands LLLL, LLLH, LLHL, and LLHH, and saves the
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
34
ISSN (Online): 1694-0814
www.IJCSI.org

LLLL band to the RAM module. After finishing the


second-level decomposition, the multiplexer selects data
from the RAM module. This procedure repeats until the
desired level (i.e., the last level) is finished. The
decimation filter can be implemented directly by a filter
followed by a two-folded decimator. However, the
decimator discards one sample out of every two samples at
the filter output, causing poor hardware utilization. Hence,
we employ two different design techniques to enhance its
performance.

3.3 Polyphase Decomposition Technique

A compact way of designing filter banks is the polyphase-


domain analysis. This methodology decomposes signals
and filters into their polyphase components. Figure 5. Block diagram of polyphase decomposition

The standard decimation method:

x (n)
3.4 Data Flow of Decimation Filter Employing
H(Z) ↓M y (m)
Polyphase Decomposition
Figure 4. A Basic Decimator Table 1 Data flow of decimation filter in polyphase decomposition

The process of converting data sampled at one rate (fs 1 ) to CLK SW IN ODD EVEN OUT
data sampled at another rate (fs 2 ). If fs 1 > fs 2 , the process 0 0 x(0) a 1 x(0)
is called decimation. The function of a decimator is to take 1 1 x(1) a 0 x(1) a 0 x(1)+a 1 x(0)
data that was sampled at one rate and change it to new 2 0 x(2) a 1 x(2)+a 3 x(0)
data sampled at a lower rate. The data must be modified in a 0 x(3)+a 1 x(2)+
such a way that when it is sampled at the lower rate the 3 1 x(3) a 0 x(3)+a 2 x(1)
a 2 x(1)+a 3 x(0)
original signal is preserved. 4 0 x(4) a 1 x(4)+a 3 x(2)
a 0 x(5)+a 1 x(4)+
5 1 x(5) a 0 x(5)+a 2 x(3)
Block Diagram of Polyphase Decomposition a 2 x(3)+a 3 x(2)
Technique 6 0 x(6) a 1 x(6)+a 3 x(4)
a 0 x(7)+a 1 x(6)+
7 1 x(7) a 0 x(7)+a 2 x(5)
The first technique is the polyphase decomposition a 2 x(5)+a 3 x(4)
8 0 x(8) a 1 x(8)+a 3 x(6)
technique as illustrated in Figure 5 which decomposes the
a 0 x(9)+a 1 x(8)+
filter coefficients into even-ordered and odd-ordered parts. 9 1 x(9) a 0 x(9)+a 2 x(7)
a 2 x(7)+a 3 x(6)
In the even clock cycles, the input data are fed to the odd
part and multiplied with the odd-ordered coefficients. In
the odd clock cycles, the input data are fed to the even part 3.5 Coefficient Folding Technique
and multiplied with the even-ordered coefficients. The
In synthesizing architectures, it is important to
output data are the sum of the odd and even parts.The
minimize the silicon area of the integrated
internal clock rate is half the input clock rate after
circuits, which is achieved by reducing the number
employing the polyphase decomposition technique.
of functional units (such as adders, multipliers),
Therefore, we can double the input clock rate to increase
the throughput. When the quantity of processing data is registers, multiplexers, and interconnection wires.
the same, the computing time will be reduced to half. The folding transformation is used to
Thus, this technique can reduce the time cost to a half. We systematically determine the control circuits in the
use the symbol “T/2” to represent the polyphase decomposition architecture where multiple algorithm operations
technique (such as addition operations) are time-multiplexed
to a single functional unit (such as pipelined
adder). By executing multiple algorithm
operations on a single functional unit, the number
of functional units in the implementation is
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
35
ISSN (Online): 1694-0814
www.IJCSI.org

reduced, resulting in an integrated circuit with low transform module, because the image data are fed by a
silicon area. The figure 6 shows the schematic raster-scan mode, each coefficient requires a line delay to
diagram of coefficient folding technique: store the row data for vertical filtering.

The second technique is the coefficient folding technique. Table 2. Design strategy for transform module
As illustrated in figure 6, every two coefficients share one
Methods Stage 1 Stage 2 Total Total Stage2
set of a multiplier, adder, and register. The switches
Stage 1 Stage2 A T A T Area T Idle
control the data path. Viewing the PE0 first, in clock-
cycle 0, the input data x(0) is multiplied with the Original Design a t 2a t/2 3a t at
T/2 T/2 a t/2 2a t/4 3a t/2 at/2
coefficient a1 and added with the content of R1 (initially
A/2 A/2 a/2 t a t/2 3a/2 t at/2
zero). The result a1x(0) is then stored to R0. In clock-
T/2 A/2 a t/2 a t/2 2a t/2 0
cycle 1, the input data x(1) is multiplied with the A/2 T/2 a/2 t 2a t/4 5a/2 t 3at/2
coefficient and added with the content of R0. A-Area: T-Time
Table 3. Data flow of decimation filter employing coefficient folding
technique

CLK SW IN PE1 PE0 OUT


0 0 X(0) a3X(0) a1X(0)
a2X(1)+
1 1 X(1) a0X(1)+ a1X(0) a0X(1)+a1X(0)
a3X(0)
a1X(2)+ a2X(1)
2 0 X(2) a3X(2)
+a3X(0)
a2X(3)+ a0X(3)+ a1X(2) a0X(3)+ a1X(2)
Figure 6. Schematic diagram of coefficient folding technique 3 1 X(3)
a3X(2) +a2X(1)+a3X(0) +a2X(1)+ a3X(0)
The result a0x(1)+a1x(0) is then output. In clock-cycle 2, a1X(4)+ a2X(3)
4 0 X(4) a3X(4)
the input data x(2) is multiplied with the coefficient a1 and +a3X(2)
added with the content of R1 . The result a2X(5)+ a0X(5)+ a1X(4) a0X(5)+ a1X(4)
5 1 X(5)
a1x(2)+a2x(1)+a3x(0) is then stored to R0. In clock-cycle a3X(4) +a2X(3)+a3X(2) +a2X(3)+a3X(2)
3, the input data x(3) is multiplied with the coefficient a0 6 0 X(6) a3X(6)
a1X(6)+ a2X(5)
and added with the content of R0. The result a0x(3)+ +a3X(4)
a1x(2)+a2x(3)+a3x(0) is then output. The following clock a2X(7)+ a0X(7)+ a1X (6)+ a0X(7)+ a1X(6)+
7 1 X(7)
cycles are arranged in an analogous manner. The operation a3X(6) a2X(5)+a3X(4) a2X(5)+a3X(4)
of the PE1 is similar to the PE0. Because every two a1X(6)+ a2X(5)
8 0 X(8) a3X(8)
+a3X(4)
coefficients share one set of a multiplier, adder, and
a2X(9)+ a0X(9)+a1X(8)+ a0X(9)+a1X(8)+
register, this technique can approximately reduce the area 9 1 X(9)
a3X(8) a2X(7)+ a3X(6) a2X(7)+ a3X(6)
cost to a half. We use the symbol “A/2” to represent the
coefficient folding technique.
Data flow of decimation filter employing coefficient
Now, we employ these two design techniques to the folding technique
decimation filters of stages 1 and 2, respectively. we find
that if we employ the polyphase decomposition technique The data flow is shown in Table 3 where x(n) represents
to stage 1 and the coefficient folding technique to stage 2, the nth row data. Every two input rows generate one
the area and time cost will both be the same a and t/2 in output row. Refer to Figure 6, assuming that the low pass
stages 1 and 2. Thus, the total area cost is 2a and the total and high pass filter have four taps. The transform module
time cost is t/2. The AT product is reduced from 3at to at, can perform both polyphase decomposition and coefficient
and no hardware is idle in stage 2. folding techniques. The output frequency of each stage is
one quarter of its input frequency. Considering the
decomposition with 8*8 block in the first level and ends
Design strategy of the transform module (T/2: with four 1*1 pixels in the third level. The clock cycles
Polyphase decomposition; A/2: coefficient folding) 64,16,4 can be used to perform first, second and third level
of decomposition. Because of the regular structure the
In contrast, the other design methods, as listed in Table proposed architecture can be scaled with the filter length
3.2, cause the hardware to be idle in stage 2. Hence, they and 2D DWT level.
are not efficient design schemes. In stage 2 of the
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
36
ISSN (Online): 1694-0814
www.IJCSI.org

has been correctly verified by the Verilog Hardware


Description Language (Verilog HDL). The advantages of
the proposed architecture are 100% hardware utilization,
fast computing time, regular data flow, low control
complexity. These advantages makes the design suitable
for image compression systems in JPEG-2000.The future
work includes implementation of efficient architecture for
DWT using Lifting schemes.

References
[1] Chakrabarti, C. and Mumford, C. 1996. “Efficient
realizations of analysis and Synthesis filters based on the
Figure 7. Block diagram of coefficient folding 2D- Discrete wavelet transform in Proc. IEEE ICASSP, pp.
3256-3255.
[2] Chakrabarti, C. and Vishwanath, M. 1996. “Architectures
4. Performance of the Architecture for wavelet transforms:A survey”,VLSI signal processing,
Vol. 14, pp.171-192,
[3] Christopoulos, C., Askelof, J. and Larsson, M. 2000.
4.1 Hardware Implementation “Efficient Methods for Encoding Regions of Interest in the
upcoming JPEG 2000 still image Coding standard”, IEEE
The performance of the proposed architecture is compared signal processing letter, pp.247-249.
in terms of number of multipliers, number of adders, [4] Gab Cheon Jung, Duk Young Jin, and Seong Mo Park,
storage size, computing time, control complexity and 2004. “An Efficient Line based VLSI Architecture for 2D
Lifting DWT”.
hardware utilization. The computing time has been
[5] Parhi, K.K. and Nishitani, T. 1993. “VLSI architectures for
normalized to the internal clocking rate. Doubling of the discrete wavelet Transforms”, IEEE trans. VLSI sys, Vol. 1,
input clock rate for the input pixel can be used. Every two pp. 191-202.
input rows generate one output row. The coefficient [6] Philip P. Dang and Paul M. Chau, 2001. “A high
folding technique has lower accessing time when performance, Low power VLSI design of DWT for lossless
compared to polyphase decomposition technique. compression in JPEG 2000 standard”.
[7] Pingping Yu, Suying Yao, and Jiangtao Xu, 2009. “An
Efficient Architecture for 2D Lifting based Discrete wavelet
transform”.
Table 4. Hardware implementation output. [8] Po-Cheng Wu, and Liang-Gee Chen, “An Efficient
Architecture for Two-Dimensional Discrete Wavelet
Device Stage1 Stage 2 Transform”, IEEE transactions On circuits and systems for
Utilization Polyphase Coefficient video technology, Vol. 11.
Summary Decomposition Folding [9] Powell, S.R. and Chau, P.M. 1992. “Reduced complexity
IOB’S 13% 20% programmable FIR Filters”, IEEE international symposium
LUT 2% 1% on circuits and systems (ISCAS), pp. 561-564.
LOAD 20% 28% [10] Qionghqi Dai, Xinjian Chen, and Chuang Lin, 2004. “A
TIMING REPORT Novel VLSI Architecture for Multidimensional DWT”,
MIN PERIOD 2.926ns 2.725ns IEEE Tran. On Circuits and systems for video technology,
DELAY 2.926ns 2.725ns Vol. 14, No.8, pp. 1105-1110.
OFFSET [11] Vishwanath, M., Owens, R.M. and Irwin, M.J. 1995. “VLSI
MEMORY USAGE Architectures for Discrete wavelet transform”, IEEE trans.
TOTAL 150752KB 153376KB Circuits and systems, Vol. 42.
[12] VLSI Signal Processing systems, K.K.Parhi, Wiley
Publication.

5. Conclusion

Many 2-D DWT architectures have been proposed to


meet the requirements of real time processing. However,
the hardware utilization of these architectures needs to be
further improved. Therefore, in this paper an efficient
architecture for the 2-D DWT. The proposed architecture
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
37
ISSN (Online): 1694-0814
www.IJCSI.org

Multiple Parameters based Approach to Estimate and


width in Mobile Ad Hoc Networks

P. I. Basarkod1 and S. S. Manvi2


1
Electronics and Communication Engineering Department
REVA Institute of Technology and Management
Bangalore-560064

2
Electronics and Communication Engineering Department
REVA Institute of Technology and Management
Bangalore-560064
2
Electronics and Communication Engineering Department
REVA Institute of Technology and Management
Bangalore-560064

Abstract operations, communication set-up in exhibitions,


Mobile Ad hoc Network (MANET) is a self-organized network conferences, presentations, meetings, etc.The available
where network is set up on the fly. Some of the issues in bandwidth in a MANET is of major importance in
MANETs are routing, security, power management, bandwidth congestion control, streaming applications, quality-of-
management, mobility management, etc. Correct estimation of service verification, server selection, and overlay
the available bandwidth is one of the essential components for
efficient network resource management and seamless mobile
networks. In a packet network, the terms bandwidth and
service provisioning of Quality of Service (QoS) guarantee for throughput often characterize the amount of data that the
real time multimedia applications. This paper addresses the network can transfer per unit of time.
problem of estimation of bandwidth in MANETs by considering
channel busy time, channel idle time, packet transmission time, Bandwidth estimation is of interest to users wishing to
and packet retransmission time under the collision conditions and optimize end-to-end transport performance, overlay
handshaking time. The proposed bandwidth estimation method is network routing, and peer-to-peer file distribution.
simulated in several network scenarios to test its operation Techniques for accurate bandwidth estimation are also
effectiveness. Bandwidth utilized parameter is analyzed under important for traffic engineering and capacity planning
different conditions like varying idle time and busy time of the
support. Allocating adequate bandwidth is key to ensuring
channel, channel utilization ratio, and channel capacity.
the network performance required for multimedia
Keywords: MANETs, Bandwidth estimation, IEEE 802.11 DCF. applications. However, allocating too much bandwidth can
be costly. The ideal bandwidth estimation technique
feature is to determine the bandwidth requirements to
1. Introduction achieve user-specified quality of service (QoS) targets for
networked applications.
Color space conversion has become an integral part of
Mobile Ad hoc Network (MANET) is an autonomous
collection of mobile devices that communicate with each 2. Related Works
other over wireless links and cooperate in a distributed
manner, in order to provide necessary network There have been a number of techniques proposed in the
functionality in absence of a fixed infrastructure. Nodes area of MANET for bandwidth estimation. The work
are computing and communicating devices that include given in [1] explains an available bandwidth estimation
laptops and mobile phones. In a MANET, there are no approach, which achieves more accurate estimation.
centralized access points or base stations like cellular Differentiation of the channel busy caused by transmitting
networks. Routes between two nodes may consist of or receiving from that caused by carrier sensing, is
several hops through other nodes in the network. studied. Accuracy of estimating the overlap probability of
Therefore, each mobile node takes part in discovery and two adjacent nodes idle time is calculated in this work.
maintenance of routes to other nodes. Some specific A cognitive passive estimation of the available bandwidth
applications of MANET are military communications, (cPEAB) by correct measurements with the proportion of
virtual classrooms, emergency search and rescue waiting and back-off delay, packet collision probability,
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
38
ISSN (Online): 1694-0814
www.IJCSI.org

acknowledgement delay, and channel idle time compared depending on its requirement relative to the requirements
to measurement period is discussed in [2]. cPEAB scheme of other flows in the network. It uses a max-min fairness
has been implemented on Multiband Atheros Driver for algorithm with minimum guarantees. The flow controls its
WiFi (MadWiFi), and the performance is analyzed in packet transmission rate so it only occupies the channel
terms of active bandwidth measurements with probes, for the fraction of time allotted to it by the Bandwidth
adaptive admission control protocol (AAC), available Manager. As available bandwidth in the network and the
bandwidth estimation (ABE), and improved available traffic characteristics of various flows change, the channel
bandwidth estimation (IAB). time proportion allotted also dynamically varies.
A comprehensive review on some of the very recent works Accuracy of available estimated bandwidth and
on Quality of Service issues in MANET has been convergence delay algorithm are research challenges in
presented in [3]. Although MANET has been initially wireless network measurements. Two active probing
proposed for disaster recovery and military techniques TOPP and SloPS are studied in [8]. TOPP
communication, in today's world it has reached the provides more accurate estimation of available bandwidth
civilians in all pervasive manner. QoS support is required than the second one. However SLoPS technique has faster
to satisfy the growing need for multimedia technologies response delay than TOPP.
and commercial applications. A QoS-aware routing protocol that incorporates an
Quality of service provisioning in wireless ad hoc admission control scheme and a feedback scheme to meet
networks plays an integral part in determining the success the QoS requirements of real-time applications is proposed
of network-centric warfare as envisioned in future military in [9]. The novel part of this QoS-aware routing protocol
operations. It requires good scalability of the QoS is the use of the approximate bandwidth estimation to react
architecture since ad hoc networks in the battlefield tend to network traffic. Usage of two bandwidth estimation
to be large [4]. The positive aspects of IntServ and methods to find the residual bandwidth available at each
DiffServ, and extends upon the scalable Landmark routing node to support new streams is done in this work. A
protocol (LANMAR) to support QoS is proposed in this service differentiation supported bandwidth estimation
work. Capability of incorporating mobile backbone model, which considers not only the interference of
networks (MBNs) to further improve the scalability is neighbor nodes but also the flow type, is discussed in [10].
done in this work. Wireless multi-hop network employing the IEEE 802.11
The work given in [5] provides a simple, but nevertheless protocol in Distributed Co-ordination Function (DCF)
extremely accurate, analytical model to compute the mode are becoming increasingly popular. In that network,
802.11 DCF throughput, in the assumption of finite all nodes share wireless. communication channel and
number of terminals and ideal channel conditions. This node-to-node bandwidth estimation Techniques for
work applies to both the packet transmission schemes accurate bandwidth estimation are also important for
employed by DCF, namely, the basic access and the routing protocol wishing to effectively make full use of
RTS/CTS access mechanisms. In addition, it also applies bandwidth. A method to dynamically estimate the node-to-
to a combination of the two schemes, in which packets node available bandwidth with neighboring nodes is
longer than a given threshold are transmitted according to discussed in [11]. The neighbor's bandwidth is estimated
the RTS/CTS mechanism. according to its historical status and the amount of data
Quality of Service (QoS) routing in MANETs is hard to that it transferred recently. Modification of 'Hello' message
achieve because the network topology tends to change in AOADV routing protocol and make it carry information
constantly in a dynamic network. An effective QoS of data transfer recently is proposed in this work.
routing scheme to satisfy the required service demand and Available bandwidth estimation is a vital component of
adapt to the dynamic changes in network resources is admission control for quality-of-service (QoS) in
presented in [6]. In this work, application-Level QoS networks, it seek to provide an accurate estimation of
Routing Scheme (ALRS) comprises three significant available bandwidth such that network applications can
features: (1) Estimation of consumed bandwidth on each adjust their behavior accordingly. An available bandwidth
node by using 2-hop neighbors' traffic information, (2) estimation scheme for IEEE 802.11-based ad hoc network
Route construction combined with admission control on is presented in the work [12]. This scheme does not
each application session with (1), and (3) Route modify the CSMA/CA MAC protocol in any manner, but
maintenance based on (1) and (2). gauges the effect of phenomena such as medium
An Admission Control and Dynamic Bandwidth contention, channel interference, which influence the
Management scheme that provides fairness in the absence available bandwidth, on it. Based on the effect of the
of distributed link level weighted fair scheduling is phenomena on the working of the medium-access scheme,
proposed in [7]. Bandwidth management scheme provided the available bandwidth of a wireless host to each of its
in this work allots each flow a share of the channel time neighbors is estimated.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
39
ISSN (Online): 1694-0814
www.IJCSI.org

This paper addresses the problem of estimation of not including {H, I} which are hidden nodes for A, but
bandwidth in MANETs by considering channel busy time, they are in A's interference range. If hidden node H has
channel idle time, packet transmission time, and packet data to transfer, then it will consume node A's bandwidth
retransmission time under the collision conditions and since it is within A node’s interference range. Similarly
handshaking time.Remainder of the paper is structured as node A also consumes node H and I bandwidth. Therefore
follows. Section 3 describes the proposed work. Section 4 many nodes in A's interference range will be affected in
presents simulation procedure. Section 5 presents result the usage of bandwidth. Hence it is important that local
analysis. Finally, conclusions are given in section 6. and neighboring nodes within interference range must be
identified as precisely.
Since bandwidth is shared among neighboring nodes, a
3. Proposed Work node listens to the channel and estimates bandwidth on the
ratio of idle and busy times for a predefined interval.
The bandwidth information is one of the important metric Hence the bandwidth is estimated as in equation (1)
of choice for providing Quality of service (QoS). We
BW = ×C
Tidle
propose to estimate the available bandwidth based on the Tint erval
(1)
channel status of the radio to calculate the idle and busy Where T idle denotes the idle time in a interval T interval , C
periods of the shared wireless media. By observing the denotes the channel capacity. In the equation (1) T interval
channel utility of a node, we can take the measure of the comprises of the following time periods.
activities of the node as well as its surrounding neighbors
and thus obtain good approximation of bandwidth usage. Idle time T idle
The channel utilization ratio is defined as the fraction of Time taken for actual transmission of the data T tx
the time within which a node sensing the channel as being Time taken for retransmission of packets T rtx
utilized. We differentiate SENSE BUSY state from BUSY Time taken for four-way handshaking T hs
STATE. Transmitting: If it is currently emitting through
its antenna. Receiving: If there is any node transmitting
with in its transmitting range; sensing, when the medium
is sensed busy but no frame is being received because the
energy level is below the receive power threshold. The
other time the node is idle. According to its influence on
the surrounding media, it define that a node is BUSY
when it is in the state of transmitting or receiving, and
SENSE BUSY when it is in the state of sensing. The status
of the channel in 802.11 wireless radio is broadly
categorized in to four states.

• Busy state (transmitting or receiving packets) Figure 1: Multihop and hidden nodes
• Carrier sensing channel busy (other nodes within
its neighborhood are transmitting packets.
• Virtual carrier sensing busy (refer to RTS or CTS Hence equation (1) can be re written as given in equation
packets) and (2).
• Idle state (not in any of the above states). BW =
Tidle
Tidle +Ttx +Trtx +Ths
×C (2)

Among these four states, the states 1, 2 and 3 can be Where T interval is given by equation (3).
treated as busy state, where as 4 is the idle state. Every Tint erval = Tidle + Ttx + Trtx + Ths (3)
node will continuously monitor the state of the channel for
For each time period T interval , we can estimate the channel
changes from (busy to idle or from idle to busy) and
utilization ratio as given in equation (4).
measures the time period and records the radio in each
state. Tbusy
UR = (4)
3.1 Estimation of Bandwidth in MANETs Tint erval
For smoothing utilization of the current estimation, we
As shown in the below figure 1, the outer circle represents
define a smoothing factor α belongs to {0, 1}. Assuming
node A's interference range and inside circle denotes
the last channel utilization as UR t-1 and the channel
transmission range of node A. Node A's first neighbor set
utilization ratio calculated in the current sampling time
is {B, C}. Node A's second neighbor set is {E, F, G} but
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
40
ISSN (Online): 1694-0814
www.IJCSI.org

window is UR, and then the current channel utilization with the range determined by the PHY
ratio is given by equation (5). characteristics, CW min and CW max . After each
URt = α × URt −1 + (1 − α ) × UR (5) unsuccessful transmission, CW will be doubled until
reaching the maximum value CW max =2mW-1, where
Taking T idle =1-T busy and combining equations (1) and (4), W=(CW min +1). After each successful transmission,
we can write as CW will reset to the minimum value CW min . The
1 − Tbusy back off time is a changing variable and is defined by
BW = ×C (6) equation (10)long as the channel is sensed idle,
Tint erval
frozen when the channel is sensed busy and resumed
 1 Tbusy  when the channel is sensed
BW =  − ×C
 (7)
 Tint erval Tint erval  R min(CWmax, 2 k CWmin ) − 1
Backoff = ∑ P( X = k ) × (10)
2
 1  k =0
BW =  − UR  × C (8) Where CW min is the initial value of the contention
 Tint erval  window. CW max =2kCW min is the maximum value of
the contention window R is the maximum number of
The channel utilization rate UR t is bounded between 0 and retransmissions and X is the random variable
1. After correctly estimating the channel utilization at time representing the number of retransmissions suffered
t, we can then be able to calculate the available bandwidth by a given frame. It yields to equation (11).
of a node at a time t.
 P k × (1 − p) if 0 ≤ k ≤ R − 1

Different time periods indicated in equation (3) can be P( X = k ) =  Pk if k = R (11)
calculated as below.  0 if k > R

1) T idle is time period of the node listens to the Where P referred to as the conditional collision
channel and finds it is idle. probability, is the probability that a packet transmitted
2) Time taken for actual transmission of data T tx. Let shall collide.
us assume that in the absence of competing
stations, the time to get and release the channel is a 4) DCF consists of both a basic access method (two
random variable t 1 . The time required to transmit L way hand shaking scheme) and optional channel
bits long packet at a transmission rate of C bps = access method using RTS/CTS (request to send /
t 1 + (L/C). Assume the channel i is composed of n i clear to send) exchanges (four way hand shaking
links with capacities C (i,j) ;j=1..n i . If L-bit long scheme). For station to transmit, it shall sense the
packet finds every single resource available, it will medium to determine if another station is
take a time T tx to traverse the channel as shown in transmitting. If the medium is sensed idle for period
equation (9). of time equal to DIFS (DCF Inter Frame Spacing),
ni the station transmits.
1
Ttx = L × ∑ + t1 (9)
j =1 C (i, j ) The station will keep on sensing the medium till it
and t 1 is the time it takes to get and release n-i times becomes idle for a DIFS period. Then it shall generate a
the channel i. random backoff interval to be stored in a counter. The
station shall decrement this backoff interval counter while
3) Time taken for retransmission of the packets T rtx. In the medium is idle, freeze it when a transmission is on the
802.11 DCF, a node starts its transmission if the channel and reactivate it when the channel is sensed idle
medium is sensed to be idle for an interval larger again for more than a DIFS. The station transmits when
than the distributed interframe space (DIFS). If the the backoff time reaches zero. When the destination
medium is busy, the node will defer its transmission receives a packet correctly, it will transmit an ACK to
until a DIFS is detected and then generate a random indicate the successful packet reception after a SIFS (Short
backoff period (backoff timer) before retransmission. Inter Frame Space) interval. If the source station does not
The backoff timer will be decreased as idle again for receive ACK within a specified ACK timeout the data is
more than a DIFS. A node can initiate a transmission assumed to be lost and source station schedules the
when the backoff timer reaches zero. The backoff retransmission. The RTS/CTS access method is shown in
timer is uniformly chosen in the range [0,CW]. CW figure 2. Successful RTS transmission is given in
is known as contention window, which is an integer equation (12).
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
41
ISSN (Online): 1694-0814
www.IJCSI.org

moving nodes in a network at a particular time are fraction


f of N. For mobility, “Random way point model” is
considered. In this model, each node selects a random
point in the simulation area as its destination, and a speed
from an input range. The node then moves to its
destination at its chosen speed. When the node reaches its
destination, it rests for some amount of time. At the end of
this period, it selects a new destination and speed and
resumes movement. Nodes can move in the speed range X
to Y meters/second. Each node starts from a random
Figure 2: T s and T c for fourway handshaking mechanism location and moves in any one of the eight directions:
L North, south, East, West, Northwest, and southwest. If a
TSrts = RTS + SIFS + δ + CTS + SIFS + δ + H + + SIFS + δ + ACK + DIFS + δ
C node tries to go out of the boundary, its direction is
(12) reversed (Bouncing ball model). Each node keeps the
Where T S is successful transmission time, δ is propagation information about its routing zone, i.e., list of its interior
delay,H is the packet header, L is packet length, and C is nodes and peripheral nodes.
channel capacity
4.2 Simulation procedure
TCrts = RTS + DIFS + δ (13)
Simulation procedure for the proposed scheme is as
Where T C is collision time. follows:
Now the total time taken for four-way handshaking is Begin
given in equation (14) • Generate ad hoc network with given number of
nodes.
THS = TSrts + TCrts (14)
• Calculate the T interval , Utilization ratio and channel
When a station needs to transmit a packet, it waits until the capacity
channel is sensed idle for DIFS interval, follows the • For every link selected, estimate the bandwidth.
backoff time, and then transmits a special short frame • Compute performance parameter available
called RTS instead of data frame. When the destination bandwidth under varying conditions like T inireval ,
receives the RTS, it will respond with a short frame called channel capacity and utilization ratio.
End
CTS after a SIFS interval. The source station is allowed to
transmit its data frame only, if it receives the CTS 4.3 Performance parameter
correctly. Both RTS and CTS frames carry the information
of the length of the frame to be transmitted. Other stations, Performance parameters measured is available bandwidth.
which can receive the RTS or CTS, will update their Available bandwidth: It is defined as the bandwidth
neighborhood nodes information. So the RTS/CTS method available after the consumption of certain amount of
can help to combat the hidden terminal problem. bandwidth out of total bandwidth. It is expressed in terms
of Mbps.

4. Simulation To illustrate some results of the simulation following


parameters are considered. N = 40, R = 75, a = 250
Proposed model has been simulated in various network meters, b = 200 meters, size of each grid = 50 square
scenarios using “C” language. Simulation is carried out meters, T interval = 0.1 to 1.0 m sec, Channel capacity = 0.1
extensively for different network parameters by using Mbps to 2.0 Mbps, Utilization ratio = 0.1 to 1.0.
number of iterations. In this section, we describe the
simulation model, simulation procedure and performance
parameters. 5. Result Analysis

4.1 Simulation Model We observe from figure 3 that available bandwidth


decreases as the simulation time increases for different
time intervals. Available bandwidth for different time
In order to achieve the uniform transmission power we
intervals (T interval ) depends on T idle , T tx , T rtx and T hs . As
assume that all the mobile nodes use omni-directional
shown in the figure 4, the available bandwidth decreases
antennas. An ad-hoc network of N number of nodes is
as the simulation time increases under varying channel
generated by randomly placing nodes within the area a X b
capacities. Available bandwidth decreases as the
square meters which is divided into grids. Numbers of
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
42
ISSN (Online): 1694-0814
www.IJCSI.org

simulation time increases under varying utilization ratio under different condition like varying idle time and busy
(as shown in the figure 5). time of the channel, channel utilization ratio, and channel
capacity. Hence we may conclude that the proposed
scheme gives better solution for estimation of the
bandwidth in MANETs. We need to address the
bandwidth estimation technique for varying packet lengths
to improve the proposed scheme.

References
[1] Haitao Zhao, Emiliano Garcia-Palacios, Jibo Wei, Yong Xi,
“Accurate available bandwidth estimation in IEEE 802.11
based ad hoc networks”, Computer Communications, 32
(2009), pp. 1050-1057.
[2] Tursunova, S. Inoyatov, K. Young-Tak Kim. 2010.
Cognitive passive estimation of available bandwidth
Figure 3: Available Bandwidth Vs. Simulation Time
(With varying T interval ) (cPEAB) in overlapped IEEE 802.11 WiFi WLANS. In
Proceedings of the IEEE Network Operations and
Management Symposium (NOMS).
[3] Nabendu Chaki, R. Lakshmi Priya, 2009. A study on QoS
issues on Mobile Ad hoc Networks. In Proceedings of the
2nd International Conference on Interaction Sciences:
Information Technology, Culture and Human.
[4] Kaixin Xu, Ken Tang, Rajive Bagordia, Mario Gerla,
Michael Beseschinsky, Adaptive Bandwidth Management
and QOS provisioning in Large scale adhoc networks. In
Proceedings of the Military Communications Conference,
MILCOM; pp. 1018--1023; 2003.
[5] Bianchi, G. Performance analysis of the IEEE 802.11
Distributed Coordination Function, 18(3), IEEE journal on
Selected Areas in Communications, pp. 535-547, March
Figure 4: Available Bandwidth Vs. Simulation Time (With varying
2000.
channel capacity)
[6] Toshiaki Osada, Gen Kitagata, Debasish Chakraborty,
Takuo Suganuma and Norio Shiratori, A New QoS Routing
Scheme Based on Bandwidth Consumption for
MANETs, Journal of Information Processing, 17 (2009)
pp.14-25.
[7] Samarth H. Shah, Kai Chen, Klara Nahrstdt, Dynamic
bandwidth management for single hop ad hoc wireless
networks, In Proceedings of the First IEEE International
Conference on Pervasive Computing and Communications,
2003.
[8] Abdelaziz Amamra, Hou Kun Mean, Available Bandwidth
Estimation in Wireless Ad hoc Network: Accuracy and
Probing Time, In Proceedings of the 11th IEEE
Figure 5: Available Bandwidth Vs. Simulation Time (With varying International Conference on Computational Science and
utilization ratio) Engineering, 2008.
[9] Lei Chen; Heinzelman, W.B, QoS-aware routing based on
6. Conclusions bandwidth estimation for mobile ad hoc networks 23(3),
IEEE journal on Selected Areas in Communications, pp.
This paper presented an estimation bandwidth technique 561-572, March 2005.
for Mobile Ad hoc Networks. Estimation of bandwidth [10] Ge Zhi-hui, Chen Zhi-gang, Zhang Lian-ming, Zhao
technique depends on the channel busy time, channel idle Ming, QoS Routing Based on Service Differentiation
time, packet transmission time, and packet retransmission Supported Bandwidth Estimation for MANET, Journal of
time under the collision conditions and handshaking time. Chinese Computer Systems, 2008.
The proposed bandwidth estimation method is simulated [11] Hongpeng Wang, Lingfei Gao, Zhongqiu Li, Node-to-Node
in several network scenarios to test its operation Available Bandwidth Estimation in Ad Hoc Networks, In
Proceedings of International Conference on Computer and
effectiveness. Bandwidth utilized parameter is analyzed
Electrical Engineering, 2008.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
43
ISSN (Online): 1694-0814
www.IJCSI.org

[12] Qinghui Wang; Ansong Feng; Jingxing Cao, Available


bandwidth estimation in IEEE 802.11 Ad hoc networks, In
Proceedings of Ninth International Conference on Hybrid
Intelligent Systems, 2009.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
44
ISSN (Online): 1694-0814
www.IJCSI.org

Performance Analysis of Multicast Routing and


Wavelength Assignment (MRWA) Protocol with Dynamic
Traffic Grooming in WDM Networks
1
N.Kaliammal and 2 G.Gurusamy
1
Professor, Department of ECE, N.P.R college of Engineering and Technology,
Dindugul, Tamil nadu

2
Dean/Hod EEE, Bannari amman Institute of Technology,
Sathyamangalam, Tamil nadu

Abstract
The need for on-demand provisioning of wavelength routed 1. Introduction
channels with service differentiated offerings within the transport
layer has become more essential due to the recent emergence of The concurrent transmission of multiple streams of data
high bit rate IP network applications such as multimedia with the assistance of special properties of fiber optics is
conferencing systems, video on demand systems, real-time called as wavelength division multiplexing (WDM). The
control systems, etc. Diverse optical transport network WDM network provides the capability of transferring
architectures have been proposed in order to achieve the above
huge amount of data at high speeds by the users over large
requirements. This approach is determined by the fundamental
advances in the wavelength division multiplexing (WDM) distance [Canhui (Sam) Ou Hui Zang et al].
technologies. The concurrent transmission of multiple streams of
data with the assistance of special properties of fiber optics is For the future generation internet, WDM is considered as
called as wavelength division multiplexing (WDM). The WDM
a backbone which is the most talented technology. The
network provides the capability of transferring huge amount of
data at high speeds by the users over large distance. data is routed through optical channels called light paths in
WDM all optical networks. The light path establishment
In this paper, a multicast routing and wavelength assignment requires same wavelength and it should be used along the
protocol for capacity improvement in wavelength division entire route of the light path without wavelength
multiplexing (WDM) networks is designed. In wavelength conversion. This is commonly considered to the
assignment technique, paths from source node to each of the wavelength continuity constraint [Vinh Trong Le et al].
destination nodes and the potential paths are divided into
fragments by the junction nodes and these junction nodes have
the wavelength conversion capability. By using the concept of
2. Multicast Tree Formation
fragmentation and grouping, the proposed scheme can be
generally applied for the wavelength assignment of multicast in
WDM network. 2.1. Basic Definitions
An optimized dynamic traffic grooming algorithm is also The node which cannot split the incoming message to the
developed to address the traffic grooming problem in mesh outgoing ports is called as Multicast Incapable (MI )
networks in the multicast scenario for maximizing the resource nodes. The nodes which are capable of splitting the
utilization as well as minimizing the blocking probability.
incoming message to all the outgoing ports are called as
Keywords: Multicast Incapable (MI ) nodes, Total Network Multicast Capable (MC ) nodes.
Capacity Estimation, The Least Influence Group (LIG)
algorithm, Dynamic Traffic Grooming in Multicast. The set which includes the multicast capable nodes (MC
node) and the leaf multicast incapable nodes (leaf MI
nodes) is called as MC _ SET .
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
45
ISSN (Online): 1694-0814
www.IJCSI.org

The set which includes only the non-leaf multicast 2. End for
incapable nodes, which are not able to connect a new
3. If {D} ≠ Null , Then
destination to the multicast tree, is called as MI _ SET .
3.1 Repeat from1.
The set D includes the unvisited multicast destinations
which are not yet joined to the multicast tree. 4. End if

A constraint path between a node u and a tree T is a


shortest path from node u to a node v in the 2.3. Multicast Routing
MC _ SET for T , and this shortest path should not traverse
any node in MI _ SET for T . And the constraint path with A collection of point to multiple point paths from the
source node to each destination is considered as a
the minimum length is called the Shortest Constraint Path
multicast tree.. The main objective is to reduce the
(SCP).
affected capacity. This can be done by selecting a suitable
For one nearest destination d , MC _ SET may have wavelength for the downlink of the junction nodes which
different SCPs to the sub-tree. Let X and Y are the nodes reduces the influence on the potential request paths across
for the sub-tree in MC _ SET . Without involving any node it. The junction node is considered as an end point of a
in MI _ SET for the sub-tree, both the shortest paths from wavelength within a fragment. According to the position
of converters within the path, the path can be divided into
X and Y to the nearest destination d have the shortest
uni-wavelength fragments. As a result, paths from source
length among all the nodes in MC _ SET . Here, the nodes
node to each of the destination nodes and the potential
like X and Y are named as junction nodes in the sub- paths are divided into fragments by the junction nodes and
tree. these junction nodes have the wavelength conversion
capability.

2.2. Member only Algorithm A network G= (N, E) with node set N and (directed) edge
set E is taken, where each node in the network can be a
T = {s} source or destination of traffic. The nodes in N are {N1,
N2…Nn}.
MI _ SET = Null

MC _ SET = {s} S
D = {D1, D 2....Dn} R1 0

1. For each Di , where i = 1,2.....n


1 2
1.1 If dist ( Di, N ) = min , where
R2
N ∈ MC_SET , then

1.1.1 Add Di to T
Junction
1.1.2 Find SCP ( Di , T ) ∉ M , where 3 4 Node

M ∈ MI_SET
Fig. 1 Multicast Routing Process
1.1.3 Add SCP ( Di , T ) to T

1.1.4 Add all the MC nodes to MC _ SET The above diagram (Fig.1) shows the routing process. A
predetermined fraction of the traffic entering the network
1.1.5 Add all the leaf MI nodes to MC _ SET at any node is distributed to every junction node. The
corresponding route from the source to the junction node
1.1.6 Add all the non-leaf MI nodes to
can be denoted as R1. Then each junction node receives
MI _ SET
the traffic to be transmitted for different destinations and it
1.1.7 Delete the non - leaf MI node from routes to their respective destinations. The corresponding
MC _ SET route from the junction node to the destination can be
denoted as R2.
1.1.8 Delete the destination d i from D .

1.2 End if
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
46
ISSN (Online): 1694-0814
www.IJCSI.org

Let I i and E i , be the constraints on the total amount of For the potential request paths, the set Pi = {p i 1, p i 2 …}is
traffic at ingress and egress nodes of the network, defined to indicate all fragments of the ith potential request
respectively. path and the capacity of the jth fragment of the potential
path i, SCPP i j, can be stated as following
The bandwidth requirement for the routing paths R1 and
R2 is derived. Consider a node i with maximum incoming OL( S , J i k ), k = 1, j = 1
traffic I i . Node i sends δ j I i amount of this traffic to node j 
during R1 routing for each jєN and thus the traffic demand SCPPi = OL( J i k , J i k −1 ),
j
1< k ≤ Mi , j = k
is δ j I i . Now, node i has received δ i I k traffic from any 
k = Mi, j = Mi +1
k

OL( J i , D),
other node k. Out of this, the traffic destined for node j is
δ i r kj since all traffic is initially split without regard to the
final destination. The traffic that needs to be routed from where P i j is the jth fragment of the potential path i, S i is the
node i to node j at R2 routing is given below: source node of the potential path i, and D i is the
destination of the potential path i. Basically, each fragment

k∈N
δ i rkj ≤ δ i E j . can be treated as a reassignment domain of wavelength.
Fragments of a path are mutually independent from the
Thus, the traffic demands from node i to node j at the end wavelength assignment point of view and may be with
of R2 routing is λ i E j . different fragment capacities. The actual capacity of a path
is basically determined by its fragment(s) with the least
Hence, the maximum demand from node i to node j as a capacity. The fragment(s) with the least capacity of a path
result of R1 and R2 routing is δ j I i + δ i E j .Let M = [m ij ] = is named the critical fragment of that path. Let CP i and
[δ j I i + δ i E j ] be the fixed matrix which can handle the CPP i be the path capacity (the least fragment capacity) of
traffic variation. It depends only on aggregate ingress- the path i of the multicast tree, and the path capacity of the
egress capacities and the traffic split ratios δ 1, δ 2 …. δ n , potential path i, respectively, then
Thus the routing scheme is unaware to the changes in
traffic distribution. CPi = j
min SCPR
1≤ j ≤ ri +1
i

3. Multicast Wavelength Assignment and

CPpi = j

3.1 Grouping the Paths min SCPp


1≤ j ≤ ri +1
i

Assume the set R i = {R i 1, R i 2 … R i j …} to represent all


fragments of the path from source to the ith destination in Capacity of the path cannot be decreased by decreasing
the multicast tree. R i j is the jth fragment of the path i. If the capacity in a fragment whose capacity is larger than
AWR i j is the set of available wavelengths of the jth the critical fragment of that path. A path may have more
fragment of path i, then the number of wavelengths in than one critical fragment. Let F i = {f i 1, f i 2 …} be the set
AWR i j is regarded as the capacity of this fragment. The of the critical fragments in the potential path i. Then F i can
capacity of the jth fragment of the path i, SCPR i j is be used to indicate whether the potential path is affected or
not during the wavelength assignment of the multicast
tree. So, the critical fragment of a potential path is the
OL( S , J i k ) =| AWRi j |, k = 1, j = 1 fragment traveled by the multicast tree. The impact on the

k −1 potential path can be reduced by considering the
SCPRi = OL( J i , J i ) =| AWRi |, 1 < k ≤ M i , j = k
j k j

 wavelength assignment of that fragment carefully.


OL( J i , D) =| AWRi |, k = Mi, j = Mi +1
k j
Fragments which come from multicast tree with common
links into groups are coupled using the concept of
grouping. Within a group, all fragments have common
where s is the source node of the multicast tree, Di is the
wavelengths.
ith destination of the multicast tree, J i k is the kth
wavelength converter in the path i, and M i + 1 is the Let AWG m be the connection set of all fragments existing
number of fragments of path i if there are M i junction wavelengths in the mth group. The group capacity, CG m , is
nodes being traveled by the path. The Overlap function defined as the number of wavelengths in AWG m . If links
OL(n1, n2) represents the size of the intersection set of all of a fragment and the links in the mth group are overlapped
available wavelengths for all links from node n1 to n2.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
47
ISSN (Online): 1694-0814
www.IJCSI.org

and no common available wavelength between them, this problem in which traffic connections are routed over light
fragment will be considered as a new group. paths in the virtual topology layer and light paths are
routed over physical links in the physical topology layer.
3.2 Total Network Capacity Estimation In this paper, the problem of grooming multicast traffic in
WDM networks is investigated. An optimized dynamic
The influence of network capacity is examined by checking traffic grooming algorithm is developed to address the
whether the links of potential paths overlap with those of the traffic grooming problem in mesh networks in the
multicast groups. If the overlap occurs at the critical fragments of multicast scenario for maximizing the resource utilization
the potential path and the assigned wavelength is the one of the
available wavelengths in that critical fragment, the path capacity
as well as minimizing the blocking probability.
of the potential path will be affected.
4.1 Node Design for Multicast Traffic Grooming
The network capacity affected when λ is assigned for the mth
group, TNC m, λ , can be obtained by the summation of the
In order to support multicast traffic in general, data must
influence of all potential paths as
be copied and duplicated using special hardware, which
may be electronic, optical or a combination of both. In this
TNC m,λ = ∑C
pi ∈P
m ( pi , λ ) case, it is natural to use an approach in which the optical
signal is terminated at an LTE, and the tributaries are
accessed. Tributaries that need to be copied are then
The total network capacity (TNC) gets affected since each group duplicated in the electronic domain. The LTE in Figure 2
should assign one wavelength, and it can be obtained by the performs this operation. In this figure an example is shown
summation as in which the traffic to be duplicated is received on
wavelength λ2, and is then duplicated in the electronic
TNC = ∑ ∑ C m ( pi , λ m ) − q
All m pi ∈P
domain, before being routed back through the digital
cross-connects to the two LTEs which transmit this traffic
on λ1 and λ2, and on two different outgoing OXC ports. A
In the mth group, λ m is the wavelength assigned and q is the copy of the traffic may also be dropped at the considered
affected capacity that is counted repeatedly. When the same node for delivery to attached end users.
wavelength is assigned to the groups it leads to repeated counts
and also the critical fragments of the path travels through the
group.

3.3 Wavelength Assignment

The Least Influence Group (LIG) algorithm selects the


wavelengths for groups to maximize the network capacity. The
idea behind LIG algorithm is that the wavelength having the least
effect on the potential paths is chosen for that group. The
affected network capacity examines the influence of each
wavelength assignment. The LIG algorithm is illustrated below:
AW Gm = {λ 1 , λ 2, λ 3 ….}

1. Find all p b whose links overlap in the links of group m


2. For each λ ∈ AW Gm
TNC m ,λ = ∑C
pb ∈P '
m ( pb , λ )
Fig 2 Multicast traffic duplication in the electronic domain

3. Assign λ which TNC m, λ is minimum in group m. Therefore, the node architecture shown in Figure 3 is
introduced which implements both electronic and optical
duplication, based on need and cost. Such nodes are
4. Dynamic Traffic Grooming In Multicast known as translucent nodes [Ahmed E. Kamal et al].

The dynamic traffic-grooming problem in wavelength


4.2 Maximizing Bandwidth Capacity
routed networks is generally a two-layered routing
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
48
ISSN (Online): 1694-0814
www.IJCSI.org

The objective of Multicast Traffic Grooming algorithm is Break


to increase resource utilization, and to minimize the 10. End if
blocking probability for future arriving requests. This is 11. End for
done by using paths which will maximize the bandwidth
12. If (CR>0) Then
capacity left after routing a multicast tree. In other words, Establish route CR
the problem can be considered as a max-min problem, 13. End if
where the minimum left over bandwidth on all links is 14. Return CR
maximized 15. End for
16. End
The bandwidth capacity left after routing the tree is called
the residual capacity (RC) and RC on link (i,j) is given by
AC ij − BW 4.4 Selection of Best Route
RC =
GF
As the number of candidate routes increases exponentially
Where ACij is an available capacity on wavelength w ,BW with the number of hop within a path, it is unfeasible to
is the bandwidth requirement of the session on this link search all the candidate routes in a large network. In order
and GF is the grooming factor. to reduce the number of candidate routes, some candidate
The minimum RC on all wavelengths is calculated paths are selectively searched instead of exhaustively
assuming that the session is provisioned, and the routing searching all possible routes. The set of connection routes
that yields the maximum over these minima is used. are selected from traffic grooming algorithm. A Broken
Route (R B ) is a hop in a route where there is no lightpath
exists. A route may contain many such hops and the sum
of the length of all such hops gives the total length (L) of a
R B . The route checks whether there is any existing light
path in each hop. It evaluates all the candidate routes
continuously until it finds a set of routes {R} with
minimum number of R B s and minimum number of cost.

5. Simulation Results
Fig 3 Node architecture employing optical and electronic duplication
5.1. Simulation Model and Parameters
4.3 Optimized Dynamic Traffic Grooming
(ODTG) Algorithm In this section, the performance of multicast routing and
wavelength assignment technique(MRWA) and Dynamic
Let {MSd} be the set of multicast sessions, d=1,2,……x
traffic grooming algorithm are simulated with an extensive
Let R m be the multicast receiver for the multicast source S, simulation study based upon the ns-2 network simulator
where m = 1, 2, 3….k [Network Simulator: www.isi.edu/nsnam/ns]. The Optical
Let {C R i} be the candidate routes from S to R m .in G’ w Where i WDM network simulator (OWNs) patch in ns2 is used to
=1, 2, 3….n simulate a NSF network (Fig. 4) of 14 nodes. Various
{H j,i } = hops in each {C R i} where j= 1,2,3,….n simulation parameters are given in table 1
{BW j,i } = bandwidth on each hop.
CR = Connection Route

1. For each {MSd}


2. For each w
Find the residual capacity graph G’ w
3. End For
4. End For
5. For each {C R i}, i =1, 2, 3….n
. 6. Let CR= C R i
7. For each {Hj,i} on { C R i }
8. If (RC>max (RC)), then
Repeat from 3
9. Else
CR = Null
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
49
ISSN (Online): 1694-0814
www.IJCSI.org

In the initial simulation, the traffic rate is varied as 2Mb, 4Mb,


6Mb, 8Mb and 10Mb and measure the throughput, end-to-end
delay and bandwidth utilization.

Fig. 4 NSF network of 14 nodes

Table.1 Simulation Parameters Fig: 5 Rate Vs Throughput

Topology Mesh
Rate Vs Delay
Total no. of nodes 14
Link Wavelength Number 8
2000
Link Delay 10ms

Delay(sec)
Wavelength Conversion Factor 1 1500
MRWA
Wavelength Conversion Distance 8 1000
Wavelength Conversion Time 0.024 REMR
500
Link Utilization sample Interval 0.5
0
Traffic Arrival Rate 0.5
2 4 6 8 10
Traffic Holding Time 0.2
Rate(MB)
Packet Size 200
No. of Receivers 4
Max Requests Number 50 Fig: 6 Rate Vs Delay
Rate 2,4,6, 8 and 10 Mb
Number of Traffic Sources 1,2,3,4 and 5 Rate Vs Utilization

In this simulation, a dynamic traffic model is used, in 0.08


which connection requests arrive at the network according
Utilization

0.06
MRWA
to an exponential process with an arrival rate r 0.04
REMR
(call/seconds). The session holding time is exponentially 0.02
distributed with mean holding time s (seconds). 0
2 4 6 8 10
The connection requests are distributed randomly on all
Rate(MB)
the network nodes. In this simulation, the results of
MRWA is compared with the Resource Efficient Fig: 7 Rate Vs Utilization
Multicast Routing (REMR) protocol and the results of
Optimized dynamic traffic grooming(ODTG) algorithm is 5.4. Results and Discussion of ODTG and Traffic
compared with Static Traffic Grooming and S/G Light tree Grooming and S/G Light tree
In the initial experiment, the number of hops is varied as 0, 1, 2,
3 &4
5.2. Performance Metrics

In this simulation, bandwidth utilization , end-to-end delay and


throughput is measured.

5.3 Results and Discussion of MRWA & REMR


IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
50
ISSN (Online): 1694-0814
www.IJCSI.org

minimize the blocking probability for future arriving


No.of.Hops Vs Blocking probability
requests. This is done by using paths which will maximize
the bandwidth capacity left after routing a multicast tree.
Blocking Probability

0.8
0.6
A route selection algorithm is designed based on the
ODTG
0.4 Static
lightpath availability, to select a set of best routes from the
Dynamic S/G
established connection routes..
0.2
0 By simulation results, it is proved that the proposed
0 1 2 3 4 scheme has reduced blocking probability and delay with
No.of.Hops increased throughput and bandwidth utilization.

Fig:8HopVsBlockingprobability
References
[1] Rajkumar and N.S.Murthy Sharma, “A Distributed Priority
No.of.Hops Vs Throughput
Based Routing Algorithm for Dynamic Traffic in Survivable
2500
WDM Networks”, IJCSNS International Journal of
Computer Science and Network Security, VOL.8 No.11,
Throughput

2000
ODTG November 2008.
1500
Static
1000 [2] Canhui (Sam) Ou Hui Zang, Narendra K. Singhal, Keyao
Dynamic S/G
500 Zhu, Laxman H. Sahasrabuddhe, Robert A. Macdonald and
0 Biswanath Mukherjee, “Sub path Protection For Scalability
0 1 2 3 4 And Fast Recovery In Optical WDM Mesh Networks”, IEEE
No.of.Hops Journal On Selected Areas In Communications, Vol. 22, No.
9, November 2004.
Fig: 9 Hop Vs Throughput [3] Vinh Trong Le, Son Hong Ngo, Xiao Hong Jiang, Susumu
Horiguchi and Yasushi Inoguchi, “A Hybrid Algorithm for
No.of.Hops Vs Utilization
Dynamic Lightpath Protection in Survivable WDM Optical
Networks”, IEEE, 2005.
1
0.8 [4] Fen Zhou, Miklos Molnar and Bernard Cousin, “Distance
Utilization

ODTG Priority Based Multicast Routing in WDM Networks


0.6
0.4
Static Considering Sparse Light Splitting”, IEEE 11th Singapore
0.2
Dynamic S/G International Conference on Communication Systems –
0 2008.
0 1 2 3 4 [5] Xiao-Hua Jia, Ding-Zhu Du, Xiao-Dong Hu, Man-Kei Lee,
No.of.Hops and Jun Gu, “Optimization of Wavelength Assignment for
QoS Multicast in WDM Networks”, IEEE Transactions on
Fig: 10. Hop Vs utilization Communications, Vol. 49, No. 2, February 2001.
[6] Javier E. Sierra, Luis F. Caro, Fernando Solano, Jose L.
Marzo, Ramon Fabregat and Yezid Donoso, “All-optical
Unicast/Multicast Routing in WDM Networks”, In the
6. Conclusion proceedings of IEEE "GLOBECOM" 2008.
[7] Xijun Zhang, John Wei and Chunming Qiao, “On
In Multicast Routing and Wavelength Assignment Fundamental Issues in IP over WDM
technique, paths from source node to each of the Multicast”, Proceedings of the Eight International
destination nodes and the potential paths are divided into Conference on Computer Communications and Networks,
1999.
fragments by the junction nodes and these junction nodes
have the wavelength conversion capability. In order to [8] Nina Skorin-Kapov, “Multicast Routing and Wavelength
Assignment in WDM networks: A Bin Packing Approach”,
select the wavelengths for groups to maximize the network
in the proceedings of the optics infobase in the optical
capacity, the Least Influence Group (LIG) algorithm is networks, 2006.
used, i.e. the wavelength having the least effect on the
[9] Hong Shen, Yi Pan, John Sum and Susumu Horiguchi,
potential paths is chosen for that group. So the affected
“Multicasting in Multihop Optical WDM Networks with
network capacity influences the wavelength assignment. Limited Wavelength Conversion”, IEICE TRANS. INF. &
In Optimized Dynamic Traffic Grooming for Multicast SYST., VOL.E86–D, NO.1 JANUARY 2003.
Traffic technique, Maximizing bandwidth capacity
algorithm is used to increase resource utilization, and to
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
51
ISSN (Online): 1694-0814
www.IJCSI.org
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
52
ISSN (Online): 1694-0814
www.IJCSI.org

The Development of Controller for Buoyancy Engine for


Use in Autonomous Underwater Vehicle (AUV)
J. Supriyanka1, Shabnam Parveen2, P. Kavita3 and N.Jagdesh Babu4
1
Assistant Professor, EIE Dept, GIT,
GITAM University, Visakhapatnam,
Andhra Pradesh, India.

2
Assistant Professor, EIE Dept, GIT,
GITAM University, Visakhapatnam,
Andhra Pradesh, India.

3
Assistant Professor, EIE Dept, GIT,
GITAM University, Visakhapatnam,
Andhra Pradesh, India.

4
Assitant Professor, EIE Dept, GIT,
GITAM University, Visakhapatnam,
Andhra Pradesh, India.

Abstract 1030 kg/m³. An UV with a volume of (displacement)


In this paper, we describe the developed system which is a approximately 1.5 m³ may be subjected to a variation in
prototype of buoyancy controller, based on requirement buoyancy of approximately ±225 N. Such variations in
analysis of the buoyancy engine for the control of buoyancy may adversely affect the performance of such
buoyancy, for use in Autonomous Underwater Vehicle vehicles or may lead to loss of the vehicle. It is presumed
(AUV). A buoyancy controller can vary the buoyancy of that a Buoyancy Engine with a suitable controller and
an AUV during its mission based on commands from the sensing devices can compensate for these density
mission computer system. It helps the AUV to control and variations. Hence, there is a need for controlling the
navigate underwater with minimum energy. The buoyancy weight and buoyancy of an under water vehicle like
controller also protects the AUV from sinking such that Autonomous Underwater Vehicle using a buoyancy
the vehicle is maintained at controlled depth for a long engine.
time period with low energy consumption. An 8051
microcontroller-based open loop system has been 1.2 Autonomous Underwater Vehicle (AUV)
developed for this variable buoyancy system by the use of and Buoyancy Engine
suitable sensors and solenoid valves.
Keywords: Buoyancy controller, Buoyancy Engine, AUV.
An AUV is a self-propelled unmanned submersible
vehicle with a mission-control which is pre-programmed
1. Introduction or adaptive in real-time. It can carry out a variety of tasks
depending on the role assigned such as underwater survey,
1.1 Buoyancy Controller mine-hunting, future multi-tired battle space and other
naval applications. These robotic vehicles move along a
pre-programmed trajectory or respond to sensor inputs to
Depending on variations in salinity and temperature, the perform necessary mission operations and at the end of the
density of natural sea water can vary between 995 and mission, return to the support vessel or base point.
These systems are powered by batteries or fuel cells and
can operate in water as deep as 6000 meters.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
53
ISSN (Online): 1694-0814
www.IJCSI.org

Technological advancement in propulsion and power bladder through an exhaust solenoid valve whenever
systems gives these robotic submarines extended negative buoyancy is required. The system includes a
endurance in both time and range. pressure transducer assembly and an associated means to
With the advent of the new millennium, AUV systems are control the system buoyancy to achieve ascent and descent
being developed for a host of military and civilian at control speeds.
applications all over the world. The oil and gas industry
uses AUVs to make detailed maps of the seafloor before
they start building sub sea infrastructure. The detailed
maps from the AUVs allow the Oil Companies to install
pipelines and sub sea completions in the most cost
effective manner with the minimum disruption to the
environment. A typical military mission for an AUV is to
map an area to determine if there are any mines. Scientists
use AUVs to study the ocean and the ocean floor.
An AUV draws power from secondary battery and its
endurance depends upon the number and type of cells it
carry. As the endurance of vehicles is important for long
missions such as survey, there is a need to conserve
power. In this regard, by employing a suitable buoyancy
engine one can have better stability and controllability of
the vehicle with the minimum consumption of power.
Further a buoyancy engine can substantially reduce the
Figure1. Overview of hardware of Buoyancy Engine
risk of loss of vehicle due to limited water ingress or leak
in to the pressure hull. A well designed buoyancy
controller is an essential ingredient of a buoyancy engine
This is a Controller for the Buoyancy Engine for the
and it should necessarily have sensors to sense the density
control of buoyancy, for use in AUV. A suitable micro-
variations.
controller based open loop system has been developed for
the air to be pumped into and to be released from the
Table1. Specifications of the Buoyancy engine bladder using a flow regulator, pressure sensor and
Depth rating Around 10 m electrical sub-systems such as power supply and solenoid
Air inlet 0.3 liter/min valves.
Power requirement 12V dc
Power consumption 7.2 W
Command interface
RS 232 3. Detailed Design
(master/slave operation)
Event scheduling Programmable
Software µVision3 IDE 3.1 Requirement Analysis of the Controller
Dimensions (L x Dia) 33 * 24
Weight (in air) 11.9 kg 1. The controller should have minimum number of 3
Operating Temperature Range 34 ºC I/O ports.
2. A serial port is required which is very useful for
programming and also debugging.
2. Concept Design 3. It should be cost affordable.
4. EEPROM is required so that it can be programmed
Based on the inputs from literature survey, a concept from software, so that typically no need to
design of a buoyancy engine was made. The system is remove the part from the circuit to reprogram it
designed for a depth of operation of 10 meters and it can which become difficult. Flash memory program
accommodate a change in buoyancy of maximum 5 Kgs. goes much faster.
5. Development tools must be easily available.
Figure 1 shows the prototype model of Variable Buoyancy 6. Must be easily programmable.
System (Constant Weight System) in which there is an air
bottle from which the air is entered through an inlet
solenoid valve into the bladder whenever positive Hence P89C51RD2xx series which belongs to the Flash
buoyancy is required and the air is released from the microcontroller family has been chosen because
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
54
ISSN (Online): 1694-0814
www.IJCSI.org

1. It has four 8 -bit I/O ports.


2. Serial port is available. Table 2. Specifications of the Pressure Transducer
3. It has 256 bytes of RAM much that the execution
Supply voltage ± 6V
speed is more compared to 128 bytes of RAM.
4. It is less costly. Recommended excitation voltage 10 V Typ., 16 V
5. 64KB of ISP flash is available. Max.
Pressure range 0-1 bar
6. Development Tools such as KEIL µvision3,
8051DE and other softwares are easily available Null offset +1.5 Max., -1.5 Min.
for 8051 on the internet. Response time 1.0
7. It can be easily programmable. Linearity ±1
8. 8051 is more stable than the PIC microcontroller. Temperature error 0 C to +50 C
Sensitivity shift ±1
Null shift ±1
Repeatability and hysterisis ±0.2
3.2 Interfacing of ADC with P89C51RD2FN
Input resistance 7.5K
Output voltage range 0-5 V
In this project, the ADC0804 is interfaced to the NXP
P89C51RD2FN Microcontroller. The microcontroller
operated at +12V and ADC at +5V. The crystal of the
microcontroller operates at 11.0592 MHz. The input from 3.5 Other Components in Buoyancy Engine
the pressure sensor is given to the ADC and the output is
read by the microcontroller on port 0.
There are two solenoid valves in Buoyancy Engine which
are used for jamming and releasing the air for the bladder.
3.3 Pressure Transducer One solenoid valve is used as an inlet valve which makes
the air enter into the bladder and the other solenoid valve
According to the application in the project, a pressure is used as an exhaust valve which allows the air to exhaust
transducer that senses pressure <1 bar is required. The from the bladder. The pressure transducer output is
pressure transducer used is an RS miniature gauge connected as the input to the ADC and these two solenoid
pressure transducer. valves were operated at the output. The two solenoid
The excitation voltage for the pressure transducer is given valves are connected to the two ends of a T-type connector
by using a 10.5V battery pack. As the recommended and the other end is connected with the bladder.
excitation voltage is 10V for the pressure transducer, we
connected 7 1.5V batteries in series such that 10.5V Table 3. Specifications of the solenoid valves
(approx. 10V) is obtained.
In general, the output of the pressure transducer is in the Type 2-way Direct acting
order of several mV. For 1 bar pressure, the output voltage solenoid valve
without amplification is around 200mV. In order to Operating Voltage 12V dc
acquire the output in terms of volts, an amplification Power 4W
circuit with 2 IC LM 741s is used. The voltage using Consumption
amplification circuit is 5V when the applied pressure is 1 Coil resistance 21.82 Ω
bar. Operating current 0.527 mA

3.4 Power Supply The flow regulator used is JANATICS Pneumatic flow
regulator. The pressure is then increased by turning the
regulating knob in clockwise direction and the pressure is
The power supply is supplied to the Buoyancy Control
reduced by turning the regulating knob in counter
Unit by using two batteries, each of 6V 4Ah. Power
clockwise direction. The pressure is set always in the
supply to different components:
ascending manner within the specified set pressure. Here,
the pressure is set to about 0.5 bar (approx.).
• 12 V for microcontroller
• 12V for solenoid valves
The bladder used is a football bladder made of rubber. It is
• 5V for ADC connected at the center of a T-type connector such that on
• ±6V for amplification circuit for pressure one end of the T-type connector, an inlet solenoid valve is
transducer connected so that the air enters into the bladder from the
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
55
ISSN (Online): 1694-0814
www.IJCSI.org

air cylinder when the inlet valve gets opened while the firmly. The cylinder is fixed to the chassis by making use
exhaust valve remains closed. To the other end of the T- of 2 rectangular aluminum clamps and 2 crescent
type connector, the exhaust solenoid valve is connected aluminum clamps as shown in the figure 2. A few foam
such that the air exhausts from the bladder to the outside pieces were held below the entire body of the buoyancy
environment when it is opened while the inlet valve control engine such that some positive buoyancy is
remains closed. created. The bladder is connected to the body of the
buoyancy engine by using a small rectangular aluminum
Table 4. Specifications of the Flow Regulator plate screwed to the top of the crescent clamps which is
tied to the plate using a small wire.
Model R13614 The entire wiring inside the water-tight container is
Pressure gauge brought outside by for power ON/OFF switch, reset
port size 15 bar (225 psi) switch, PSEN ON/OFF switch and RS232 for
Set pressure 0.5 – 10 bar programming using a single 12-core Lapp Cable as shown
Installation Any position in the figure 3.37. The cable used belongs to the type
Ambient/media 12*0.5mm² shield. The cable is brought out through a
temperature 5º - 60º C (41º - 140º F) gland whose type is given by M16*1.5.
Now, the entire setup of Buoyancy Engine shown in figure
The cylinder used is a stored pressure type dry powder fire 3 is launched in water to see if it is working according to
extinguisher in which the fine dry powder is removed and the conditions specified in the program.
is pressurized to about 10 bar which is used as an air
cylinder for filling up the air into the bladder.
The neck of the cylinder is connected with a ball valve for
jamming and releasing the air from the cylinder. The
cylinder is pressurized until the pressure in the pressure
gauge read 10 bar. Also the pressure inside the cylinder
could be read through a small dial which is attached to the
neck of the cylinder.

Figure 3. Prototype of the Buoyancy Engine

4. Software Overview

The programming code for the 8051 microcontroller is


written in ‘C’ language. The code has been executed using
software that employed is through the KEIL µVision
Integrated Development Environment (IDE) which
Figure 2. Connections of components inside the Water – tight container integrates all tools including the C compiler, macro
assembler, linker/locator and HEX file generator. The
The chassis of the water – tight container is made of program has been downloaded into the IC through the
stainless steel. It is of 33 cm and 24 cm in diameter. The ‘Flash Programmer’ by using RS 232.
height of it is about 10 cm. It is rectangular in shape and to
make sure that the top plate was held firmly when 4.1 KEIL µVision3 IDE
screwed; it was placed over a rubber gasket and screwed
tightly. Four holes were drilled, each on a side for the µVision3 is an IDE (Integrated Development
water – tight container. One for air inlet from cylinder, the Environment) that helps us to write, compile, and debug
second to the bladder, the third was exhaust and the fourth embedded programs. It encapsulates the following
was for the pressure transducer inlet. The holes were fitted components:
with bulk-head connectors and are tightened with small
• A project manager.
rubber gaskets and suitable washers so that they are held
• A make facility.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
56
ISSN (Online): 1694-0814
www.IJCSI.org

• Tool configuration. 5. Test Results


• Editor.
• A powerful debugger
5.1 Buoyancy Engine tested for positive
4.2 Flash Magic buoyancy

Philips Semiconductors produce a range of The entire system is placed in a transparent water tank
Microcontrollers that feature both on-chip Flash memory made of glass which is of about 2m depth. Initially the
and the ability to be reprogrammed using In-System system is negatively buoyant and remained at about 1m
Programming technology. The P89C51RD2FN from depth. When the power switch that is outside the system is
Philips which is used for the current project is one among switched on, the pressure transducer sensed the pressure is
them. Flash Magic is Windows software from the around 0.1 bar at about 1 m depth or less than that and the
Embedded Systems Academy that allows easy access to all voltage output is ≥ 0.5 V. Therefore in order to acquire
the ISP features provided by the devices. positive buoyancy, the system started to budge slowly in
It has the following features: the upward direction as the inlet solenoid valve is opened
for a time period of about 7 seconds and the bladder got
 Erasing the Flash memory (individual blocks or filled up with air from the cylinder through the inlet
the whole device) solenoid valve. The entire system has moved up along
 Programming the Flash memory with the bladder creating positive buoyancy.The system
 Modifying the Boot Vector and Status Byte remained for a delay of about 1 minute when it has
 Reading Flash memory reached to a depth of about 10cm i.e. to the top of the tank
 Performing a blank check on a section of Flash and now the entire system is positively buoyant as shown
memory in the figure 5.
 Reading the signature bytes
 Direct load of a new baud rate (high speed 5.2 Buoyancy Engine tested for negative
communications) buoyancy
 Sending commands to place device in Boot
loader mode
Now, the exhaust solenoid valve is opened after a delay of
about 1 minute and the air started to exhaust from the
4.3 Flow chart bladder. As the air started to exhaust, the entire system
along with the bladder started to move slowly in the
downward direction until it reached a depth of ≤ 10cm and
the output of the pressure transducer calibrated in terms of
voltage is read as ≤ 0.05 V i.e. the pressure at this point
around ≤ 0.01 bar. Now, the system is negatively buoyant.
The positive and negative buoyant conditions were
observed for a few cycles until the air in the cylinder got
emptied completely. As the cylinder is for one-time
operation, it has been frequently filled with air for
observing the positive and negative buoyant conditions for
several times.

6. Conclusion

The Controller for Buoyancy Engine with the following


specifications has been developed:
1. P89C51RD2FN Microcontroller operating at 20
MHz.
2. ADC 0804 operating at a clock frequency of about
640 KHz.
3. Solenoid Valves operating at 12V.
4. Pressure transducer of 1bar operating at a voltage of
Figure 4. Flow chart for programming the Buoyancy Controller about 10V.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
57
ISSN (Online): 1694-0814
www.IJCSI.org

5. Valve driving circuit for boosting up the voltage for the derivative of error signal. The derivative control acts
solenoid valves. on rate of change of error and not on the actual error
6. Amplification circuit for the pressure transducer signal. Here, the present depth is compared with the actual
operating at ± 6V for amplifying the O/P voltage of depth and the difference between the two is generated as
the pressure transducer. an error signal. Depending upon that whether the
buoyancy must be increased or decreased is decided such
6.1 Findings that the air/water would be pumped in/out and thus the
closed loop control gets maintained.
Following are the observations made:
 A simple open loop Buoyancy Controller can vary Acknowledgments
the buoyancy of the system on commands from an on
board computer. Our sincere thanks to Dr. V. Bhujanga Rao, Director,
 The system has to be intelligent to be adaptable to NSTL, Sri.P.K.Panigrahi, Sc. ‘F’, Additional Director,
any changes in environment Head (HRW), Sri. Manu Korulla, Sc. ‘E’, Project Leader
 The developed system can be applied universally to (AUV), Sri. A.Manish, Sc. ‘B’, Sri. S.D.Adlinge,
any AUV. M.C.E.A.P. II, Sri. B. Rajgopal, Project Associate (AUV),
 Buoyancy is controlled in a simplest way by the use Dr. P.Rajesh Kumar, Associate Professor, Department of
of air as the medium for controlling buoyancy. ECE, A.U. College of Engineering, Visakhapatnam.
 The system could also be used as a standalone unit
which can carry some pay loads and carry out limited References
missions. [1] Reference approach on AUV available at NSTL Library.
 [2] Walter Fritz, Intelligent Systems and their Societies”, A
6.2 Limitations of the system free e-book.
[3] Hermann K.P Neubert. 2003 Instrument Transducers an
Introduction to their performance and design.
 The volume of air inside the bladder could not be
known as no flow meter is used. [4] Muhammad Ali Mazidi, Rolin D. McKinlay, The 8051
Microcontroller and embedded systems using assembly
 The air in the cylinder could be used only for one- and C.
time operation and hence this is said to be an open
[5] Myke Predko. 1999 Programming and Customizing the
loop system. The cylinder must be pressurized
8051Microcontroller.
repeatedly for several operations. Therefore, a closed
loop system could be used avoiding the frequent [6] Thomas W.Schultz. 2004 C and the 8051.
pressurization of the air cylinder. [7] K. Ravi Sankar, D.S.S Bhavannarayana, B. Bhanu
 Large volume changes cannot be achieved with a Prasad. “Physics”.
bladder made of rubber. So, for greater volume [8] Benjamin C. Kuo. 2002 Automatic Control Systems.
changes, smart materials can be used for buoyancy [9] Edward V. Lewise (editor). 1988 Principles of naval
control applications. architecture, VOl-II. Resistance, Propulsion and
abstraction. Published by “the society of naval
architecture and marine engineer.
7. Future Scope of Work
[10] Gregory TA. Kovacs, 1998 “Micro machined
Transducers”
The system can be improved in many ways. One way is
that a flow meter can be introduced for the same open loop
system (but using two pressure sensors) such that the
volume of flow inside the bladder could be known. Two
pressure sensors, one immediately after the flow meter and
one externally are introduced such that the internal volume
and external volume are both compared whose output
would be read through an ADC and thus depending upon
the output of the ADC, the solenoid valves are controlled
by the controller such that the buoyancy gets maintained.
One more way to improve the system includes introducing
a PD control loop. The PD controller is a device which
produces a control signal consisting of two terms – one
proportional to error signal and the other proportional to
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
58
ISSN (Online): 1694-0814
www.IJCSI.org

Analytical Modeling of Double Gate MOSFET and Its


Application
S. Panigrahy1 and P. K. Sahu2
1
Dept. of Electrical engineering, NIT, Rourkela, Odisha

2
Dept. of Electrical engineering, NIT, Rourkela, Odisha

Abstract  Reduced I off


The semiconductor industry is able to achieve better electronic
 Better control of leakage current.
performance by shrinking transistors and putting more of them
on to a chip .Now a days the silicon device technology faces a lot
of problems .The nanoscale devices are showing low short- 2. One-Dimensional Analytical Modeling of
channel effects (SCEs) compared to other devices. The Double Undoped Symmetrical DG-MOSFET
Gate MOSFET has the potential to overcome the short channel
effect problem. A one-dimensional (1-D) analytical solution is
derived for an undoped (or lightly-doped) double-gate MOSFET For a symmetric, undoped Double-Gate MOSFET, the
by considering only the mobile charge term in Poisson's equation same voltage is applied to the two gates having same work
[1]. A threshold voltage is derived which shows that it is fully function.
dependent on the gate work function.
Keywords: SCE, DGMOSFET, DIBL

1. Introduction
1.1 Double Gate MOSFET Structure

The DG MOSFETs are the devices, which are having two


gates on either side of the channel i.e. the channel is
Fig.1. Structure of a Double gate MOSFET
surrounded by the gate material on both the sides .One in
upper side, known as top gate and another one is in the
lower side of the channel, known as bottom gate . It gives 2.1 Cross-sectional view of a symmetric,
better control of the channel by the gate electrodes .The undoped Double Gate N-MOSFET
channel is taken as undoped or lightly doped one. The
absence of dopant atoms in the channel reduces mobility
degradation by eliminating impurity scattering. The
undoped nature of the channel region shows that the
threshold voltage can be determined by the work function
difference between the gate material and the intrinsic
silicon body. Therefore the threshold voltage of a lightly
doped DG- MOSFET is adjusted by tuning the work
function of the gate.

1.2 Features of Double gate MOSFET


 Better control of short channel effects.
• Low DIBL (Drain induced Barrier
Lowering). Fig.2. Schematic structure of the undoped-body symmetric
DG N-MOSFET
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
59
ISSN (Online): 1694-0814
www.IJCSI.org

2.2 Band Diagram consider an NMOSFET with qψ kT >> 1 so that the hole
density is negligible. Integrating (1) once with the
symmetry boundary condition dψ dx = 0 , at x = 0 ,
x =0
ψ = ψ 0 is the potential at the center of the silicon film to
be solved later as a function of Vg . We obtain

1/ 2
dψ  2kTni qψ / kT qψ 0 / kT 
=  (e −e ) (2)
dx  ε si 

For, 0 ≤ x ≤ W / 2 Integrating again, one obtains the


potential as a function of x

     
 2kT q 2n
Fig.(a) Fig.(b) ψ =
− .ln cos  i
.e qψ / 2 kT . x    + ψ 0 0

 q   2ε kT  
Fig.3. Schematic band diagrams of a symmetric, undoped double-gate   si   
(3)
N-MOSFET.

In the above Fig.3, E f = 0 is the Fermi Level of the n + At x = W / 2, Ψ = Ψ s, The equation (3) is then given by,
Source and Drain, Ei is the intrinsic semiconductor layer
of Fermi Level of gate electrode W is the width of the
q (ψ s − ψ 0 )   q 2n 
channel, tox is the thickness of the oxide layer, Vg =gate = − ln cos  i
e qψ 0 / 2 kT .(W / 2)  
2kT   2ε si kT 
voltage, Ψ 0 =electric potential at the center, Ψ ( x) =    (4)
electric potential at any point and Ψ s = electric potential at
ψ s is also related to Vg and tox through the boundary
the surface. At zero gate voltage , Vg = 0 Fig. 3(a) the
condition at the Si − SiO2 interface:
silicon bands are flat for the gate work function shown in
the figure. In Fig.3(b), when Vg = Vt , the conduction band
Vg − ∆φi − ψ s dψ
of the silicon body at the surface is bent near to the ε ox = ε si
tox dx x =W / 2
conduction band of the n+ source-drain (Long dotted (5)
line).As the gate voltage increases towards the threshold
voltage in Fig. 3(b), mobile charge or electron density Where ε ox is the permittivity of the oxide layer, tox is the
becomes appreciable and the conduction band of the thickness of the oxide layer, ∆φi is the work function
silicon body moves near to the conduction band of the
difference between the gate electrode and intrinsic silicon,
source-drain. When Vg = 0 , or less than Vt , ψ s is the surface potential, Vg is the gate potential and ε si
Ψ 0 = Ψ ( x) = Ψ s = Vg .When Vg = Vt , the band bends and
is the permittivity of the silicon layer. By putting the value
Ψ 0 < Ψ ( x ) < Ψ s < Vg . dΨ
of , in the above equation, we have
dx x=w / 2
By defining the coordinates and potential as in Fig. 3, we 1/ 2
 2ε si kTni (e qψ s / kT − e qψ 0 / kT ) 
can write Poisson's equation for the silicon region with got Vg − ∆φ=
i ψs +  cox
Here ∆φi is the
only the mobile charge (electron) density as work function difference between the gate electrode and
intrinsic silicon. In other words, ∆φi =0 . Vg is given gate
d 2ψ qni qψ / kT
= e (1) voltage. Equations (4) and (5) are solved to get the
dx 2 ε si solution of ψ s and ψ 0 .From equation (3)

Where q is the electronic charge, ε si is the permittivity of


     
silicon, ni is the intrinsic carrier density, ψ is the electric  2kT q 2n
ψ =
− .ln cos  i
.e qψ / 2 kT . x    + ψ 0 0

 q   2ε si kT  
potential of the silicon surface, K is the Boltzmann’s     
(6)
constant, T is the Temp. of the silicon film. Here we
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
60
ISSN (Online): 1694-0814
www.IJCSI.org

For different values of the ψ 0 variation of ψ w.r.to x is


shown below. From equation (3) we have the relationship
of electric potential with position x in silicon .Here, we
have plotted the variation of electric potential w.r.t.
position x in silicon with three different values of Ψ 0 .Up
to Ψ 0 =0.41v, the band remains flat. At this value of, Ψ 0 ,
Ψ 0 = Ψ ( x) = Ψ s When we are taking Ψ 0 =0.45 v, the band
.
bending starts. At the center, the value of Ψ 0 is constant
and as we move away from the center towards both sides
of the surface we have seen that the value of Ψ increases Fig.5.Variation of electric potential w.r.to gate voltage
from center to the silicon surface [3].
3. Device Performance by Using Work
Function Engineering:

We assumed light channel doping concentration (1×10e-


16 cm-3). The doping concentration of source/drain region
is kept at 1×10e-20cm-3. Silicon film thickness = 20 nm.
Oxide (SiO2) thickness =2nm.We assumed n channel
device and simulated the device for different work
function of metal gates of DG MOSFET. We assumed Mo
metal for metal gates because it provides wide range of
work function up to 5ev. Due to its 110 crystallographic
Fig.4. Variation of electric potential w.r.t. position x in silicon
texture.

As we are increasing the value of Ψ 0 , it shows more bands


bending .In equation (4), the cosine function within the bracket
cannot exceed π/2 .Therefore

kT  2Π 2ε kT 
Ψ 0 = .ln  2 si2 
q  qW n
 i  (7)

The above equation gives the saturation value of Ψ 0 From


equation (7) it has been seen that ψ s increases slowly as we
move away from the center of the channel in both the sides, with
the term e qψ 0 / kT in the square root is neglected , which Gives the Fig.6. Drain current as a function of drain voltage
equation ,
The DG MOSFET structures with high work function
1/ 2 (WF 4.8) have lower DIBL (drain induced barrier
Vg − ∆φi − εox  2ε si kTni (e qψ s / kT ) 
t
Ψ=
s lowering), low leakage current and higher threshold
ox
(8)
voltage as compared to devices having work function
The above equation shows the relationship between the 4.4eV and 4.6eV as shown in fig.6.
gate voltage and electric potential. The change in electric
Table1: values of device parameters according to different work functions
potential w.r.to gate voltage is shown in fig.5. The plot
(Fig.5) is between Gate Voltage and Electric potential at Work Leakage Threshold I
DIBL
W=20nm and  t ox = 2nm. It has been seen that below function current Voltage dmax

0.41v, ψ s ≈ ψ 0 ≈ Vg − ∆φi . After that Ψ 0 becomes 4.8 ev 16.8 mv/v 0.9 nA/um 0.45V 1.1mA

constant and increases exponentially. 4.6ev 45.2 mv/v 3.0 uA/um 0.25V 1.71mA

4.4ev 79.2 mv/v 4.5 uA/um 0.14V 2.4mA


IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
61
ISSN (Online): 1694-0814
www.IJCSI.org

conjugate bias of the second stage. The width of hysteresis


Remark: Therefore it has been seen that by changing the work depends upon the conjugate bias of the second stage
function of the device we can set the appropriate value of proposed by the scientist Kaya
threshold voltage.

Vdd =5v Simulation Results:


μ (C n )/2=16.2
Transconductance parameter of ox μA /V 2
The Schmitt Trigger’s width is tunable using the conjugate bias
and μ( C )/2=7.2 μA/V
p ox
2
in the second stage.
The device threshold voltages are VTN =0.55V and VTP =0.60V
The chennel (W/L)=18/6
The VH is found be 3.97 V
The VL is found be 1.62 V

4. DG-MOSFET’S Applications & Its Results:

4.1 CMOS Structure for Schmitt Trigger

Results

Fig.9. Schmitt trigger with conjugate bias ±0.5V


4.3 Comparisons
Double Gate MOSFET CMOS
1. 4 transistors are used in 1. 6 Transistors are used in
Double gate MOSFET CMOS structure.
Schmitt Trigger.
2. The width can be tuned by 2. But in case of CMOS
the conjugate bias of the structure the tuning of the
second stage . width is very difficult.
Fig.7. CMOS Structure for Schmitt Trigger
3. Supply range is very low, 3. Supply voltage= 3v to 15
which is equal to 0.3v. v.

5. Conclusion
Double gate MOSFET saves the transistor count of the
network. It operates at lower voltages than present CMOS
devices. Stability is at lower voltage.

5.1 Proposed Future Work

To Implement the DG-MOSFET for analog and digital


applications and study and compare its behavior with
Figure.8. Schmitt trigger using double gate MOSFET
conventional MOSFETs
4.2 Double gate Structure for Schmitt Trigger
References
An efficient and programmable Schmitt Trigger structure
[1] Taur, Y., Liang, X., Wang, W., and Lu, H. 2004, “A
using only 4 double gate MOSFET is proposed by the
Continuous, Analytic Drain-Current Model for DG
scientist Kaya.The Schmitt trigger is a two stage device in MOSFETs”, IEEE Electron Device Lett., vol. 25, no. 2.
which the response of the first stage is shifted using the
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
62
ISSN (Online): 1694-0814
www.IJCSI.org

[2] Taur, Y. , 2000, “An Analytical Solution to a Double-Gate [9] Wong, H.S. P., Frank, D. J., and Solomon, P. M., 1998,
MOSFET with Undoped Body”, IEEE Electron Device “Device design considerations for double-gate, ground-
Lett., vol. 21, NO. 5. plane, and single-gate ultra-thin SOI MOSFET’s at the 25
[3] He, J. , Bian, W. , Tao, Y., Liu, F., Lu, K., Wu, W. , Wang, nm gate length generation”, IEDM Tech. Dig..
T. and Chan, M. , 2007 , “An explicit current–voltage model [10] Shoji, M. and Horiguchi, S. 1999, “Electronic structures and
for undoped double-gate MOSFETs based on accurate yet phonon limited electron mobility of double-gate silicon-on-
analytic approximation to the carrier concentration”, Solid- insulator silicon inversion layers”, J. Appl. Phys., vol. 85,
State Electronics, vol.51, pp.179–185. pp. 2722–2731.
[4] Ortiz-Conde, A., Garcıa Sanchez, F.J., and Guzman, M. , [11] Thomas, E., Cristoloveanu, S. and Ghibaudo, G., 2003,
2003 ,“Exact analytical solution of channel surface potential “Ultimately Thin Double-Gate SOI MOSFET”, IEEE
as an explicit function of gate voltage in undoped-body transactions on electron devices, vol. 50, no. 3.
MOSFETs using the Lambert W function and a threshold [12] Pao, H. C. and Sah C. T., 1966, “Effects of diffusion current
voltage definition there from”, Solid-State Electronics , on characteristics of metal-oxide (insulator)—
vol.47, pp. 2067–2074. semiconductor transistors”, Solid-State Electron., vol. 9, pp.
[5] Ortiz-Conde, A., J. Garcia Sanchez, F. and Muci, J., 2005 927.
“Rigorous analytic solution for the drain current of undoped [13] Brews, J. R., 1978, “A charge sheet model of the
symmetric dual-gate MOSFETs”, Solid-State Electronics, MOSFET”, Solid-State Electron., vol. 21, pp. 345.
vol.49, pp.640-647.
[14] Taur, Y., 2000, “An analytical solution to a double-gate
[6] Balestra, F. and Cristoloveanu, S., 1987, “Double-gate MOSFET with undoped body”, IEEE Electron Device Lett.,
silicon-on-insulator transistor with volume inversion: A new vol. 21, pp. 245–247.
device with greatly enhanced performance”, IEEE Electron
[15] Taur, Y. and Ning, T. H., 1998, “Fundamentals of Modern
Device Lett., vol. EDL-8, pp. 410.
VLSI Devices”, Cambridge, U.K.: Cambridge Univ. Press.
[7] Taur, Y. and Ning, T. H., 1997, “Fundamentals of Modern
[16] Taur, Y., 2001, “Analytic solutions of charge and
VLSI Devices”, Cambridge, U.K.: Cambridge Univ. Press,
capacitance in symmetric and asymmetric double-gate
1998.
MOSFETs”, IEEE Trans. Electron Devices, vol. 48, pp.
[8] Taur, Y., “CMOS scaling into the nanometer regime”, Proc. 2861.
IEEE, vol. 85, pp. 486–504.
[17] Filanovsky, M. and Bakes, H., 1994, “CMOS Schmitt
Trigger Design”, IEEE Trans. on Cirt. ,Sys. Fund. and App.,
vol. 41. no. 1.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
63
ISSN (Online): 1694-0814
www.IJCSI.org

Evolutionary Design of IFLC for a Three Tank System


0B

P.S. Godwin Anand1 and P. Subbaraj2


P P P

1
P Professor and Head, Department of Applied Electronics and Instrumentation,
P

SAINTGITS College of Engineering,


Kottayam, Kerala, India-686 532.

2
P Principal and Professor, Department of Electronics and Communication,
P

Theni Kammavar Sangam College ofTechnology,


Theni, Tamilnadu, India

Abstract Evolutionary Algorithms (EAs) provide a way of


Conventional PID controllers have been widely applied in surmounting the shortcomings in the design of FLC. These
industrial process control for about half a century because of algorithms use some of the concepts of evolutionary
their simple structure and convenience of implementation. The theory, and provide an effective way of searching a large
implementation of PID controllers needs proper tuning of and complex solution space and give solutions close to
proportional gains, integral gains, and derivative gains of the
controllers. Among the existing gain tuning techniques, the
optimal solutions faster than random trial-and-error
method proposed by Ziegler and Nichols is probably the best- methods. They are also generally more effective at
known and most popular. However, a conventional PID avoiding local minima than differentiation-based
controller may have poor control performance, when it is used approaches [2, 3, 4]. EAs have some characteristics that
for controlling non-linear and complex processes, for which there make them especially adequate for learning fuzzy
are no precise mathematical models available. It is well known controllers. Indeed, the combination of fuzzy logic with
that the conventional controllers are suitable to control linear the learning capabilities of EAs is well known as
processes and their design is based on the exact mathematical evolutionary fuzzy systems [1].
model. In this research work, to improve the performances of
PID controller, a FLC is integrated with the PID controller
(IFLC) and IFLC design is formulated as an optimization
Suresh et al proposed an integrated fuzzy logic based
problem and GA, PSO and Modified PSO algorithms are applied intelligent control of three tank system. The proposed
to design the parameters of IFLC. The evolutionary IFLC is system shows a better performance than that of
applied to control a three tank system and the performances are conventional PID controller and FLC; however the MFs
evaluated. and rule-base of IFLC are designed based on human
Keywords: GA, PSO, IFLC, three tank system, Optimization. expertise [5]. Kim et al proposed a fuzzy logic based pre-
compensation scheme for PID controllers. The advantage
of the proposed approach was that an existing PID control
1. Introduction system can be easily modified by adding the FLC with the
PID controller. The performances of the proposed
Research on fuzzy logic controllers (FLCs) has increased controller were evaluated on a DC-motor position control
recently, as FLCs allow a simple and human approach to test-bed. The experimental results demonstrate that the
controller design and do not require precise mathematical performance of the proposed controller is better than that
model and complete knowledge about the system [1]. of conventional PID controller in terms of robustness with
Conventionally, the designer, who attempts to find an respect to variation in loading conditions [6].
acceptable controller solution, manually tunes these
parameters. However, this relies on an ad hoc approach for 2. Evolutionary Algorithms
designing, which depends on the experience of the
designer. In the absence of such knowledge, a common
approach is to design FLC through a process of trial and
2.1 Overview
error. This approach becomes tedious and time consuming
[2]. Because of these limitations a formal method of EAs are sub-class of meta-heuristic techniques. EAs are
knowledge base optimization of FLC becomes necessary. based on Darwin’s postulation of “survival of the fittest”.
An EA begins by initializing a population of candidate
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
64
ISSN (Online): 1694-0814
www.IJCSI.org

solutions to a problem. New solutions are then created by i  1, 2, 3 , N , d  1, 2, 3 , D.


randomly varying the initial population. All solutions are
measured with respect to how well they address the task. where c 1 and c 2 are the acceleration coefficients
R R R R

Finally, a selection criterion is applied to weed out those representing the weighting of stochastic acceleration terms
that are below par. This process is iterated using the that pull each particle towards pbest and gbest positions, n
selected set of solutions until a specific criterion is met. is the migration number, r 1 and r 2 are two random R R R R

The advantages of EAs are their adaptability to change variables in the range [0, 1], xi  ( x1i , xi2 , xi3 , , xiD ) is the
and ability to quickly generate good enough solutions [1,
position of the ith particle, pbest i = (pbest1, pbest2,  ,
P P R R P P P P

2].
pbestD) is the best previous position yielding the best
P P

fitness value pbest i for the ith particle, gbest = (gbest1,


2.2 Genetic Algorithm
R R P P P P

gbest2,  , gbestD) is the best position discovered by the


P P P P

whole population, vi  (v1i , vi2 , vi3 , , viD ) represents the rate


Genetic algorithm was first introduced by John Holland as
search algorithm and had since been analyzed and of the position change (velocity) for particle i and  is the
extended by Dejong and Goldberg [7,8]. GAs are most inertia weight used to balance between the global and
powerful optimization techniques used for many local search abilities.
engineering applications. Many researches show that GA
can perform extremely well for high dimensional problems 2.4 Modified PSO (MPSO)
with multiple local optimal points. One of these extensions
is its use in optimal fuzzy controller design [9]. The main Since the introduction of the PSO method in 1995, there
purpose of using GAs in the design of a fuzzy controller is has been a considerable amount of work done on
to utilize the robustness and global search capabilities of improving its performance in various ways and developed
GAs, and to develop a systematic design approach for the many interesting variants, through empirical simulations
fuzzy controller [10]. A simple GA that yields good results [12, 13]. Generally, in population-based optimization
for many practical problems consists of three genetic methods, it is desirable to encourage the individuals to
operations namely selection, crossover and mutation[2,3]. wander through the entire search space, without clustering
around local optima, during the early stages of the
2.3 Particle Swarm Optimization (PSO) optimization. On the other hand, during the latter stages, it
is very important to enhance convergence toward the
PSO is a population based, stochastic search technique global optima, to find the optimum solution efficiently.
developed by Kennedy and Eberhart [11]. The searching
process of the algorithm was inspired by social behaviors Considering those concerns, linearly time-varying
of animals such as bird flocking and fish schooling. It is acceleration coefficients over the evolutionary procedure
similar to other population based optimization methods as suggested by Ratnaweera [12] is applied as a
such as GAs. PSO starts with the random initialization of a modification in PSO. The PSO coefficients c 1 and c 2 in R R R R

population of individuals (particles) in the search space. Equation (2.2) represent the weights of the stochastic
However, unlike in other evolutionary optimization acceleration terms that pull each particle towards the local
methods, in PSO there is no direct recombination of best and global best positions. With a large cognitive
genetic material between individuals during the search. coefficient (c 1 ) and small social coefficient (c 2 ) at the
R R R R

PSO algorithm works on the social behavior of particles in beginning, the particles are allowed to move around the
the swarm. Therefore, it finds the global best solution by search space, instead of moving toward the best solution.
simply adjusting the trajectory of each individual toward In the latter part of the optimization, on the other hand, a
its own best location and toward the best particle of the small cognitive coefficient (c 1 ) and large social coefficient R R

entire swarm at each time step called generation. (c 2 ) are used, to allow the particles to converge to the
R R

global optima.The coefficient c 1 starts with a high value


The updates of velocity vid and position xid of the ith
R R

(c 1,max ) and linearly decreases to a low value (c 1min ), while


P P

R R R R

particle are given below [11]: the coefficient c 2 starts with a low value (c 2,min ) and
R R R R

linearly increases to a high value (c 2,max ). The coefficients R R

d
n 1 v i   n vid  c1 r1d ( pbest id  n xid )  c 2 r2d ( gbest d  n x id ) c 1 and c 2 can be expressed as follows:
R R R R

i i
iter
(1) c1 (iter )  (c1, min  c2, max )  c1, max (3)
d d d
itermax
n 1 xi  n xi  n 1vi (2)
iter
c2 (iter )  (c2, max  c1, min )  c2, min (4)
itermax
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
65
ISSN (Online): 1694-0814
www.IJCSI.org

where iter is the current iteration number and iter max is the
R R base parameters were optimized as mentioned in [2, 3, 4]
maximum iteration number. the procedure for constructing MFs is shown in Figure 2
3. Integrated Fuzzy PID Controller (IFLC) and procedure for construction the rule base is shown in
Figure 3.
Conventional PID controllers are still the most widely
used in industry for various control applications, due to Step 1: Obtain OF and CF from EA for the
their simple structure, ease of design, and low cost of corresponding test system with the
implementation. However, PID controllers might not specified objective function
perform satisfactorily if the system to be controlled is of
Step 2: Setup 'look-up tables' for MFs name, type,
highly non-linear and/or uncertain nature. On the other
hand, conventional fuzzy control has long been known for parameter array size and Initialize MFs
its ability to handle non-linearity and uncertainty through Step 3: Determine MF parameters for NB, NS and
the use of fuzzy set theory. It is thus believed that by ZO from lookup table
combining these two techniques together a better control Step 4: Calculate the new MF parameters using
system can be achieved [14]. The basic Evolutionary IFLC OF and CF
architecture is shown in Figure 1, where FLC is used in a Step 5: Determine MF parameters for ZO (since
supplementary role to enhance the performances of the ZO MF has +ve and -ve components)
conventional PID controller. Step 6: Negate and 'flip' NB and NS to get
'mirror-image’ PB and PS MFs definitions
Step 7: Update FLC Structure with the new MFs
Figure 2 Procedure to construct membership function

Step 1: Obtain CA, CS and CO from EA for the


corresponding test system with the
Figure 1 Evolutionary IFLC architecture
specified objective function.
Step 2: Use CA and CS to calculate x-shift
e  y *p  y p (5)
( xsi  cos(C A )  C S ) and y-shift
e  (e(t )  e(t  1)) (6)
( y si  sin(C A )  C S ) values and to determine
  F [e, e ] (7)
the consequent positions along the line
e1  y *p   (8) Step 3: Determine the consequent partition that
each antecedent combination lies within
In the above, e is the error between the plant set point and record in a matrix
Step 4: Apply order of consequents to the rule base
( y *p ) and the plant output (y p ), and e is the change in
using CO
R R

error (difference between the present error e(t) and Step 5: Convert rule base matrix to a FLC rule
previous error e(t-1)),  is the FLC output and u p is the R R
structure
PID controller output. When the control conditions
change, it is easy for the FLC to realize the system Figure 3 Procedure to construct rule base
behavior and modify the command signal to the PID
controller. Hence the PID controller output is varied non- 4. Three Tank System (3TS)
linearly based on the command signal  [15, 16]
Liquid level control has a very large application domain in
3.1 Evolutionary IFLC industry. Its most representative didactical equipments are
the tank systems, i.e. one, two, three or four tank systems.
Three entities of the IFLC which are subject to the Moreover, the three tank system (3TS) is one of the most
optimization procedure are: (a) Rule base parameters, (b) widely used laboratory system for study purposes. Figure
Membership function parameters and (c) PID parameters. 4.2 shows the interacting connection of a three tank
These IFLC parameters were optimized using EAs such as system in which tank 1 is in non-interacting connection,
GA, PSO and MPSO. The Evolutionary IFLC architecture tank 2 and tank 3 are in interacting connection [5].
is shown in Figure 1. The Membership function and rule-
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
66
ISSN (Online): 1694-0814
www.IJCSI.org

Table 1 IFLC parameters

Parameter Name Range


s
CA R RB Consequent line angle [1, 16]
CS R RB Consequent region [0.5,
spacing 1.5]
CO R RB Consequent line order [1 or 0]
OF e R e MF Offset [0, 0.5]
OF Δe R Δe MF Offset [0, 0.5]
OF u R u MF Offset [0, 0.5]
Figure 4 Schematic diagram of three tank system (3TS) CF e R e MF Companding Field [0.1, 2]
CF Δe R RP Δe MF Companding Field [0.1, 2]
Here F1 is tank 1 inflowing liquid (m3/s), F2 tank 1 P P

CF u u MF Companding Field [0.1, 2]


outflow and tank 2 inflow (m3/s), F3 tank 2 outflow and
R

KP
P P

Proportional Gain [0, 10]


tank 3 inflow (m3/s), F4 tank 3 outflow liquid (m3/s). A 1 ,
R R

KI
P P P P R R

A 2 and A 3 , are area (m2) of the tank 1, 2 and 3 and H 1 , H 2


R R R R P P R R R R
R Integral Gain [0, 10]
and H 3 , are liquid level (m) in tank 1, 2, and 3.
R R
KD R Derivative Gain [0, 10]

By applying the mass balance Equation and applying Figure 5 shows Simulink simulation setup of IFLC for a
Laplace transform, the transfer function of the system three tank system. The FLC and the PID (K P , K I and K D ) R R R R R R

becomes [5]: controller parameters of IFLC are optimized using EAs


within MATLAB simulation package and the closed loop
H 3 (s) R1R2 R3 simulation and the objective function value calculation are
G (s)  
F1 ( s ) ( A2 R2 s  1)( A3 R2 R3 s  R2  R3 )  R3 ( A1R1s  1) computed in MATLAB Simulink. The responses were
(9) taken by employing appropriate evolutionary optimized
FLCs and PID parameters in the IFLC architecture.
With the values, A 1 =A 2 =1m2; A 3 =0.5m2 and R 1 =R 2 =R 3 =2
R R R R P P R R P P R R R R R R

m/(m3/s), the Equation (9) may become:


P P

-K-
1
Setpoint
e_lim
4 err e Mux -K-
1
G(s)  1 Add 1

4 s  10 s  6 s  1
3 2 (10) -K-
1 U
Fuzzy Logic
z ce Ce Ce_lim Controller
Delay

1
|u| ISE
s
Abs Integrator Workspace

4. Simulation and Results out

Kp

The entire simulation is carried out in MATLAB and P P


Load
Mux
1 4
Simulink package installed on an Intel Core 2 Duo Ki
s 4s3 +10 s2 +6s+1
Add Add 3
processor 2.2 GHz, 2GB RAM IBM PC Environment. To Integral Sum Three Tank System

Kd du /dt File
design evolutionary MIMO-FLC, the parameters are set Derivative

based on the trial runs. For GA the number of generation


is set as 250, population size 50, crossover probability 0.5
and mutation probability 0.03. For PSO, the number of Clock
sim_time _flc

simulation time
evolutions is set as 250, population size 50, inertia weight
Figure 5 Simulink implementation of IFLC for three tank system
factor 1, c 1 and c 2 as 2 and for MPSO, the number of
R R R R

evolutions is set as 250, population size 50, inertia weight Tables 2, 3 and 4 shows the optimal IFLC rule base
factor  as1, c 1,max and c 2,max as 2.5; c 1,min and c 2,min as 0.5.
R R R R R R R R

obtained using GA PSO and MPSO the optimal GA-IFLC


rule base is obtained in 65 iterations. The optimal PSO-
The twelve parameters of IFLC as mentioned in Table 1 IFLC rule base is obtained in 33 iterations. PSO is faster
are optimized using EAs such as GA, PSO and MPSO. than GA in finding the optimal rule base of IFLC for 3TS.
The design of FLC is performed as mentioned in section The optimal MPSO-IFLC rule base is obtained in 45
3.1. iterations. The MPSO is faster than GA in finding the
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
67
ISSN (Online): 1694-0814
www.IJCSI.org

optimal rule base of IFLC for 3TS, but slower than PSO; Figure 6 Optimal IFLC membership functions obtained using GA
NB NS ZO PS PB
PSO finds the optimal rule base in 33 iterations. Even if 1

PSO finds the optimal IFLC rule base within 33 iterations, 0.5
the objective function value is higher than that of MPSO.
0
Table 2 Optimal IFLC rule base obtained using GA -1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
e
e

Degree of membership
Output NB
1 NS ZO PS PB
u NB NS Z PS PB
0.5
NB NB NB NS Z PB
NS NB NB NS PS PB 0
Δe

Z NB NS Z PS PB -1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1


Ce
PS NB NS PS PB PB
1 NB NS ZO PS PB
PB NB Z PS PB PB
0.5
Table 3 Optimal PSO-IFLC rule base

Output e 0
-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
u NB NS Z PS PB output

NB NB NS NS Z Figure 7 Optimal PSO-IFLC membership functions


NB
NS NB NS NS Z PS

D eg ree o f m em b ersh ip
1 NB NS Z PS PB
Δe

Z NB NS Z PS PS
PS NS Z PS PS PS 0.5

PB Z PS PS PB PB
0
-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
Table 4. Optimal MPSO-IFLC rule base error
D eg ree o f m em b ersh ip

1NB NS Z PS PB
Output e
u NB NS Z PS PB 0.5

NB NB NB NS NS Z 0

NS NB NS NS Z PS -1 -0.8 -0.6 -0.4 -0.2 0


change in error
0.2 0.4 0.6 0.8 1
Δe

Z NB NS Z PS PS
1 NB NS Z PS PB
D eg ree o f m em b ersh ip

PS NS Z PS PS PS
PB Z PS PS PB PB 0.5

0
The figures 6, 7 and 8 shows the optimal IFLC MFs -1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
obtained by GA, PSO and MPSO for three tank system output

Figure 8 Optimal MPSO-IFLC membership functions


(3TS)
Table 5 shows the optimal PID controller parameters of
1NB NS Z PS PB
Deg ree o f m em b ersh ip

IFLC obtained using GA, the optimal GA-IFLC PID


0.5 parameters are obtained in 65 iterations.

0 Table 5 Optimal IFLC PID controller parameters obtained using EAs


-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
error
PID Parameters GA PSO MPSO
1NB NS Z PS PB
Deg ree of m em b ership

Proportional gain (K P ) R R 1.65 2.13 3.15


Integral gain (K I ) R R 1.12 0.62 0.43
0.5
Derivative gain (K D ) R R 2.65 2.87 3.11
0
-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
change in error Table 6 shows the performances comparison of PID, IFLC
1NB NS Z PS PB and EA-IFLCs schemes to three tank system for two
Deg ree o f m em b ersh ip

different liquid level set-points. The performances are


0.5 compared with respect to rise time (Rt), settling time (St),
peak overshoot (Pos), integral absolute error (IAE) and
0
-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
integral square error (ISE).
output
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
68
ISSN (Online): 1694-0814
www.IJCSI.org

Table 6 Performances of Evolutionary IFLCs for set-point change 4.1 Comparison of Evolutionary IFLC for 3TS
Set-
Control Pos Rt St
Point IAE ISE To investigate the robustness of the proposed evolutionary
Scheme (%) (sec) (sec)
(ft) IFLCs and their ability to work for different set-point
PID 32 2.6 22.5 2.77 1.56 variations and load disturbance variations for the tree tank
IFLC 13 2.4 19.38 1.71 1.15 system, the performances are evaluated by applying a
GA- continuous set-point variation and load disturbance
7 1.9 6.428 1.45 1.08
IFLC variations. The set-point change in the liquid level is
1
PSO- applied in accordance with the Equation (13) and load
0 1.75 6.1 1.21 .808
IFLC disturbances are applied in accordance with the Equation
MPSO- (14).
0 1.35 5.1 1.03 .595
IFLC
PID 32.2 2.4 21 13.85 39.71 0 for 0  t  25
1 for 25  t  100
IFLC 12.6 2.3 17.5 9.55 33.21 SP(t)   (13)
GA- 2.5 for 100  t  150
IFLC
4 2.3 7.8 8.54 31.65 1.5 for 150  t  200
5
PSO-
IFLC
0 2.27 7.6 7.30 24.61
0 for 0  t  50
MPSO- 0.2 for 50  t  100
IFLC
0 1.52 7.5 5.23 16.95 L(t)   (14)
0.5 for 100  t  150
0.3 for 150  t  200
From Table 6, it can be seen that, MPSO-IFLC exhibits
lesser overshoot, quick rise time and faster settling time. It Figure 9 shows the simulation response of proposed
is noticed that, MPSO-IFLC outperforms the other evolutionary IFLCs, IFLC and PID control schemes for a
controllers in terms of rise time, settling time, IAE and three tank system. The set-point of the level is
ISE. continuously changed as a step function, a unit step input
is given at 25th second, then the set-point is raised to 2.5 ft
P P

Table 7 shows the control performance parameters of EA- at 100th second finally the set-point is brought down to 1.5
P P

IFLCs, IFLC and PID controllers with three tank system ft at 150th second. The closed loop simulation response is
P P

for step load disturbances. The values are tabulated with obtained for 200 seconds. From the response, it is noticed
reference to closed loop responses. that, MPSO-IFLC performs better than other controllers
and effective in controlling the 3TS for set-point changes
Table 7 Performances of Evolutionary IFLCs for load disturbances in liquid level.
Load
Load
Method Correction IAE ISE 3 GA-IFLC
(ft) PID
(sec) 2.5 IFLC
PID 15.97 2.75 1.55 2
PSO-IFLC
MPSO-IFLC
IFLC 11.9 1.90 1.23
Level

1.5 Set Point


1 GA-IFLC 6.5 1.33 1.01
1
PSO-IFLC 6.5 0.502 0.162
0.5
MPSO-IFLC 5.6 0.374 0.111
0
PID 14.77 1.37 0.38
0 20 40 60 80 100 120 140 160 180 200
IFLC 11.35 0.95 0.30 Time
0.5 GA-IFLC 6.87 0.63 0.22 Figure 9 Response of different controllers for 3TS with varying set-point
PSO-IFLC 6.2 1.09 0.721
MPSO-IFLC 5.6 0.796 0.497 Furthermore, in order to investigate the continuous
disturbance correction, continues load disturbances are
From Table 7, it can be seen that, MPSO-IFLC brings applied as mentioned in the Equation (4.6). The set-point
back the system to steady state within 6 seconds, if the of liquid level is kept as 1 ft and the load disturbances are
load disturbances is either 0.5 ft or 1 ft; which is twice varied. A step load of 0.2 ft is applied at time t=50 sec and
faster than PID controller. The IAE and ISE of MPSO- it is increased to 0.5 ft at time t=100 sec, finally the load
IFLC are found to be less than that of other controllers for disturbances is reduced to 0.25 ft at time t=150 sec. The
load disturbances. closed loop response it taken for 200 seconds which is
shown in Figure 10. It may be noted that, the action of
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
69
ISSN (Online): 1694-0814
www.IJCSI.org

MPSO-IFLC in load correction is much faster than other [5] Suresh, M., Srinivasan, G. J., and Hemamalini, R. R.
controllers and the stability is maintained. “Integrated fuzzy logic based intelligent control of three
tank system”, Serbian Journal of Electrical Engineering,
1.4 Vol. 6, No. 1, pp. 1-14, 2009.
1.2 [6] Kim, J. H., Kim, K. C. and Chong, E. K. P. “Fuzzy
1
precompensated PID controllers”, IEEE Trans. Contr. Sys.
Tech., Vol. 2, No. 4, pp. 406-411, 1994.
0.8 GA-IFLC
Level

PID [7] Dejong, K. A. “Adaptive system design: A genetic


0.6 IFLC approach”, IEEE Transactions on Systems, Man and
Load
0.4
PSO-IFLC
Cybernetics, Vol. 10, No. 9, pp. 566-574, 1980.
0.2 MPSO-IFLC [8] Goldberg, D. E. Genetic algorithms in search, optimization
0 and machine learning, Addison-Wesley Longman
4T

0 20 40 60 80 100 120 140 160 180 200


Time Publishing Co., Inc. Boston, MA, USA, 1989.
4T 4T 4T

Figure 10 Response of different controllers for 3TS with varying load [9] Chiang, C. K., Chung, H. Y. and Lin, J. J. “A self-learning
fuzzy logic controller using genetic algorithms with
reinforcements”, IEEE Trans. Fuzzy Syst., Vol. 5, No. 3,
5. Conclusion pp. 460-467, 1997.
[10] Chou, C. H. “Genetic algorithm-based optimal fuzzy
The design of GA-IFLC, PSO-IFLC and MPSO-IFLC for controller design in the linguistic space, IEEE Transactions
a three tank system presented. Parameters of all algorithms on Fuzzy Systems, Vol. 14, No. 3, pp. 372-385, 2006.
are selected based on the trial runs. Simulations are carried [11] Kennedy, J. and Eberhart, R. “Particle swarm
optimization”, in Proc. IEEE Int. Conf. Neural Networks,
out for set-point changes and load disturbances changes.
pp. 1942-1948, 1995.
The robustness of the proposed controllers was
[12] Ratnaweera, A., Halgamuge, S. K. and Watson, C. “Self-
investigated by applying a continuous set-point changes
organizing hierarchical particle swarm optimizer with time-
and load disturbances changes. From the simulation varying acceleration coefficients”, IEEE Transactions on
results, it is inferred that, the performances of proposed evolutionary computation, Vol. 8, No. 3, pp. 240-255,
controllers are better than human designed IFLC and 2004.
conventional PID controllers. Among the proposed [13] Suganthan, P. N. “Particle swarm optimizer with
controllers, the performances of MPSO-IFLC seems to be neighborhood operator”, in Proc. IEEE International
better and it out performs PSO-IFLC and GA-IFLC in Conference on Evolutionary Computation, Vol. 3, pp.
terms of minimum rise time, quick settling time, without 1958-1962, 1999.
overshoot and faster disturbance correction. From the [14] Feng, G. “A survey on analysis and design of model-based
overall simulation results it can be inferred that, MPSO fuzzy control systems”, IEEE Transactions on Fuzzy
performs better than PSO and GA in the optimal design of Systems, Vol. 14, No. 5, pp. 676-697, 2006.
IFLC for three tank system. [15] Shieh M Y; Li T H S , Design and implementation of
5T 5T

integrated fuzzy logic controller for a servomotor system,


Mechatronics Volume 8, Issue 3, pp 217-240,1998.
References [16] Radhesham, G. and Kulkarni, A. B. “An experimental
1B

comparative analysis of integrated fuzzy logic controller


[1] Subbaraj, P. and Godwin Anand, P. S. “MIMO Intelligent
(IFLC) and PID speed control of PMDC micro motor”, in
Controller optimization for Industrial Process”, journal of
Proc. of international Conference on Computational
Control and Intelligent Systems, Vol. 38, No. 4, pp. 210-
Intelligence and Multimedia Applications, pp. 405-411,
223, 2010.
2007.
[2] Subbaraj, P. and Godwin Anand, P. S. “Optimal Design of
a Fuzzy Logic Controller for Control of a Cement Mill
Process by a Genetic Algorithm”, Instrumentation Science
and Technology, Volume 39, Issue 3, pp. 288 – 311, 2011.
[3] Subbaraj, P. and Godwin Anand, P. S. “GA Optimized
Knowledge Base of FLC for Complex Industrial Process” ,
International Journal of Digital Content Technology and its
Application, Vol. 4, No. 1, pp. 123-136, 2010.
[4] Cordon, O., Gomide, F., Herrera, F., Hoffmann, F. and
3T

Magdalena, L. “Ten years of genetic fuzzy systems:


current framework and new trends”, Fuzzy Sets and
Systems, Vol. 141, No. 1, pp. 5-31, 2004.
3T5 3T5
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
70
ISSN (Online): 1694-0814
www.IJCSI.org

Frequency Reconfigurable Conformal Antennas for


Wireless Networks
Y V B Reddy1, Dr.K.Veeraswamy2, Dr.P.VamsiKrishna3 and Dr.B.Chandra Mohan4
1
Associate Professor
QISCET, Ongole

2
Principal
QISCET, Ongole

3
University of Oulu
Finland

4
HOD ECE
BEC, Bapatla

Abstract
Frequency Reconfigurable antennas have received significant The use of the 2.4 GHz industrial, scientific, and medical
attention for their applications in communications, electronic (ISM) band is becoming an important means of wireless
surveillance and countermeasures, by adapting their properties to communication. Wireless local-area networks (WLAN),
achieve selectivity in frequency, bandwidth, polarization and wireless Internet at any -point-equipped building, and the
gain. This paper presents the design of reconfigurable conformal
antenna for wireless LAN networks. The proposed antenna is
planned development of Bluetooth all utilizes the 2.4 GHz
suitable for Wireless networks (WLAN) for an operating ISM band. Furthermore, an enormous number of potential
frequency at 2.4 GHz. The antenna covers the 2.4 -2.464 GHz applications based on these technologies are possible in
WLAN band with a return loss of -21 dB. In this paper the the future. Therefore, the development of appropriate
operation at two different frequency bands are presented. The antenna design is imperative.
switching between the different frequency bands is achieved by
using diode switch. A wireless LAN is a data transmission system designed to
Keywords: Frequency reconfigurable antenna, conformal provide location-independent network access between
antenna, diode switch. Conformal antenna. computing devices by using radio waves rather than a
cable infrastructure .In the corporate enterprise, wireless
LANs are usually implemented as the final link between
1. Introduction the existing wired network and a group of client
computers, giving these users wireless access to the full
In recent years the size of portable and mobile devices is resources and services of the corporate network across a
continuously shrinking. This requires new approaches for building or campus setting. The widespread acceptance of
integrating antennas in variable systems. One of the WLANs depends on industry standardization to ensure
methods to implement new antennas is the design of product compatibility and reliability among the various
conformal antennas. This conformal antennas offer manufacturers.
considerable size and location flexibility in comparison
with traditional antennas. Conformal antennas exhibit
unique thin profiles, reduced sizes and improved 2. Conformal Antennas
performance making them suitable for different systems.
However the conformal geometries for antenna design A conformal antenna is an antenna that conforms to
needs to be taken into account during the system design something; in our case, it conforms to a prescribed shape.
process, as it impacts the antenna parameters. The shape can be some part of an airplane, high-speed
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
71
ISSN (Online): 1694-0814
www.IJCSI.org

train, or other vehicle. Antennas with radiating elements an inductor. The flexible substrate is bend exactly at the
on the surface of a cylinder, sphere, or cone, and so on, middle of the X length with a bend angle α.
without the shape being dictated by, for example,
aerodynamic or similar reasons, are usually also called
conformal antennas.

The purpose is to build the antenna so that it becomes


integrated with the structure and does not cause an extra
drag. The purpose can also be that the antenna integration
makes the antenna less disturbing, less visible to the
human eye; for instance, in an urban environment. A
typical additional requirement in modern defense system is
that the antenna do not backscatter microwave radiation
when illuminated by, for example, an enemy radar
transmitter (i.e., it has stealth properties). Multifunctional
systems depend on the co-existence of several antennas
and RF components, but as there number of components
required in a single system grows, problems such as
interference, cost, maintainability, reliability and weight Figure1.Schematic layout of the conformal antenna structure
etc may arise. Multifunctional antennas provides a
solution to these problems, a multifunctional antenna
supports multiple functions in a single antenna unit by 4. Experimental Setup
supporting more than one frequency or radiate in different
patterns etc. some technologies are used to change the
operating frequencies, radiation pattern of the antennas, The experimental setup is shown in figure2. The
and are usually named as “Reconfigurable Antennas”. dimension of the substrate is 25 mm x 40 mm. The total
radiating element length is 35 mm. When the diode is in
In this paper the design of a reconfigurable antenna on condition the resistance of the diode is considered as
operating at two different frequencies is presented. The 2ohms and when it is in off condition the capacitance of
operating frequency is switched between two values by the diode is considered as 0.01pF.The radiating element is
changing the aperture of the antenna. Operating grounded with a 220nH inductor. The diode switch is
frequencies are chosen as 2.05 GHz and 2.424 GHz, diode placed at the top right corner as shown in the figure. The
switch is used to change the aperture. bend angle is given as α.

3. Proposed Design of Conformal Antenna The simulation results for two frequency bands are shown
in figure 3.When the switch is in on condition it provides a
The geometry and dimension parameters of the frequency resistance of 2 ohms. Then the length of the conductor
reconfigurable conformal antenna are depicted in Fig. 1. patch is increased which decreases the frequency of
The feed is placed from the ground plane to the upper arm operation. In the second condition when the switch is in
of the antenna. To the right of the feed (as shown in Figure off condition it provides a capacitance of 0.01pF which
1), the arm is shorted to the ground plane. The feed is acts as an open circuit to the dc current. So the length of
closer to the shorting pin than to the open end of the upper the conductor decreases and the frequency of operation
arm. The polarization of this antenna is vertical, and the increases. In this way we can get two frequency bands of
radiation pattern is roughly doughnut shaped, with the axis operation.
of the doughnut in the vertical direction. The antenna
structure is made up of a flexible substrate and a ground The graph for return loss verses frequency for two band of
plane. As shown in the picture, the antenna is placed on frequencies are shown in figure 3.When the diode switch
the flexible substrate. is in on condition, the internal resistance of the diode
switch is 2ohms,so the dc current will flow through the
entire radiating length thereby increasing the radiating
The dimension of the substrate is X mm x Y mm. The total element length. So the resonant frequency in the first case
radiating element length is Z mm. A diode is in the middle is 2.05 GHz with a return loss of nearly -10 db.
of the radiating element and the element is grounded with
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
72
ISSN (Online): 1694-0814
www.IJCSI.org

When the diode switch is in off condition it exhibits a


capacitance of 0.01pF to the dc current and it acts as an
open circuit thereby reducing the length. The resonant
frequency in this case is 2.424GHz with the return loss of
nearly -21 dB.

Figure 5. Radiation pattern for 2.424 GHz frequency.

The surface currents at the frequencies 2.05 GHz and


2.424 GHz are shown in figures 6 and 7 respectively.

Figure2.Experimental setup

Figure 6. Surface current pattern for 2.05 GHz frequency.

Figure 3. Return loss vs. frequency plot.


The radiation pattern of the antenna for 2.05 GHz and
2.424 GHz frequencies are shown in figures 4 and 5
respectively. The radiation pattern shown in the figure for
the two cases is a doughnut shape and the realized gain in
first and second case is 1.6815 dB, 2.6623 dB
Figure 7. Surface current pattern for 2.424 GHz frequency.
respectively.

Now the conformal antenna is bend exactly at the half of


the 25mm distance with a bend angle of α. Initially the
bend angle is considered as 0 deg and the bend angle is
increased by 10 deg for each step upto the maximum value
of 90 deg when the switch in off position. The graph
between frequency and the return loss is drawn for each
step and it is shown in figure 8.When the bend angle is
minimum i.e.0 deg the resonant frequency is same as the
flat conformal antenna. If the bend angle is gradually
increased by each step the resonant frequency is increased
for each step and it is higher than the 2.424 GHz. For 90
deg bend the resonant frequency is 2.56 GHz with a return
loss of nearly 15dB.
Figure 4. Radiation pattern for 2.05 GHz frequency.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
73
ISSN (Online): 1694-0814
www.IJCSI.org

Acknowledgments
The first author would like to thank the management of
QISCET for giving the support in completing this paper.

References
[1] Arnau cabedo, Jaume anguera, Cristina picher, Miquel
Ribo, Carles Puente “ Multiband Handset Antenna
Combining a PIFA, Slots, and Ground Plane Modes “IEEE
Transactions on Antennas and propagation, vol 57,N0.9,Sep
2009
[2] M.J.Slater, J.Kolinski, H.Pan, and J.T.Bernhard “Study of
Figure8. Return loss vs. frequency plot for a bend angle of α. Conformal Integration Positions for Multiband and
Frequency Reconfigurable Antennas”.
[3] J.Costantine, C.G .Christodoulu, S.E.Barbin “A New
Reconfigurable Multi band Patch Antenna”.
5. Conclusions [4] A.Pique, R.C.Y.Auyeung, M.W.Nurnberger,
D.J.Wendland,C.B.Arnold,A.R. Abbott and L.C. Schuette
The frequency reconfigurable conformal antenna designed “Rapid Prototyping of Conformal Antenna Structures”
for WLAN applications work for the two resonant [5] J.Yeo and R.Mittra “Conformal Multiband Antennas Based
frequencies of 2.05 GHz and 2.424 GHz respectively. If on Fractal Concepts”.
the conformal antenna is bend with an angle of α then the [6] C. Soras, M. Karaboikis, G Tsachtsiris, and V. Makios
resonant frequency is gradually shifted towards the higher “Analysis and Desig of an Inverted-FAntenna Printed on a
side of the 2.424 GHz for each increase in the bend angle PCMCIA Card for the 2.4 GHz ISM Band.
step. To make this conformal antenna resonant at 2.424 [7] Mohammed Z. Azad , Mohammod Ali “A New Class of
GHz frequency with a bend angle of 90 deg, the diode Miniature Embedded Inverted-F Antennas (IFAs) for 2.4
switch position distance has to increase from the feed GHz WLAN Application”.
point towards the inductance position. [8] “Antenna Theory-Analysys and Design” Constantine
Balanis.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
74
ISSN (Online): 1694-0814
www.IJCSI.org

Analysis of V-BLAST Techniques for MIMO Wireless


Channels with different modulation techniques using
Linear and Non Linear Detection
Shreedhar A Joshi1, Dr. Rukmini T S2 and Dr. Mahesh H M3
1
Senior faculty Member, SDM College of Engineering
Dharwad-580002, Karnataka, India

2
Professor, Dept of Telecommunication
R V College of Engineering, Bangalore 5600069

3
Associate Professor and Chairman, Department of applied Electronics
Jnana Bharati, Bangalore 5600056

Abstract VBLAST, which, despite its simplicity, achieves a


The rich-scattering wireless channel is capable of huge significant portion of the full MIMO capacity.
theoretical capacities. The multiple input multiple output
(MIMO) antenna system provides very promising gain in V-BLAST (Vertical-Bell Laboratories Layered Space-
capacity without increasing the use of spectrum, throughput, and Time) is a detection algorithm to the receipt of multi-
power consumption. This is also less sensitivity to fading, hence
leading to a breakthrough in the data rate of wireless
antenna MIMO systems. Its principle is quite simple, first
communication systems. There are many schemes that can be it detects the most powerful signal (Highest SNR), and
applied to MIMO systems such as space time block codes, space then it regenerates the received signal from this user from
time trellis codes, and the Vertical Bell Labs Space-Time available decision. Then, the signal regenerated is
Architecture (V-BLAST). The V-BLAST is an ordered subtracted from the received signal and with this new sign;
successive cancellation method applied to receiver and at every it proceeds to the detection of the second user's most
stage the stream with the highest SNR is decoded. powerful signal, since it has already cleared the first signal
and so forth. This gives less interference to a vector
This paper proposes the analysis and performance of general received [1]. In V-BLAST, however, the vector encoding
MIMO system V-BLAST architecture with Maximum
process is simply a demultiplex operation followed by
Likelihood (ML), Zero-Forcing (ZF), QR decomposition and
Minimum Mean- Square Error (MMSE), Ordered Successive independent bit-to-symbol mapping of each sub stream.
Interference Cancellation (OSIC), Interference Suppression (IS) No inter-sub stream coding, or coding of any kind, is
techniques used for linear detectors in fading channels with required, though conventional coding of the individual sub
different antenna selections and digital modulation methods. streams may certainly be applied. V-BLAST utilizes a
combination of old and new detection techniques to
Keywords: MIMO, OSIC, IS, MMSE, ML, and ZF.
separate the signals in an efficient manner, permitting
operation at significant fractions of the Shannon capacity
1. Introduction and achieving large spectral efficiencies in the process.
[2]. To detect symbols in multiple antenna systems, we
Multi-antenna (MIMO) systems attract significant previously estimate channel coefficients from the received
attention during the last few years due to an extraordinary signal. In procedure of detection, it is generally assumed
high spectral efficiency they promise. A key part of the that the channel matrix estimate has no estimation error.
system is the receiver (Rx) signal processing algorithm. However, in the real system, there exist the channel
The first proposed algorithms were the Diagonal Bell estimation errors, and they cause the degradation of
laboratories layered space-time (D-BLAST) and V- system performance. As in other detection algorithms,
BLAST [5-7]. While the D-BLAST achieves the full channel estimation errors could bring the significant
MIMO capacity, it is more complex as compared to the performance degradation.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
75
ISSN (Online): 1694-0814
www.IJCSI.org

In this proposed work, the V-BLAST detection algorithm


with various detection techniques is presented. We assume
that the channel estimation errors are independent and
identically distributed (i.i.d) Gaussian random variables, Modulation TX RX V-BLAST
Decoder
which is a reasonable assumption. Using this error model
then, we are able to derive better nulling and ordering
operations, which minimize the effect of channel Tx Data Rx Data
(a1 a2 .... a M ) ( r 1 r 2.... r M)
estimation errors.

The remainder of this paper is organized as follows: Figure 1. Proposed VBLAST System model.
Section 2 presents the proposed V-BLAST system model.
Section 3 describes a V-BLAST detection Technique in 3. The V-Blast Technique
brief .Section 4 introduces our proposed methodology.
Section 5 presents simulation results to show the
performance of our proposed approaches. Section 6 V-BLAST detection uses of linear combinatorial nulling
concludes this paper. techniques (such as ZF or MMSE) or non-linear methods
like symbol cancellation. Turn by turn each sub stream is
considered to be the desired signal and all the others are
2. System Model interferers. Nulling is obtained by linearly weighting (W)
the received signals. The MIMO system requires multiple
antennas at both ends of radio link. It increases data rate
The system model considered for this proposed work is by transmitting independent information streams on
Shown in Figure.1. We consider Tx transmit antennas and different antennas. For V-BLAST, No channel knowledge
Rx receive antennas. The channel is AWGN and its time required at transmitter.
variation is negligible over a frame. The overall channel
can be represented as an M X N complex matrix H with 3.1. Main Steps for V-BLAST detection
the entries of [H] MN = h MN , where h MN is the fading
coefficient of the channel from the Nth transmit antenna to
1. Ordering: choosing the best channel.
the Mth receive antenna. The received signal at the Mth
2. Nulling: using ZF, MMSE, ML.
receive antenna is where x N is the symbol transmitted
3. Slicing: making a symbol decision
from the Nth transmit antenna, and V M is the zero-mean
4. Canceling: subtracting the detected symbol
complex Gaussian noise with The overall received signals
5. Iteration: going to the first step to detect the next
can be represented as[3]
symbol [4].
y1 = H x + v (1)
The detection process consists of two main operations:
T T 1. Interference suppression (nulling): The suppression
Where y1 = [y 1 y 2 · · · y Nr ] , x = [x 1 x 2 · · · xNt ] , and
operation nulls out interference by projecting the received
v = [v 1 , v 2 · · · vNr ]T . Here, (·)T means the matrix
vector onto the null subspace (perpendicular subspace) of
transpose. For the detection of transmitted symbols, the
the subspace spanned by the interfering signals. After that,
channel matrix H should be estimated at the receiver. The
normal detection of the first symbol is performed.
channel estimate can be represented as
2. Interference cancellation (subtraction): The contribution
of the detected symbol is subtracted from the received
H = H + ΔH (2)
vector.
Where Δ H means the channel estimation error. Each
elementof Δ H is an i.i.d complex Gaussian random 3.2. V- BLAST Optimal Ordering
variable with zero-mean and variance σ 2 Δ h / 2 per
dimension, which is generally assumed for the ML Problem in SIC is error propagation is mainly described
channel estimation with the first decode channel is in low SNR, may decode
in error and propagate to subsequent decoding process. So
OSIC gives better performance.

Ordered Successive Interference Cancellation


(OSIC)
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
76
ISSN (Online): 1694-0814
www.IJCSI.org

input_I = real (input_modu).


Here the main Idea is to detect the symbols in the order of input_Q = imag (input_modu).
decreasing SNR. It provides a reasonable trade–off 7. Define output frame, output bit stream
between complexity and performance (between MMSE
(data unit is one bit) Output frame = zeros(1,length
and ML Rx). It also achieves a diversity order which lies
between N − M+ 1 and N for each data stream. input_modu)*index).
8. Applying steps 1 to 8 and switching index 1 for BPSK
BPSK_Demodu_I = [0 1]. Frame length f (m) =
4. Analysis of V-Blast Algorithm
(m+1)/2 + 1, so I=-1 for 1, I=1 for 2
The proposed work considers V-BLAST performance 9. If (input_I > 1) then input_I (index) = 1.
analysis with ML, ZF, ZF-SIC, MMSE, MMSE-SIC, QR
decomposition detection techniques. The algorithms else if (input_I < -1) input_I (index) = -1.
mentioned in this section consider BPSK and QPSK 10. Extract demodulated output (For BPSK) as Output
modulation. frame = BPSK_Demodu_I (round ((input_I+1)/2) + 1).
The algorithms presented in this proposed work, are 11. For Demodulation of QPSK assume
divided into 5 different functions. Algorithm 4.1 depicts QPSK_Demodu_IQ = [0 1].
the modulation selection, signaling and output formats.
Algorithm 4.2 depicts demodulation flow for I and Q 12. With respect to index If (input_I > 1) then
signals. Similarly the mapping of BPSK and QPSK signals input_I (index) = 1. elsif (input_I < -1) then
is given in 4.5. The algorithms 4.3 and 4.4 shows the input_I (index) = -1.
BPSK and QPSK flow for ML, ZF, MMSE (with OSIC) 13. Repeat 12.
and QR detection. 14. Extract demodulated output (For QPSK) as Output
frame (in phase) = (round ((input_I + 1) / 2) + 1).
4.1. Modulation function.
output frame (Quadrature) = (round((input_Q + 1) /2)
+ 1).
1. Start.
2. Choose input frame, Index value for Different modulation
4.3. BPSK modulation with MIMO function
(ie.1- BPSK, 2-QPSK) and Frame length.
3. Define I as in phase component and Q is quadrature 1. Start.
Component. 2. Assume transmitting and receiving antennas
4. For BPSK modulation chooses inputs as 1 and -1 by
Tx_n = Rx_n =2 ,4 and so on.
Referring to Table 82 of IEEE802.11a.
3. Assume index = 4, frame length=12000, SNR in
5. For QPSK modulation chooses inputs as -1 and 1 by
dB = 0: 2: 20.
Referring to Table 83 of IEEE802.11a.
6. For BPSK, input I =input I (input_frame+1) 4. Define a 1, a 2 . as a1 = modulation (a, index).
and output modulation = input I. for reshaping a 2 = reshape
7. Define QPSK Output modulation as (a1,Tx_n,frame_length/index/Tx_n).
Ouput modulation = ( 1/ sqrt (2))*(input I + j * Input Q) 5. Calculate standard deviation as
6. Stop. sigma = 1/sqrt (2 * SNR (i) * Tx_n).
6. Add Noise factor (as fading parameter)
4.2. Demodulation function. AWGN_noise=sigma*(randn (Rx_n, frame
length/index/Tx_n)+ j * randn(Rx_n, frame length
1. Start. /index/Tx_n)).
2. Select Input modulation type according to index and 7. Determine Channel matrix (With possible antenna
Complex values representing constellation points selections) H= (randn (Rx_n, Tx_n) +j*randn (Rx_n,
3. Increase the quantization levels into 8. Tx_n))/sqrt (2), Equate h=H.
4. Input modulation =input modulation* index. 8. Determine Nulling rate (r) as r = H * a 2 (col_index) +
5. Output length=length (input modulation). AWGN_noise(col_index);
6. Divide Real and imaginary parts of in phase and
quadrature Components as 9. For ZF choose best signal (Highest SNR)
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
77
ISSN (Online): 1694-0814
www.IJCSI.org

G = pinv (H) ( Pseudo inverse of H) NumErr (i) = sum (abs


10. For MMSE, the highest SNR signal is (reshape(dec1.’1,frame_length)~=a)).
G1=inv (H' * H+ sigma.^2 * eye(Tx_n))* H' . Ber (i) = NumErr (i) / frame_length.
11. For Ordered Successive Interference Cancellation, 6. Determine BER and SNR for different detection
Perform schemes.
min (sum(abs (G 1 )) for MMSE+IC with k 1 7. Plot SNR Vs BER.
min (sum (abs(G)) for ZF+OSIC with k 0 8. Stop.
12. For QR decomposition, Perform [Q,R]= qr (H).
Calculate output vector y= Q' * r . 4.5. Output Mapping Function
Choose Best signal
G 2 = y (Tx_n) / R (Tx_n,Tx_n) - out_mapping
1. Start.
13. Perform = min (abs (G 2 )) for zero columns
2. Output_mapping= mapping (index)
14. For ML declare
3. For BPSK, output_mapping= [-1 1].
Count = 0:1:2 ^ Tx_n – 1.
4. For QPSK, output_mapping= (1/sqrt (2))*[-1+i -1-i 1+i
Count 1 =zeros (Tx_n, 2 ^ Tx_n).
1-i];
Define a 4 = zeros (Rx_n, 2 ^Tx_n).
5. Stop.
15. Determine the size of the ZF determinanant
det_zf = G * r . (Determine with Tx_n) and do
reshaping. 5. Results and Discussions
16.Determine the size of the MMSE determinanant
det_MMSE=G1*r. and do reshaping. Computer simulations using MATLAB have been
17.For ZF+OSIC, perform conducted to evaluate the performance of proposed
H (k 1 (m)) = zeros (Rx_n,1). algorithm. In simulations, all elements of channel matrix
G = pinv (H). H are assumed to be i.e. zero mean complex Gaussian
random variables with unit variance. The SNR is defined
18.For MMSE+OSIC
as the ratio of the expected received power at each antenna
y1=G1 (p1(n)) * r. to the noise power. The channel estimation errors are
r = r - b1*h( p1(n)). randomly generated from a Gaussian distribution. Figure.
G1=inv (h' * h+sigma. ^2 * eye (Tx_n)) * h'. 2 illustrates bit error rates (BERs) for various SNRs with
19. Determine BER and SNR for different detection Tx = 2, Rx = 2 using BPSK modulation. This figure shows
the proposed algorithms have better performance but
schemes.
MMSE with OSIC V-BLAST detection algorithm gives
20. Plot SNR Vs BER. far better results when channel estimation errors exist than
21. Stop. ML. In Figure.2, we can see the performance
improvement more clearly in high SNR. This is because
4.4. QPSK modulation with MIMO function. the effect of channel estimation error becomes more
dominant as the SNR increases. Figure.3 shows BER
1. Start. performance with Tx = 4, Rx = 4. In Figure. 3, the
2. Repeat first 8 steps mentioned in 3.3. performance improvement is more apparent. This is
because mutual interfering signals due to channel
3. Determine zeros in every frame length and index values
estimation errors are originally generated from the
for ML, QR, ZF, MMSE with OSIC and declare as (dec transmitted signal. As more symbols are transmitted
(0) to simultaneously, there are more interfering signals.
dec (5)). Therefore, if we do not consider the influence of channel
4. Repeat step No 10 to Step No 18 mentioned in 3.3. estimation errors, the performance degradation becomes
more significant in the system with more spatial streams.
5. Determine Number of errors by following functions
As the SNR increases, the interference caused by channel
dec (i) = reshape (dec (i) ,1,frame_length /index ). estimation errors becomes dominant and the interference-
dec (i) = demodulation (dec (i) ,index). plus noise level becomes nearly constant. The
NumErr0 = sum (dec (i) ~=a). conventional MMSE with OSIC algorithm only considers
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
78
ISSN (Online): 1694-0814
www.IJCSI.org

the noise power and ignores the interference when 0.35


Tx = 2,Rx = 2,BPSK modulation

generating the nulling weight. ZF+IS


ZF
Tx = 2,Rx = 2,BPSK modulation 0.3
0 MMSE
10 MMSE+IS
ML QR
0.25
ZF+IS
ZF

error probability
MMSE 0.2

MMSE+IS
-1
10 QR 0.15
error probability

0.1

0.05
-2
10
0
0 2 4 6 8 10 12 14 16 18 20
Eb/No in dB

Figure 4. BER Performance of V-BLAST (Without ML)


-3
10
0 2 4 6 8 10 12 14 16 18 20
Eb/No in dB
Tx = 4,Rx = 4,BPSK modulation
0.2
Figure 2. BER Performance of V-BLAST with Tx=Rx=2. ZF+IS
0.18 ZF
MMSE
0.16
MMSE+IS
For our best analysis purpose, we deactivate ML related QR
0.14
functions of the ruuning mat lab program and only considering
estimation error performance of other detection algorithms. In error probability 0.12

Figure 4 and Figure 5, we can clearly demonstrate the 0.1


Interference suppression from ZF and MMSE in more clear way.
0.08
From these figures the QR decomposition gives optimal results.
0.06

0
Tx = 4,Rx = 4,BPSK modulation
10 0.04
ML
0.02
ZF+IS
ZF
0
-1 MMSE 0 2 4 6 8 10 12 14 16 18 20
10
MMSE+IS Eb/No in dB
QR
error probability

Figure 5. BER Performance of V-BLAST (Without ML)


-2
10

Tx = 2,Rx = 2,QPSK modulation


0
10
-3 ML
10
ZF+IS
ZF
MMSE
MMSE+IS
-4 -1
10 10 QR
0 2 4 6 8 10 12 14 16 18 20
error probability

Eb/No in dB

Figure 3. BER Performance of V-BLAST with Tx=Rx=4.


-2
10

In a similar manner, we carry out the BER performance


results for QPSK modulation. Figure. 6 illustrates bit error
rates (BERs) for various SNRs with Tx = 2, Rx = 2. This
-3
figure shows the proposed algorithms have better 10
0 2 4 6 8 10 12 14 16 18 20
performance but MMSE with OSIC V-BLAST detection Eb/No in dB

algorithm gives far better results when channel estimation


Figure 6. BER Performance with Tx=Rx=2 for QPSK.
errors exist than ML.
Figure.7 shows BER performance with Tx = 4, Rx = 4. In
Figure. 7, the performance improvement with different V-
BLAST detection algorithms is clearly depicted when
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
79
ISSN (Online): 1694-0814
www.IJCSI.org

More antennas are added. Figure.7 gives good Tx = 4,Rx = 4,QPSK modulation
0.16
improvement results. Comparing to BPSK, QPSK gives ZF+IS
still better results. Again for more clarity, we skip ML part 0.14 ZF
MMSE
and display the comparison of other detection algorithms 0.12
MMSE+IS
QR
through Figure 8 and Figure 9.
0.1

error probability
0
Tx = 4,Rx = 4,QPSK modulation
10
ML 0.08
ZF+IS
ZF 0.06
-1 MMSE
10
MMSE+IS
QR 0.04
error probability

0.02
-2
10

0
0 2 4 6 8 10 12 14 16 18 20
Eb/No in dB
-3
10

Figure 9. BER Performance with Tx=Rx=4 for QPSK.

-4
10
0 2 4 6 8 10 12 14 16 18 20
Acknowledgments
Eb/No in dB

Authors want to express their sincere thanks to their family


Figure 7. BER Performance with Tx=Rx=4 for QPSK.
members, R V Centre for Cognitive Technology Bangalore,
Tx = 2,Rx = 2,QPSK modulation Department of Applied Electronics, Bangalore University,
0.14
ZF+IS
Bangalore and SDMCET Dharwad.
ZF
0.12
MMSE
MMSE+IS
0.1 QR References
[1] V-BLAST architecture from Bell Labs, wikipedia.
error probability

0.08
[2] P. W. Wolniansky, G. J. Foschini, G. D. Golden, R. A.
0.06
Valenzuela. V-BLAST: An architecture for realizing very
high data rates over the rich scattering. Bell Laboratories,
0.04 Lucent Technologies, Holmdel, NJ 07733.
[3] Kyungchun Lee and Joohwan Chun. Symbol Detection in V-
0.02
BLAST Architectures under Channel Estimation Errors.
IEEE Transactions on Wireless Communications, Vol. 6, No.
0
0 2 4 6 8 10 12 14 16 18 20 2, February 2007.
Eb/No in dB
[4] Shreedhar. A. Joshi, Dr. Rukmini T S, Dr.Mahesh H M.
Figure 8. BER Performance with Tx=Rx=2 for QPSK. Performance analysis of MIMO Technology using V-BLAST
Technique for different linear Detectors in a slow fading
channel. IEEE International Conference on Computational
6. Conclusion conference on Computational Intelligence and Computing
Research (ICCIC’2010).978-1-4224-5966-7/10. p 453-456.
Based on bit error rate, we show the performance of these [5] G.J. Foschini et al, Analysis and Performance of Some Basic
receiver schemes indicates that the ordered OSIC detector Space-Time Architectures, IEEE Journal Selected Areas
based receiver with ZF or MMSE combined with symbol Comm. 21, N. 3, pp. 281-320, April 2003.
cancellation and optimal ordering to improve the [6] G.J Foschini, ‘Layered space-time architecture for wireless
performance with lower complexity and compare the communication in a fading environment when using
computational complexity of these schemes. The different multiple antennas’, Bell Lab. Tech. J., vol. 1, N. 2, pp. 41-59,
modulation schemes definitely help in analyzing these 1996.
detection algorithms. The Maximum-Likelihood (ML) [7] G.J Foschini et al, Simplified Processing for High Spectral
Efficiency Wireless Communication Employing Multi-
detection most effectively balances the accuracy of symbol elment Arrays, IEEE Journal on Selected Areas in
detection with any SNR values. Communications, v.17, N. 11, pp. 1841-1852, Nov. 1999.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
80
ISSN (Online): 1694-0814
www.IJCSI.org

Half Wavelength Double-ridged Half Height Rectangular


Waveguide Resonator
Divya Unnikrishnan1 and Girish Kumar2

Junior Research Fellow, Department of Electrical Engineering


Indian Institute of Technology Bombay, Powai, India

Professor, Department of Electrical Engineering


Indian Institute of Technology, Bombay, Powai, India

Abstract bandwidth improvement over the conventional rectangular


This paper presents a novel concept of half wavelength double- waveguide. Frequency can be controlled by varying the
ridged half height rectangular waveguide resonator. The basic dimension of ridge. This approach is also found to be
design consists of a double-ridged rectangular waveguide placed effective for varying the Q factor. The observed variations
between two rectangular waveguide WR2300. The resonance described in the next sections can be applied to microwave
frequency, bandwidth, Q factor etc. can be controlled by varying
the ridge parameters such as ridge length, width, height, ridge
devices for obtaining desired frequency and bandwidth.
gap width, ridge gap height etc. by keeping the other parameters
constant. Modeling and analysis have been carried out using
High Frequency Structure Simulator (HFSS). Simulation results
are obtained which are useful for microwave components and
systems.
WR2300 WR2300
waveguide Double ridge waveguide
Keywords: Rectangular waveguide, WR2300, half wavelength, waveguide
double-ridge, resonator.

1. Introduction l~ λg/2

The rectangular ridge waveguide has one or more Figure 1. Block Diagram of half wavelength double-ridged rectangular
waveguide resonator
longitudinal ridges that increase the transmission
bandwidth by reducing the cut off frequency. In ridge
waveguide design, the dimensions of the ridges can be
varied to minimize the dominant cut off frequency while
2. Design Methodology
increasing the bandwidth. Compared to conventional
As stated earlier, half wavelength double-ridged half
rectangular waveguide, ridge waveguide possess several
height rectangular waveguide resonator consists of two
unique characteristics such as wide bandwidth and
half height rectangular waveguide WR2300 and one
controlled resonance frequency. Because of these
capacitive double ridged rectangular waveguide. Air is
characteristic, ridge waveguides have many applications in
used as dielectric in the resonator and copper is used as
microwave and antenna systems [1-3]. It is also useful as
conductive material. The ridge waveguide has ridges at the
transmission waveguide, where a wide frequency range
centre position of the waveguide. The simulated structure
must be covered.
of half wavelength double-ridged rectangular waveguide
This paper presents a half wavelength double-ridged half
resonator is shown in Figure 2.
height rectangular waveguide resonator. A double-ridged
rectangular waveguide is inserted between two equal
The whole structure has a copper coating of 10mm. The
sections of rectangular waveguide WR2300. The
half height rectangular waveguide WR2300 has a
discontinuity created by the two ridges is considered as a
dimension of a=23”=584.2mm and b=5.75”=146.05mm.
capacitance [4]. The double ridge resonator supports the
The other dimensions are shown in Figure 2. The gap
fundamental TE10 mode. This design offers considerable
between the two ridges is the parameter that mostly affects
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
81
ISSN (Online): 1694-0814
www.IJCSI.org

the bandwidth of the resonator. As the double-ridge 3. Results and Discussions


behaves as a capacitor, by decreasing the gap between the
two ridges, the capacitance between the ridges increases. The electromagnetic simulation of half wavelength
As a result, the resonance frequency of the resonator double-ridged half height rectangular waveguide resonator
decreases. The distance between the two half height has been carried out using HFSS [5]. Figure 3(a) shows its
rectangular waveguide WR2300 is at least λ g /2 in order to transmission and reflection characteristics in dB over the
avoid higher order mode coupling. It is also found that the frequency range of 300 to 400 MHz. A half height
ridge length has considerable effect in the resonance WR2300 waveguide housing is used for the input and
frequency. output waveguide. The bandwidth of S12 obtained at -3dB
is of 23 MHz at 355MHz frequency. The bandwidth and
the frequency can be controlled by varying the ridge
waveguide dimensions.

Figure 3 shows the transmission and reflection


characteristics and the input impedance plot for variation
of length (l) of double-ridge waveguide. From the figure
we can see that when the length is changed from 550mm
to 670mm, the bandwidth has decreased from 27MHz to
19MHz and the frequency has decreased from 371MHZ to
331MHz.
(a)
Figure 4 shows the transmission and reflection
characteristics and the input impedance plot for variation
of width (w) of double-ridge waveguide. From the figure
we can see that when the width is varied from 315mm to
375mm, the bandwidth has increased from 17MHz to
25MHz and the frequency has decreased to 384MHz to
331MHz.By varying the height of the ridge (h), the
frequency and bandwidth variations are as follows: By
increasing the ridge height from 59mm to 69mm,
bandwidth has decreased from 23MHz to 22MHz and
frequency has decreased from 368MHz to 344 MHz. The
(b) variations are shown in Figure 5. From Figure 6, we can
see that when the ridge gap width (G w ) is increased from
64.4mm to 74.4mm, the frequency variation is decreased
from361MHz to 349MHz and the bandwidth is decreased
from of 22MHz to 19MHz. By increasing the ridge gap
height (G h ) from 9mm to 14mm, bandwidth has decreased
from 29.69MHz to 10.95MHz and frequency has
decreased from 382 MHz to 316MHz. The variations are
shown in Figure7.

Thus required resonance frequency and bandwidth are


obtained by varying the corresponding dimension of the
ridge waveguide. If the design is concentrating more on
(c)
frequency than in bandwidth, then ridge width (G h ) should
be varied. Frequency variation is also depends on ridge
Figure2: Simulated structure of half wavelength double-ridged half height gap height variation and ridge length variation. Because,
rectangular waveguide resonator for 120mm variation, 40 MHz frequency has varied. By
(a) Side view, (b) front view and (c) 3-D view increasing and decreasing the ridge gap height, we can
(W=584.2mm,w=345mm,H=146.05mm,h=64mm,L=50mm,
also obtain wide bandwidth and narrow band width
l=608mm,G h =11.5mm,G w =69.4mm)
respectively. Variation on ridge height (b) is done when
there is less variation in bandwidth, frequency and return
loss is required. The summarized result on the half
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
82
ISSN (Online): 1694-0814
www.IJCSI.org

wavelength double-ridged half height rectangular


0
waveguide resonator is shown in Table 1.
-10
0

S11 &S12(dB)
-10 -20
S11&S12(dB)

-20 -30

-30 -40

-40
-50
300 320 340 360 380 400

-50 Frequency(MHz)
300 320 340 360 380 400

Frequency(MHz)
(a)
(a)

(b)
(b) Figure 5:Effect of varying ridge height (h) on (a) reflection and
transmission coefficient and (b) input impedance
Figure 3:Effect of varying ridge length (l) on (a) reflection and
transmission coefficient and (b) input impedance
0

-10

-10
S11 &S12(in dB)

-20
S11&S12(dB)

-20
-30

-30
-40

-40
-50
300 320 340 360 380 400

-50 Frequency(MHz)
300 320 340 360 380 400

Frequency(MHz)

(a) (a)

(b) (b)
Figure 4:Effect of varying ridge width (w) on (a) reflection and Figure 6:Effect of varying ridge gap width (G w ) on (a) reflection and
transmission coefficient and (b) input impedance transmission coefficient and (b) input impedance
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
83
ISSN (Online): 1694-0814
www.IJCSI.org

-10
S11&S12(dB)

-20

-30

-40

w
-50
300 320 340 360 380 400

Freqquency(MHz)
(b)
(a)
Figure 8: Simulated structure for high Q half wavelength double-ridged
half height rectangular waveguide resonator
(a) 3-D view, and (b) front view
(W=584.2mm, w=245mm, H=146.05mm, h=68mm, G h =6.1mm,
G w =69.4mm)

-10

S11&S12(MHz)
-20

-30

-40

(b)
Figure 7:Effect of varying ridge gap height (G h ) on (a) reflection and -50
300 320 340 360 380 400
transmission coefficient and (b) input impedance Frequency(MHz)

(a)
By using the above observed results, we did a simulation
for obtaining high quality factor (Q) with narrow
bandwidth. The simulated structure is shown in Figure 8.
From the simulated structure it is clear that improved Q
factor is obtained by decreasing the ridge width and
increasing the ridge gap height. By increasing the ridge
width and by decreasing the ridge gap height, the
bandwidth is decreased drastically to 8 MHz. At the same
time the resonant frequency remained same nearly at
355MHz. Q factor of 44.375 has obtained through the
above simulation. The transmission and reflection
characteristics and the input impedance plot for high Q
half wavelength double-ridged half height rectangular (b)
waveguide resonator are shown in Figure 9. Thus, these Figure 9: (a)Simulated structure of half wavelength double-ridged half
height rectangular waveguide resonator with high Q and its (b)
recommended dimensions are used where high Q is the Transmission and reflection co-efficients.
primary requirement.
Table 1. Effect of variation in ridge waveguide dimensions on resonance
frequency and bandwidth.

Variation in ridge Variation in Variation in


Parameter dimension frequency bandwidth
(mm) (MHz) (MHz)
l 550-670 371-331 27-19
w 315-375 384-331 17-25
h 59-69 368-344 23-22
Gw 64.4-74.4 361-349 22-19
Gh 9-14 382-316 29.69-10.95
(a)
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
84
ISSN (Online): 1694-0814
www.IJCSI.org

4. Conclusion
References
In this paper, a half wavelength double-ridged half height [1] J. Helszain, Ridge waveguides and passive components, The
rectangular waveguide resonator is presented. It is observed institution of engineering and technology, London, United
that by varying the ridge waveguide dimensions, the Kingdom 2000.
bandwidth, frequency, impedance matching and Q-factor [2] Seymour B Cohn, “Properties of ridge waveguide.” Proc. of
can be controlled. Frequency has varied from 316MHz to IEEE, pp.783-788, Sep. 2006.
384 MHz, and bandwidth has varied from 11MHz to [3] S.Hopfer. “Thc design of ridged waveguide,” IRE Trans.
32MHz. Also the return loss has varied from 28dB to 48 dB. microwave Theory Tech., vol. MTT-3, pp. 20-29, Oct. 1955.
Thus, this proposed mechanism can be used for the design [4] David M. Pozar, “Microwave Engineering”, John Wiley &
of various microwave devices depending upon the sons, Inc.,1997.
requirement. [5] HFSS by Ansys (formerly Ansoft).
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
85
ISSN (Online): 1694-0814
www.IJCSI.org

Monitoring of Risky Parameters Using ZigBee Based


Wireless Sensor Network
Shanmugaraj. M1 and R.Prabakaran2
1
Pervasive Computing Technologies
Anna University of Technology Tiruchirappalli,
Tamilnadu, India

2
Center for Convergence of Technologies (CCT)
Anna University of Technology Tiruchirappalli,
Tamilnadu, India

Abstract
In this paper we have designed and developed ZigBee network in
real time using ZigBee nodes to monitor risky parameters such as
temperature, pressure, toxic gases and other chemical substances.
Discussed about Wireless Sensor Network (WSN), ZigBee,
ZigBee Network, Protocol stack and its various Applications.
Derived various results from the network created. Various
characteristics and network parameters such as data rate, through
put, packet utilization, packet delay, and packet loss are derived.
Keywords: Wireless Sensor Network, ZigBee, ZigBee Dongle,
Wireless Protocol Analyser, Network Parameters.
Figure 1. Components of Sensor Node

1. Introduction
Battery represents the heart of the sensor unit as it decides
Wireless Sensor Networks have emerged as a growing the lifetime of the system. The requirement is that the size
research area where most research scholars and of the battery should be as small as possible at the same
industrialists focus on. Many people believe Wireless time being very energy efficient. Batteries with energy
Sensor Network would become more important than saving capabilities are being designed to increase the
internet in future. WSN consist of small nodes with lifetime of the sensor system. Processor used in the sensor
sensing, computation, and wireless communications node performs several important functions such as
capabilities. WSN can also be defined as a network of memory management, maintaining the resources of the
devices, which can sense the environment and system, handling the interrupts, turning off communication
communicate the information gathered from the monitored equipment when not in use to save power.
field through wireless communications. A typical sensor
node consists of the following components: Sensing 2. ZigBee Technology
Element, Battery, Processor, Memory, and ZigBee is the global Wireless Technology connecting
Communication Equipment. Sensor is a device which dramatically various devices to work together and enhance
converts a physical phenomenon into an electrical signal. everyday life. ZigBee was introduced by IEEE and the
Sensors act as the interface between the physical world ZigBee Alliance provides the standards for various
and the world of electrical devices, such as computers. applications. ZigBee Alliance includes companies such as
Actuators represent the other part of the interface, which Texas Instruments, Free scale, Philips, Cisco, Digi,
convert electrical signals into physical phenomena. [1] Honeywell, Intel, Siemens, Sony, Ember, Emerson,
Sensing Material may be physical, chemical and biological. Reliant Energy, Itron, Kroger [2]. ZigBee is a low power,
Physical sensing material consists of Magnetic, Light, and low cost, low data rate wireless standard for WPAN
Sound and so on. featured with security, reliability, large network capacity,
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
86
ISSN (Online): 1694-0814
www.IJCSI.org

easily deployed, short delay, long transmission range. Mesh network provides more reliability over other
ZigBee protocol stack defines four layer namely PHY network.
layer, MAC layer, Network layer, Application layer.
ZigBee defines Application & Network layer whereas
PHY & MAC layer are defined by IEEE 802.15.4.

Based on data processing capabilities, two types of


devices are provided in IEEE 802.15.4: Full Function
Device (FFD) and Reduced Function Device (RFD) [6].
Full Function Device support complete protocol function
and can act as Coordinator, Router and End Device. RFD Figure 3: ZigBee Protocol Stack
designed for simple protocol function and can only be
used as end device in a network. The current ZigBee
standard requires FFDs to be always on, which in practice The physical layer of IEEE 802.15.4 standard handles all
means that FFDs must be constantly powered. Battery- tasks involving the access to the ZigBee hardware,
powered FFDs have a lifetime on the order of a few days. including initialization of the hardware. The second layer
There are three categories of nodes in a ZigBee system. is MAC layer which handles communication between
They are Coordinator which is responsible for initiating NWK and PHY layer. MAC layer scans the channel for
the network and selecting the network parameters, Router communication. Next layer is network layer, which forms
which act as intermediate nodes, relaying data from other the network involving joining and leaving of nodes,
devices. End Devices can be low-power or battery- maintaining routing tables and actual routing. The ZigBee
powered devices. ZigBee coordinator node is completely specification separates the APL layer into three different
responsible for the initialization, control and maintaining sub-layers: the Application Support Sub layer, the ZigBee
the network. Network may be extended by using ZigBee Device Objects, and Manufacturer Defined Application
routers. They have sufficient functionality to communicate Objects.
to their parents (either the coordinator or a router) and
cannot relay data from other devices. This reduced ZigBee is rather new and serves mostly for applications
functionality allows the device to reduce their cost. Star, where automation and low power is vital. Such
Mesh & Tree are the three topologies which support the applications usually use sensors to monitor the
ZigBee network. Every node in the network can transmit environment, and simple circuitry to control devices that
and receive data in its wireless range [3]. should be handled automatically or remotely. A common
implementation is in a smart house, for measuring
temperature or humidity, and for handling switches
attached to several places responsible for controlling
windows, doors, lights etc. Most of the applications are
developed by using low-rate, short- distance, and low-cost
wireless technologies. ZigBee network can have up to
653356 devices, the distance between ZigBee devices can
be up to 50 meters, and each node can relay data to other
nodes. This leads capability of making a very big network
which covering significant distances. Throughput of
ZigBee is low rate of data transfer is about 250kbps. So
Figure 2: ZigBee Node by Digi this ZigBee system is useful for applications needs low
data rate. ZigBee are widely used in low rate wireless
system, they found applications in variety of field such as:
In IEEE 802.15.4, both star and peer-to-peer topologies
are supported. In a star topology, the communication is
established between end devices and a single central • Smart Energy,
controller (Coordinator). In a peer-to-peer topology, a • Home Automation,
device could communicate with any other devices within • Health Care,
its transmission range. Multi hop routing is allowed in the • Remote Control and Monitoring,
peer-to-peer topology, and routing paths could be • Telecommunication Services,
dynamically updated. This topology provides more • Retail services,
complex network formations, such as mesh networking. • Building Automation,
• Environmental Monitoring,
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
87
ISSN (Online): 1694-0814
www.IJCSI.org

• Industrial Sensing and Diagnostics, 60m of distance we could able to send data without any
• Agriculture, loss of packet. The experiments were carried out in our lab
• Military Applications. without any noise interference.

3. Experimental Setup
Set up the Network
3.1 Proposed Model

We have proposed a simple model, where three ZigBee


nodes have been used with different function performing Get data from Sensor
namely ZigBee End device, ZigBee Router and ZigBee
Coordinator. Various physical phenomenons such as
temperature, pressure, noise, light, humidity, gas, oil,
water level, Chemical substances can be monitoring in an N
industrial environment using this model. There have been If data
many wired system proposed in literatures both sensed
theoretically and practically. We have developed these
using ZigBee Technology. The sensing unit consists of
sensor and power supply which may be either external Y
supply or battery operated. The sensing unit consists of
Process the data
sensor and power supply which may be either external
supply or battery operated. The sensed data from the
sensor is fed to the processing unit where the controller
processes the data which is then passed to the node for
transmission over wireless network. The ZigBee node Data sent to Zigbee Node
receives the data and scan for available node to transmit
the data to the coordinator node. The coordinator receives
the data, process it and transmit over wired media to
monitoring unit.
N
Scan
for
Node

Zigbee End Device Zigbee Router Zigbee Coordinator N


Y Scan for
given

Y
Processing Unit Send the data to node
Processing Unit

Sensing Unit Monitoring Unit N


If Data
Normal Alarm
Figure 4. Block Diagram

Y
3.2 Hardware Design
System in Condition
Before deploying the node on to the network. The
hardware component is tested using X-CTU software
provided by Digi. Range test has been performed for
various distances in our campus. Based on the Received Figure 4a. Flow Chart
Signal Strength Indicator (RSSI) we found that within
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
88
ISSN (Online): 1694-0814
www.IJCSI.org

To analyse the network characteristics ZigBee Dongle is a


simple, plug and play device connected to computer via
USB port or USB extension cable. The IEEE 802.15.4
USB Dongle from Integration provides a complete
802.15.4 interface that can be quickly and easily
connected to a host computer. The dongle also provides
fully complete wireless ZigBee connectivity (home control
stack profile) for routers, coordinators, and end devices by
means of supplied ZigBee compliant platform drivers.
USB dongle is a dedicated hardware that monitors the
radio channels and performs a complete packet breakdown
to a use interface running on a PC. The dongle provides a
simple method of integrating 802.15.4 or ZigBee into
computers, gateways or bridge devices [4]
Figure 6. ZigBee Dongle

3.3 Software Design

Wireless Protocol Analyser (WPA) is s graphical


protocol analyser which scans a channel over the network
and displays the various statistics, packet information and
etc. WPA provides real time 802.15.4 packet sniffer with
sub microsecond timestamps, essential tool for application
debug.
Figure 5. Block Diagram of Dongle

Figure 7. Wireless Protocol Analyser 3.0


IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
89
ISSN (Online): 1694-0814
www.IJCSI.org

X-CTU is windows-based application provided by Digi.


This program was designed to interact with the firmware
files on Digi’s RF products and to provide a simple-to-use
graphical user interface to them [5]. The ZigBee node can
be configured based on the function set required for the
network.

Table 1. ZigBee Coordinator Specification

Content Function Set


PAN ID 234
Node Identifier Coordinator
16-Bit Network Address 0
Max No of Tx Bytes 54
Operating 16Bit PAN ID EB69
Hardware Version 1945
Firmware Version 2070
Baud Rate 9600

Figure 8. X-CTU Window


Table 2. ZigBee End device Specification

Content Function Set


4. Experimental Results
PAN ID 234
Node Identifier End Device We have conducted various experiments to evaluate the
16-Bit Network Address DF73 performance of ZigBee network. For experiment purpose
we have developed microcontroller program that generates
Max No of Tx Bytes 54 physical data based on 8051. It is fed to the End device
Operating 16Bit PAN ID EB69 node. The data is transferred to the coordinator via router.
Hardware Version 1945 From the analysis it is found that maximum throughput is
achieved across the network layer.
Firmware Version 2870
Baud Rate 9600

Table 3. ZigBee Router Specification

Content Function Set


PAN ID 234
Node Identifier Router
16-Bit Network Address FFFE
Max No of Tx Bytes 54
Operating 16Bit PAN ID FFFF
Hardware Version 1945 Figure 9. Data Packets across Network
Firmware Version 2270
Network delay is one of the important performance
Baud Rate 9600 characteristics that affect the network. There are various
delays occur in a network namely, processing delay,
queuing delay, transmission delay, propagation delay. In
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
90
ISSN (Online): 1694-0814
www.IJCSI.org

our experiment we calculated the propagation delay. The


time between the data packet and the acknowledgement
packet is considered as delay. The delay is calculated from
the timestamps. WPA provides delay in microsecond
points. This gives more accuracy to the result which we
obtain. [7] Show where ZigBee can be implemented and
where should not.
Figure 13. Packets in Network Statistics

From X-CTU, we have obtained received signal strength


(dBm) of Zigbee nodes by range test. Power in watts can
be found from this by using the formula
(1)
Where x - range in dBm, P - Power in watts. Fig.16, 17
represents the signal strength range of each ZigBee node
in various distances. This shows signal strength decreases
while distance increases.

Figure 10. Data Packets across MAC

Figure 14. Low Power RSSI

Figure 11. Analysis of Packet Delay

The following analysis shows the packet utilization in


MAC layer. It is observed that more number of packets are
utilized by acknowledgement and command. The data
packets are found to be less in MAC Statistics.
Figure 15. High Power RSSI

5. Conclusion
In this paper we have given overview about ZigBee
network, designed and developed a ZigBee network in real
time with ZigBee nodes. Various characteristics and
network parameters such as data rate, through put, and
packet delay, Received Signal Strength Indication, packet
utilization are derived. It is observed that ZigBee would
be a better one to be implemented in industry to monitor
Figure 12. Packets in MAC Statistics
various risky parameters such as temperature, pressure,
heat, humidity, noise level and other control application.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
91
ISSN (Online): 1694-0814
www.IJCSI.org

References
[1] http://www.stanford.edu/class/me220/data/lectures/lect02
[2] ZigBee, www.zigbee.org
[3] Shao Yong, Zhang yi, Wang Jian and Qin Tinggao,
“Research and Implementation of ZigBee Networking”,
Proceedings of the 2009 IEEE International Conference on
Mechatronics and Automation, August 9-12, Changchun,
China, Page no.3992-3996
[4] Wireless Protocol Analyser, www.integration.com
[5] X-CTU, http://www.digi.com/support
[6] Stanislav Safaric, Kresimir Malaric, “Internationa
Symposium ELMAR-2006. 07-09 June 2006, Zadar,
Figure 16. Experimental Arrangement Croatia”, Page no 259-262
[7] E.Dalila Pinedo-Frausto, J.Antonio Garcia-Macias, “An
Experimental Analysis of ZigBee Networks”, ISBN: 978-1-
Acknowledgments 422—2413-9/08 2008, Page no:723-729
[8] Li Pengfei, Li Jiakun, jing Junfeng, “Wireless temperature
We would like to thank the Centre for Convergence of monitoring system based on the ZigBee Technology”,
Technologies (CCT), Anna University of Technology - ISBN: 978-1-422—6349-7/10, Page no:V1 160-163
Tiruchirappalli for providing needed technical support which has
been instrumental for conducting the study.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
92
ISSN (Online): 1694-0814
www.IJCSI.org

Peak to Average Power Ratio (PAPR) Reduction


Techniques for OFDM-MIMO System

Dr.P.Malathi1 and Dr.P.T.Vanathi2

Professor, ECE Department,


Sri Ramakrishna Institute of Technology,
Coimbatore-641 010.

Professor, ECE Department


PSG College of Technology
Coimbatore – 641 004.

Abstract computational difficulty and additional process at


To get high data rate transmission, High Throughput techniques transmitter as well as receiver. PAPR reduction techniques
were analyzed for OFDM-MIMO System. OFDM-MIMO literature survey shows that Partial Transmit Sequence,
systems based on OFDM symbols suffer from the problem of Selected Mapping, and Interleaving techniques are having
inherent Peak to Average Power Ratio (PAPR). The peak power high BER compared with other techniques. To retain a
of a multi carrier OFDM signal is a critical design factor for band
limited communication systems, and it is necessary to reduce it
high Throughput concept the resultant system BER value
as much as possible. A modified form of Interleaving, Selected should be as low as possible.
Mapping, Partial Transmit Sequences and Tone Reservation In 1996, Bauml et al [1] and in 1997, Mullar and Huber
PAPR reduction techniques are also proposed. The proposed made clear two different topics such as Selective Mapping
techniques for PAPR reduction grant an improvement over the
existing technique.
and Partial Transmit Sequence respectively[2]. These
Keywords: OFDM-MIMO System, Interleaving PAPR reduction techniques transmit the lowest peak power signal among
technique, Selected Mapping PAPR reduction technique, Partial several candidates and it requires redundant bits to decode
Transmit Sequences PAPR reduction technique and Tone the information bits in the receiver. Muller and Hubber
Reservation PAPR reduction technique. recommended an effective and flexible peal power
reduction scheme for OFDM system by Partial Transmit
Sequences in 1997[3]. The main idea behind the scheme is
1. Introduction that the data block is partitioned into non overlapping
subblocks and each subblock is rotated with a statistically
independent rotation factor. The rotation factor which
The PAPR is defined as the ratio of the peak power of the generates the time domain data with the lowest peak
signal to its average power, which is a measure of the amplitude is also transmitted to the receiver as ‘side
amplitude fluctuations of the signal. Any multicarrier information’ .
subcarriers may have a high PAPR due to addition of
subcarriers. In High Throughput WLAN environment, the Jayalath and Tellambura (2000) project the same in
OFDM signal exhibits high PAPR in multiple antenna another way. The OFDM data blocks of N symbols is
configurations, which results in undesirable spectral partitioned into M subblocks [4]. Each of the subblocks is
emissions into adjacent channels and in BER degrading. In then multiplied by one of the P rotational factors, which is
literature, a lot of methods of PAPR reduction techniques generated randomly. The rotational factors are chosen
for OFDM-MIMO system are used. Some of the such that they have unit magnitude. If the PAPR of the
techniques are Amplitude Clipping, Clipping and resulting OFDM symbol is less than the threshold, the
Filtering, Coding, Tone reservation, Tone Injection, signal is transmitted. If not, another set of rotational
Active Constellation Extension, Interleaving, Selected factors is generated and the PAPR of the OFDM symbol is
Mapping and Partial Transmit Sequence. These techniques compared with the threshold. This process is repeated till
achieve PAPR reductions at the expense of increased the PAPR of the OFDM symbol becomes less than the
transit power, reduced data rate, boosted BER, threshold or the maximum number of iterations is reached.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
93
ISSN (Online): 1694-0814
www.IJCSI.org

log 2 (M) bits are transmitted as ‘side information’ , in technique has high transmit power and design complexity
order to decode the transmitted sequence at the receiver. due to injected signal. The injected signal may occupy the
These rotational factors are embedded along with the data same frequency band of information bearing signal and
sequence and accounts for the redundancy. Higher PAPR modify the symbols in the data block. The challenging
reduction can be achieved with the increase in the number techniques Interleaving, Selected Mapping and Partial
of subblocks M but this requires the use of M separate Transmit Sequence techniques have boosted BER with
IDFTs. reduced data rate.

Seung and Jae (2004), Lim et al (2005), Yang et al (2006), 2. Proposed Modified Interleaving Technique
and Trung and Lampe (2008) also used the Partial
Transmit Sequence technique to achieve the low PAPR A modified Interleaving technique proposed is illustrated
with low computational complexity[5]-[8]. A nonlinear in Figure 4.4. The interleaving patterns for the Interleavers
iterative PTS method is proposed to search the optimal are obtained by OGA (Orthogonal Genetic Algorithm)
combination of phase factors with low complexity (Gao et optimization algorithm. The generated Interleavers are
al, 2009)[9]. In this technique Metroplis criterion is mapped with original OFDM frame and generate the
adopted to avoid the search of optimum phase factor being permuted data block. The PAPR of permuted data block
trapped in local optimum phase factor, thus the PAPR are computed using IDFT operations. The data block with
performance can be further improved. Moreover, the the lowest PAPR is then chosen for the transmission.
search is repeated, and the effect of initial phase factor on Therefore the Interleaver designed at transmitter is
PAPR performance is eliminated significantly. Simulation simplified by the generation of interleaving pattern
results show that the proposed algorithm can yield good generation with the help of optimization technique.
PAPR reduction with low computational complexity.
The definition of the optimization problem is to find the
best interleaving pattern from the pair of vectors
containing numbers from 1 to N, where each number
Table 1 gives the comparison on Performance measure of
represents, the subcarrier index and the random vector
PAPR Reduction Technique.
corresponds with interleaving pattern. The algorithm
begins with a random set of solutions called population. In
Table 1. Performance Comparison each generation, new population is made from old ones.
New individuals are made by crossing parents. The
Issues probability that the individual becomes a parent depends
Increased
Reduced Boosted Distortion
Data rate
Transmit
BER less
on its fitness function.
Types
power
Clipping &
No No No No
Filtering
Coding Yes No No Yes
Tone
Yes Yes No Yes
Reservation
Tone
No Yes No Yes
Injection
Interleaving Yes No Yes Yes
Selected
Yes No Yes Yes
Mapping
Partial
Transmit Yes No Yes Yes
Sequences

Figure 1 Modified Interleaving Technique


For OFDM signal PAPR Reduction process, from the
table following points are observed. Clipping & Filtering The mutation is introduced to prevent falling in local
techniques have the high signal distortion due to the optimum. The population of 20 individuals and
clipping process compared to other techniques. Coding permutation encoding is used for implementing the
technique has high data loss due to redundancy. Tone Interleaving pattern. In permutation encoding, every
Reservation technique has high transmit power and high individual is presented by two strings of numbers 1 to N
data loss due to reserved subcarriers. Tone Injection that represents a position after interleaving. The fitness
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
94
ISSN (Online): 1694-0814
www.IJCSI.org

function is evaluated for each individual. Crossover is 4. Proposed Modified Partial Transmit
made by multi crossover point selection, the permutation Sequence Technique
is copied from the first parent till the first crossover point
and the rest is from the second parent. If the second The OFDM frame is divided into a number of P
crossover point is reached, the coping will continue again subblocks. After performing the IDFT of these parts, they
from the first parent. The same procedure is repeated till are superimposed but individual phase rotation factor of
the last crossover point. After that, the duplications of these partial transmit sequences is admitted. Using OGA
numbers in each of the two vectors must be replaced by optimization technique, searching of the phase rotation is
unused ones. The mutation is made by simple swap of two carried out and the phase value is restricted to a finite set
numbers from the same vector. The worst case of PAPR is of {0, π/2, π, 3π/2}. If the PAPR of the resulting OFDM
fixed as fitness function. In this procedure, K·M t IDFTs symbol is less than the threshold PAPR 0 , then the frame is
and M t ·[log 2 K] bits of ‘side information’ are required. transmitted. If not another set of phase rotation factor is
Best Interleaving pattern is individually applied to the M t generated and maximum numbers of iterations are
parallel OFDM frames to generate the best permutated repeated to get a final result. This procedure is repeated
frame which results in minimum PAPR for transmission. parallel to all branches. M t antennas transfer the modified
best OFDM frames from the transmitter. M t ·[log 2 P] ‘side
3. Proposed Modified Selected Mapping information’ are transferred to the receiver to recover the
Technique original information.

The proposed Modified Selected Mapping Technique is 5. Proposed Modified Tone Reservation
illustrated in Figure 4.9. Each OFDM frame is mapped to Technique
a number of U independent candidate sequences. From
this one of the lowest PAPR is selected. These Based on the three proposed PAPR reduction technique
independent candidate sequences can be generated by PAPR reduction study, the Proposed Modified Partial
multiplying carrier wise the initial OFDM frame X by U Transmit Sequence Techniques proved its superiority. But
phase vectors, P(u) is defined as [P 1 (u), P 2 (u), . . ., P N (u)], its maximum PAPR is 7.3dB. In the further improvement,
u = 1, 2, . . . , U. Let P(u) = exp(jÞ) and Þ is chosen from to get the best reduction, a simple tone reservation
{0, π/2, π, 3 π/2}. The independent candidates are technique is selected and the optimal selection
transformed to time domain by x(u) = IDFT{X Θ P(u)}, modifications are carried out. In the practical case for the
where Θ denotes element wise multiplication, and their high throughput transmission, tone reservation technique
corresponding PAPRs are calculated. leads the spectral wastage. Because, a set of subcarriers
are used only for the tone reservation purpose and not for
the symbol transmission usage. But to achieve the
excellent PAPR reduction and fine BER response
Modified tone reservation technique is proposed.

Proposed Modified Tone reservation technique reserves a


small number of subcarriers R, for the purpose of low
PAPR and low BER performance. The reserved subcarrier
locations are determined by OGA optimization algorithm.
The number of OFDM symbol carrying subcarriers (S)
equal to the difference between total number of subcarriers
Figure 2 Modified Selected Mapping Technique
(N) and Tone Reservation Subcarrier (R). PAPR reduction
is performed on each of the transmit antennas
The minimum PAPR value OFDM frame x(u) is selected
independently. No ‘side information’ is transmitted along
as best OFDM frame and it is transmitted. To recover the
with the transmitted sequence, as the receiver has
original frames at the receiver, the ‘side information’
knowledge of the location of the reserved subcarriers. At
frames are required to indicate the vector P(u) which have
the receiver, the symbols at the reserved subcarrier are
to be communicated to the receiver. In the MIMO
received and extracted the OFDM symbol. It can be easily
configuration, the selected mapping is applied to each of
done by the proposed MIMO detection algorithm.
the M t antennas. All M t OFDM frames are simultaneously
modified with the same phase vector P μ (u) = P(u) for all μ
= 1, 2, . . . , M t .
6. Simulation Results
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
95
ISSN (Online): 1694-0814
www.IJCSI.org

The performance of the proposed modified PAPR


Reduction techniques is evaluated by Complementary
Cumulative Distribution Function (CCDF) of PAPR with
respect to threshold PAPR 0 . The CCDF or Pr[PAPR >
PAPR 0 ] denotes the probability of the signals having a
PAPR greater than threshold PAPR 0 . The CCDF of PAPR
performance of the proposed technique is investigated by
64 subcarriers with 12 transmit antennas for 16 user
OFDM-MOMO system as shown in Figure 3.

Figure 4 The CCDF of the PAPR for Proposed Modified Selected


Mapping Technique

Figure 3 The CCDF of the PAPR for Proposed Modified Interleaving


Technique

The proposed technique has a greater PAPR Reduction


capability than that of the existing technique. From the
observation, the CCDF value of 10-3 is obtained at 10.5dB
for the conventional technique and at 10dB for the
proposed technique. That is an improvement of 0.5dB. Figure 5 The CCDF of the PAPR for
Proposed Modified Partial Transmit Sequence Technique
The CCDF of PAPR performance of the proposed
modified Selected Mapping technique using 64 subcarriers
with 12 transmit antennas for 16 user OFDM-MOMO
system is shown in Figure 4. Proposed technique is
compared with the conventional technique. From the plots,
proposed technique produces a sharp decay of PAPT
values. At 10-3 of CCDF of PAPR, the proposed modified
Selected Mapping creates 8.2dB of PAPR reduction
compared to 9.5dB of Conventional Selected Mapping
technique.

The CCDF of the PAPR for Proposed Modified Partial


Transmit Sequence Technique is shown in Figure 5.

Conventional Partial Transmit sequence PAPR reduction


produces the PAPR of 8.5dB at 10-3 of CCDF. But the
Figure 6 The CCDF of the PAPR for
proposed technique creates PAPR of 7.3dB at the same
Proposed Modified Tone Reservation Technique
value 10-3 of CCDF. It consists of an improvement of 1.2 From the observation of the plots, This Tone reservation
dB compared to the conventional method. technique achieves the PAPR reduction of about 6dB and
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
96
ISSN (Online): 1694-0814
www.IJCSI.org

8dB at a CCDF of 10-3 for the proposed and conventional The high throughput OFDM signal high PAPR problems
techniques respectively. After 5.5 dB of PAPR, CCDF are solved by the proposed methods of Modified
decreased very sharply and PAPR reduction improvement Interleaving technique, Modified Selected Mapping
is 2dB compared to the conventional technique. The technique, Modified Partial Transmit Sequences technique
performance of the tone reservation depends on the and Modified Tone Reservation technique. The analysis
number of reserved subcarriers. However, increasing the based on varying the number of subcarriers, transmit
number of reserved subcarriers results in a better PAPR antennas and users indicated that the proposed technique
reduction and there is no loss in the BER. There is no need has the high PAPR reduction capability compared with the
for the ‘side information’ transfer to decode the data at the conventional techniques. This grade is achieved at the cost
receiver. of slight decrease in the data rate and a negligible
degradation in the bit error performance of the system.
To make a fair comparison between the four PAPR With the help of proposed Modified Interleaving, Selected
reduction techniques PAPR at CCDF of 10-3 is listed in Mapping, Partial Transmit Sequences techniques BER
Table 2. degradations performance is improved. Based on PAPR
reduction performance comparison, Modified Tone
Table 2 CCDF of the PAPR at 10-3 Reservation provides the best result.

Conventional Proposed
References
Proposed Modified [1] R.W.Bauml, R.F.H. Fischer,J.B.Huber (1996), “Reducing the
Interleaving 10.4 dB 10 dB Peak –to-Average Power Ratio of multiuser modulation by
Technique selected Mapping,” Electronics Letters, pp 414-424.
Proposed Modified [2] M.Breiling, S.H.Muller-Weinfurtner, J.B Huber (2001),
Selected Mapping 9.5 dB 8.2 dB “ SLM Peak power reduction without explicit sie
Technique information,” IEEE Communication Letter, pp 239-241.
Proposed Modified [3] Jayalath D. and Tellambura C. (2000), ‘Adaptive PTS
Partial Transmit 8.5 dB 7.3 dB approach for reduction of peak-to-average power ratio of
Sequence Technique OFDM signal’, IEEE Electronics Letters, Vol. 36, No. 14, pp.
Proposed Modified 1226-1228.
Tone Reservation 8 dB 6 dB [4] Lim D.W., Heo S.J., No J.S. and Chung Habong (2005), ‘A
Technique new PTS OFDM scheme with low complexity for PAPR
reduction’, IEEE Transactions on Broadcasting, Vol. 52, No.
1, pp. 77-82.
From the above analysis, in the first proposal of PAPR [5] H.H.Seung, and H.L.Jae (2004),“PAPR reduction of OFDM
signals using a reduced complexity PTS technique,”IEEE
technique, Modified Interleaving technique achieved the
Signal Processing Letters, Vol.11, No.11, pp. 887-890.
PAPR of 10dB compared to the conventional technique.
[6] K.Yang and S.I.Chang (2003), "Peak-to-average power
The reduction improvement is 0.4dB only. In the next control in OFDM using standard arrays of linear block
proposal based on the Modified Selected Mapping codes”, IEEE Communication Letters, Vol. 7, No. 4, pp. 174-
technique 9.5dB of PAPR is reduced to 8.2dB and its 176.
reduction improvement is 1.3dB. Similar variation is [7] Trung T.N. and Lampe L. (2008), ‘On partial transmit
achieved in the third proposal of Modified Partial sequences for PAR reduction in OFDM systems’, IEEE
Transmit Sequence technique. It creates 7.3dB of PAPR Transactions on Wireless Communications, Vol. 7, No. 2, pp.
from 8.5dB of PAPR of conventional technique. Based on 746-755.
the number of subcarrier, transmit antennas and user [8] J.Gao, J.K.Xie (2009), “ On non-linear Iterative Partial
variation analysis comparison the preferable one is Partial Transmit Sequence for PAPR reduction in OFDM system,”
Transmit Sequence technique. The last proposal on the Proceedings of Electromagnetics Research Symposium,
modified tone reservation technique generates less PAPR Beijing, China, March 23-27, pp. 431-435.
of 6dB with the reduction improvement of 2dB. The [9] Petermann M., Wubben D. and Kameyer K.D. (2009), ‘Joint
Proposed Modified Tone Reservation technique gets better constellation extension and tone reservation for PAPR
PAPR reduction when compared to the other three reduction in Adaptive OFDM system’, IEEE International
proposed techniques. Workshop on Signal Processing Advances in Wireless
Communication (SPAWC), Perugia, Italy.

7. Conclusion
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
97
ISSN (Online): 1694-0814
www.IJCSI.org

Analytical Model for Compensating the Curling Effect in


MEMS Cantilever Beam
Vaishali B.M1, Uday V. Wali2 and Dr. Anil V. Nandi3
1
Department of Instrumentation Technology,
B.V.B.College of Engg. & Tech.,
Hubli-580031

2
Professor, E&C Engineering,
K.L.E.College of Engg.& Tech.,
Belgaum-590 014

3
Professor in E&C Engg,
BVB College of Engg. & Tech.,
Hubli-580031

Abstract Numerical methods such as Finite element method are


The work presents the development of an analytical model for a often used for the modeling and analysis of several
MEMS cantilever beam determining the shift in designed structures but they have the disadvantages of un-explicit
resonant frequency of curled cantilever beam on application of physical meaning and requiring heavy numerical
dc bias Voltage. Out of plane curling of the cantilever beam computation. On the contrary, analytical model can
occurs during fabrication due to the residual stress or stress
gradient in the beam material. The shift in resonant frequency of
provide much insight into the relationship among the
the straight cantilever beam due to the application of dc bias device design parameters. An analytical model can
voltage is used to advantage to correct its resonant frequency account for curling effects and also provide a better insight
distortion caused by finite planar fabrication tolerances. But the into the system behavior. Several works, e.g., [3] and [4]
shift that occurs in straight beam is different from the shift in have reported analytical modeling of resonant frequency
curled beam for the application of same dc bias voltage which for straight cantilever beam and fixed-fixed beam without
has to be account for. We have focused on Voltage tuning of the considering the curling effects. We have modeled straight
curled beam to get the resonant frequency back to its designed cantilever beam and curled cantilever beam structures with
value. Our analytical model is based on its lumped parameter electrostatic actuation and capacitive sensing. In the
behavioral model in mechanical domain. Various graphs have
proposed cantilever beam structure, dc bias V dc is applied
been obtained to study the parametric behavior of curled
cantilever beam. between the substrate electrode and the cantilever beam as
Keywords: MEMS, Resonant frequency, Curled beam . shown in the conceptual diagram in figure 1.

1. Introduction
Electro statically actuated cantilever beam is a base
component in low frequency MEMS filters. In addition
the cantilevers are used in chemical sensors, wherein
change in resonance frequency [1] is used for chemical
detection. Such devices are generally fabricated by surface
micromachining techniques that allow MEMS structures
to be integrated with electronic circuits. Polysilicon Figure 1. Uncurled Cantilever beam.
(Poly-Si) used in these structures develops stress gradients
during cooling. This causes the beam to curl as reported in Applied voltage V dc generates an electrostatic force F e
[2] which has to be accounted for in the model. uniformly distributed throughout the beam length before
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
98
ISSN (Online): 1694-0814
www.IJCSI.org

deflection. However forces get unevenly distributed after side, iterating until y(x) converges. With a little
the deflection. To account for this effect following modification equation (5) can be used to obtain deflection
methodology is adopted to obtain deflection as given in of curled beam as explained in the following section. Tip
[5]. Deflection of the beam tip towards the electrode due deflection y(L r ) for different bias voltages V dc for both
to concentrated load p(x) at x is given by [6] straight as well as curled beam is plotted in Simulation
Results section.
 x2 
y(L r ) =   (3L r − x)p(x) (1) For Cantilever beam natural resonant frequency [7] is
 6EI 
given by
E is Young’s modulus, x is any distance along the beam
1 km E h
from anchor, L r and w r are the length and width of the f0 = = 0.162 (6)
beam, I is the moment of inertia. Load applied on the 2π m eff ρ L2r
infinitesimal area w r ×dx is assumed as the point load p(x)
given by m eff is the effective mass of beam calculated as m eff
2
=m×(33/140). Spring constant K m is given by
ε 0 ε r wr dx  Vdc 
p(x) =   (2) 3EI
2  d(x)  km = (7)
L3r
d ( x) = d 0 − y ( x)
where E is Young’s Modulus and I is the moment of
where d 0 is the static electrode-to-beam air gap with V dc = Inertia of the beam.
0V, y(x) is the deflection of beam at x and ε r is the
effective dielectric constant for the electrostatic gap. 3. Frequency Shift in Curled Cantilever Beam
Since the forces are distributed along the length of the
beam, the deflection at the tip is found by superimposing
the deflections at the tip due to the application of the point
loads at every point on the beam from 0 to L r . This is
done by integrating (1) from 0 to L r yielding

L
ε 0 ε r w rVdc2 r
(3L r − x)
y(L r ) =
12 EI ∫0 d 2 (x)
x 2 dx (3)

Beam deflection at any point x along the beam can be


approximated by a square law dependence [5], giving
Figure 2. Curled Cantilever Beam

2
 x  As explained in the previous section the stress gradient in
y ( x) =   y ( Lr ) (4)
 Lr

 beam material, causes curling-up (4) of cantilever beam as
shown in figure 2. The gap d 0 is the gap between the
cantilever beam and the substrate electrode if the beam is
Substituting equations (2) and (3) in (4) we get the flat, δ T is the extra gap between the tip of the cantilever
equation for deflection of the beam in terms of its beam and level of anchor, ρ is the radius of curvature of
dimensions, material properties and applied voltage V dc. curled beam, d N is the thickness of silicon nitride which
2 L
forms the insulating layer between beam and electrode.
 x  ε 0 ε rVdc2 wr r
3 Lr − x DC voltage V dc applied between the beam and electrode
y ( x) =  
∫0 (d 0 − y( x)) 2 x
2
 dx (5)
 Lr  12 EI generates a non-uniform electrostatic force F e which is a
function of ‘x’ the distance from anchor, since the gap
between the electrode and the beam is a function of ‘x’.
Since the desired variable y(x) appears on both sides of
(6) it is best solved by first assuming y(x) = 0 (since Electrostatic force F e (x) at a distance ‘x’ along the curled
initially y(x) = 0 ) on the right hand side, solving for y(x) beam is given by replacing d o by d o +δ y (x) in equation (2)
on the left, then using this function again on right hand
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
99
ISSN (Online): 1694-0814
www.IJCSI.org

2 resonant frequency is plotted for different values of V dc


ε ε w dx  Vdc 
Fe (x) = 0 r r   (8) keeping other parameters constant as shown in figure 3.
2  d + δ ( x ) − y ( x ) 
 0 y 
Beam deflection at a distance ‘x’ along the curled beam is
given by replacing d o by d o +δ y (x) in equations (5) 4. Simulation Results
resulting in equation
Analytical models obtained in previous section for straight
2 Lr
 x  ε 0ε rVdc2 wr 3Lr − x cantilever and curled cantilever beam were used to obtain
y ( x) =  
∫ ((d
2
)
 x dx (9)
 Lr  12 EI 0 0 + δ y ( x)) − y ( x) 2 various graphs to study the parametric behavior of curled
cantilever beam in static mode. Tip deflection y(x=L r )
δ T is determined by [8] its radius of curvature ρ and the
versus applied voltage V dc for straight and curled beam
L r as in equations
given by equations (5) and (9) respectively for a beam of
L 
δ T = ρ − ρ cos r 
(10)
40µm length is plotted as shown in Figure 3. Comparison
 ρ  shows that the tip deflection of curled beam is lesser than
Application of dc voltage V dc as shown in figure 2 that of straight beam. This is because of the extra gap
generates electrostatic force on beam which causes spring created by curling of beam upwards, in turn exerting less
softening of beam thereby reducing its spring stiffness by electrostatic force on the beam.
amount K e known as electrostatic spring stiffness. This
contributes to the shift in resonant frequency f r [9]
expressed as
1 Km − Ke
fr = (11) (11)
2π m eff

In general K e is also expressed as

Fe
ke = (12)
y (12)

F e is the electrostatic force on beam generated by the


voltage (V dc ). Since the deflection ‘y’ in y direction
Figure 3. Applied dc voltage versus Tip deflection.
varies throughout the beam we consider incremental K e
and integrate from 0 to L r .
Figure 4 shows the resonant frequency variation for
dFe (x) ε 0 ε r w r dx
variation in beam lengths of both straight and curled
dk e (x) = = Vdc2 (13) cantilever beam obtained by equations (11) to (14) for 10
∂y (d 0 + δ y ( x) − y(x)) 3 (13)
volts dc bias voltage. It is observed from the graph that
the straight cantilever model is only accurate at short beam
where dx is an infinitesimally small width of the beam at length of curled beam, where curling of the beam is less
location x, w r is the beam width. significant. It significantly deviates from the actual
resonant frequency of the curled beam as the beam length
increases. This gives an idea to the designer and
Lr Lr
 dx 
ke = ∫0 dk e (x) = ε 0 ε r w r Vdc ∫0  (d 0 + δ y ( x) − y(x))3  (14) implementer to limit the length of(14)
the beam for the trade-
2

  off between resonant frequency and the length.

The shift in f 0 can be counteracted by applying appropriate


Equation (14) is a generic expression for K e which can
dc bias V dc. Figure 5 shows that shift in f 0 increases with
also be used for straight cantilever beam by letting δ y (x)
V dc. Plots indicate that applying a bias voltage of 10 volts
=0. Deflection y(x) is obtained by (5) and (9) for straight
to a straight beam results in a resonant frequency
beam and curled beam respectively. Resonant frequency f r
12.6MHz (desired). For the curled cantilever beam the
for different beam lengths keeping V dc constant at 10 volts
resonant frequency shifts to 12.75MHz. To get the
is plotted in figure 4 in the Simulation Results section.
frequency back to its desired value of 12.6MHz we need
Equation (14) is substituted in equation (11) variation in
to apply 16 Volts as indicated by the plot in figure 5.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
100
ISSN (Online): 1694-0814
www.IJCSI.org

References
[1] T. A. Betts, C. A. Tipple, M. J. Sepaniak, and P. G.
Datskos,( 2000), “Selectivity of chemical sensors based on
micro-cantilevers coated with thin polymer films”,
Analytica Chimica Acta, 422:89-99.
[2] Chen, R.T.; Nguyen, H.; Wu, M.C. (1999), "A low voltage
micromachined optical switch by stress induced bending,”
Proceedings IEEE International Conference on Micro
Electro Mechanical Systems (MEMS‘99), Orlando, FL,
USA, 17-21, pp.424-428.
[3] Peterm K.E. (1978), ‘Dynamic micromechanics on silicon:
Techniques and devices,’ IEEE Transactions on Electron
Devices, Volume 25, Edition 10, pp.1241-1250.
[4] Zhou N, Clark V, Pister K. S. J.( 1998), ‘Nodal Analysis
for MEMS Simulation and Design’ Proc. Int. Conf.
Modeling and Simulation of Microsystems
Figure 4. Beam Length vs. Resonant frequency
Semiconductors, Sensors and Actuators , Santa Clara,
CA, pp 6-8.
[5] Hector J. De Los Santros (1999), “Introduction to
Micromechanical (MEM) Microwave Systems. Artech
House.
[6] S. Timoshenko (1976), “Strength of Materials”, Krieger,
New York.
[7] Senturia S. D. (2001), “Microsystems Design”, Kluwer
Academic Publication, ISBN 0-7923-7246-8
[8] Leow Cheah Wei, Abu Bakar Mohammad , Norazan Mohd
Kassim (2002), “Analytical Modeling For Determination
of Pull-In Voltage For An Electrostatic Actuated MEMS
Cantilever Beam”, ICSE Proceedings , Penang, Malaysia,
pg 233-238, ISBN 0- 7803-7578-5, IEEE.
[9] Yu-Wei Lin, Seungbae Lee, Sheng-Shian Li, Yuan Xie,
Zeying Ren, Nguyen Clark T.-C. (2004), “Series-Resonant
VHF Micromechanical Resonator Reference Oscillators”
in IEEE Journal Of Solid-State Circuits, Vol. 39, No. 12.

Figure 5 Applied dc bias versus Resonant Frequency

5. Conclusions
Based on present theory of engineering mechanics and
vibration theory we have developed analytical equations
for the static and dynamic analysis of the curled cantilever
beam which find wide application as resonant sensors and
MEM resonators in filters and oscillators. Static and
Dynamic behaviors are analyzed using lumped parameter
model of the cantilever. Several graphs are plotted to
study the variation of device parameters like resonant
frequency, tip deflection for various device geometries and
applied voltages. The results demonstrate how the change
in the resonant frequency shift due to curling can be
counteracted by applying additional external bias voltage
whose value can be determined from the plot in figure 5.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
101
ISSN (Online): 1694-0814
www.IJCSI.org

Approaches to Optimum Dimensions Selection of Remotely


Sensed EO-1 Hyperion Data for Crop Classification
Hasmukh J. Chauhan1 and B. Krishna Mohan2
1
Research Scholar
CSRE, IIT Bombay

2
Associate Professor
CSRE, IIT Bombay

Abstract information to interpret for any analysis and processing.


Hyperion sensor is a hyperspectral imager on-board of EO-1 Also for classification to match the high number of bands
satellite. There are 242 spectral bands ranging from 356 to 2577 in Hyperion data, the number of training samples should
nm, out of which only 198 bands are calibrated and hence can be also increase to preserve the accuracy. It is very difficult
used for further processing. Out of 198 calibrated bands very few to analyze so many bands and also difficult to get many
spectral bands are useful for any application as other bands
contain redundant information. Also for classification problem
training samples. Also as the Hyperion data are in
same number of training samples as spectral bands has been hundreds of narrow contiguous bands the information
required for reliable estimate of class statistics for training content of the one band can be fully or partly predicted
supervised classifiers; it is very difficult to get so many training from the other band in the data [3], i.e. Hyperion data
samples. As a solution to above problem, it is suggested to contains high correlation between bands, especially
remove redundant bands before further processing hence the between adjacent bands. Therefore data redundancy exists
process known as dimensionality reduction or feature reduction in Hyperion data [4]. Hence, Optimum dimensions
or feature selection is applied to select optimum dimensions for selection by PCT, MNF transform and Application based
any application. This paper examines suitability of PCT, MNF approach is applied to remove redundant dimensions
transform and Application based approach for optimum
before further processing.
dimensions selection for Crop classification. Above three
approaches are applied on Hyperion data for optimum
dimensions selection and LSU is applied for sub-pixel
classification and comparative analysis of classification result is
carried out.
Keywords: EO-1 (Earth Observation-1), PCT (Principal
component transformation), MNF (Minimum Noise Fraction)
and LSU (Linear Spectral Unmixing)

1. Introduction
Hyperion data is distributed by USGS. The spatial
resolution of Hyperion is 30 meter. Each Hyperion scene Figure 1: Hyperion’s Swath Width and Length, [5]
is collected as a narrow strip, covering a ground area
approximately 7.7 km in the across-track direction, and 42 PCT highlights the redundancy in the data sets due to
km or 185 km in the along-track direction (depending on similar response in some of the spectral bands, hence PCT
the original data acquisition request). Each scene covers reduce data dimension by decorrelation. PCT is unable to
either 42 km, or 185 km. separate signal and noise components. Normally any noise
USGS distribute level one product, which contains only present in the original image has been concentrated in the
radiometric correction [1], [2]. Hence before any further later principal components in PCT. Ordinarily that is what
processing it is very essential to apply atmospheric would be expected: i.e. that the components would
correction. Atmospherically corrected Hyperion data become progressively noisier as their eigen values
contains 168 bands it means it has that much dimension of decrease. In practice, however, that is not always the case.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
102
ISSN (Online): 1694-0814
www.IJCSI.org

It is found, sometimes, that earlier components are noisier 3. Approaches to Optimum Dimensions
than those with the smallest eigen values. The noise Selection
adjusted PCT overcomes that problem which is commonly
known as MNF Transform. MNF transform can be applied The important points to be considered while Optimum
by two step procedure. First, transform the original data dimensions selection (dimensionality reduction) are:
such that its noise covariance is the identity matrix, and i. Information quantity of the selected bands should
then apply the standard principal components procedure. be almost same as the original data
In remote sensing various spectral bands are with respect
to wavelength channels and response of various Land ii. Correlation between the bands should be as low
use/Land cover for image generation varies w.r.t as possible
wavelength channels. Hence it is very essential to select iii. There should be good separability in the spectral
the spectral dimensions which are giving very good characteristics for good classification
response for vegetation. Application based approach
Optimum dimensions selection by transforming data in to
contains 26 spectral dimensions which have very good
new axis is very common and most popular approach
vegetation importance.
among image analyst. The aim of transforming the data
into new axis is to select the bands which are contributing
2. Atmospheric Correction maximum information for separability of classes and
removing least significant bands. Optimum dimensions
The process, which transforms the data from spectral selection can be performed by transforming data into new
radiance to spectral reflectance, is known as atmospheric axis by preserving the information content such that data
correction, compensation, or removal. Atmospheric becomes decorrelated. Decorrelation of the dataset can be
correction is the processing to eliminate radiance from performed in two ways one by maximizing the variance
atmospheric dispersion (Neighbouring effect), path and second by adjusting noise along its transformed axis.
radiance and clouds and gaseous absorption which are As a solution to this problem, two major approaches
contaminating the observed pixels. Hyperion images are Principal Component Transform (PCT) and Noise adjusted
the rich source of information contained in hundreds PCT or better known as Minimum Noise Fraction (MNF)
narrow contiguous spectral bands. There are number of Transform can be implemented which reduce the
atmospheric agents which contaminate the content of dimensionality by preserving the information content. In
various bands information. To get the complete advantage remote sensing various Land Use/Land Cover classes
of Hyperion data it is required to apply atmospheric responds in different wavelength regions (spectral bands)
correction. differently. Therefore, only those spectral bands are
required to select which have importance w.r.t.
Fast Line-of-Sight Atmospheric Analysis of Spectral application. Such as for crop classification 26 spectral
Hypercubes (FLAASH) is an efficient correction code bands which have vegetation importance only those are
based on MODTRAN 4 (Moderate resolution atmospheric selected.
transmission) [6]. FLAASH is available in the Research
Systems Inc. ENVI software package. FLAASH operates 3.1 Principal Component Transform
in the 0.4 – 2.5 µ m spectral range [7]. FLAASH required
minimum input parameter and very effective code for Principal Component Transform is a Multiband Image
Hyperion image atmospheric correction. The inputs Operation performed by combining gray levels recorded in
required are, Input radiance image (196 bands calibrated different bands for the same pixel. This is a transform that
ENVI standard image), Atmospheric parameters highlights the redundancy in the data sets due to similar
(atmospheric model, aerosol model and initial visibility in response in some of the wavelengths. Hence PCT reduce
km), Sensor and Ground parameters (sensor type, flying data dimension by decorrelation, highlights specific
height and ground elevation) and Scene parameters (scene features with significant difference in response in different
center location, flight date and flight time (GMT)). bands and the transformed data may be viewed like
Atmospheric corrections reveal some more information enhanced versions compared to originals. For data
which may not be extracted from the original image. transformation it is required to compute mean and
Atmospheric correction removes water and other variance of the bands and covariance between different
absorption features and corrected data contain 168 bands bands for the original data set. Diagonal elements of the
only. covariance matrix are the intra-band variances and Off-
diagonal elements are the inter-band covariances. In
principal component transform it is required to find a
transformation to be applied to the input image data such
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
103
ISSN (Online): 1694-0814
www.IJCSI.org

that the covariance matrix of the result is reduced to a MNF transform can be applied in a easy way i.e. only by
diagonal matrix and also find an axis such that the ensuring that the transformed images are ranked in
variance of the projected coordinates along that axis is increasing order of noise variance. This can be done by
maximum [8]. two step procedure. First: transform the original data such
that its noise covariance is the identity matrix, and then
PCT algorithm available in Research System Inc.
apply the standard principal components procedure. MNF
Environment for Visualization of Images (RSI-ENVI)
algorithm available in RSI-ENVI is applied on Hyperion
software system is applied on Hyperion data. In this data
data in order to remove noise from the data and to
of 168 bands, 52.05% variability was contained by first
determine the inherent dimensionality of the data. In ENVI
principal component (PC1), 27.56% variability was
it is two step procedures:
contained by PC2, and likewise first 13 PCs contains
• The first rotation uses the principal components of the
96.87% variability (Table 1).
noise covariance matrix to decorrelate and rescale the
Table 1: Results of PCT showing the percentage variability contained by noise in the data (a process known as noise whitening),
various PCs of Hyperion data. resulting in transformed data in which the noise has unit
variance and no band-to-band correlations.
Principal Percentage Cumulative • The second rotation uses the principal components
Components Variability Percentage derived from the original image data after they have
Contained
been noise-whitened by the first rotation and rescaled by
PC1 52.05% 52.05%
the noise standard deviation.
PC2 27.56% 79.61%
PC3 5.34% 84.95% The inherent dimensionality of the data is determined by
PC4 2.21% 87.16% examining the final eigenvalues and the associated images.
Data space can be divided into two parts: one part
PC5 1.94% 89.10%
associated with large eigen values and coherent eigen
PC6 1.85% 90.95% images, and a complementary part with near-unity eigen
PC7 1.62% 92.57% values and noise-dominated images. Using only the
PC8 1.29% 93.86% coherent portions separates the noise from the data, thus
improving spectral processing results. Looking to eigen
PC9 0.86% 94.72%
values greater than unity value and associated less noisy
PC 10 0.69% 95.41% images together MNF bands 1 to 7, 9 to 13 and 16 were
PC11 0.56% 95.97% selected for further processing as other MNF bands were
PC12 0.48% 96.45% more noisy and has less then or near unity eigen values.
PC13 0.42% 96.87%
3.3 Application Based Spectral Bands
Primary objective of the data analysis was vegetation
First 13 PCs contains nearly 97% variability and also analysis and very specific is crop classification. The major
through visual inspection it was found that further PCs problem with processing of the hyperion data is its high
were noisier, only first 13 PCs are retained for further number of bands. A large amount of interrelated
processing. information exists among the hyperion bands and not all
spectral bands can be usefully applied to vegetation
3.2 Minimum Noise Fraction Transform classification or estimation of biophysical-parameters.
Hence, if for further analysis bands which are sensitive to
Normally any noise present in the original image has been
vegetation analysis are only selected then it is helpful to
concentrated in the later principal components in PCT.
avoid other spectral variability. The selected wave bands
Ordinarily that is what would be expected: i.e. that the
are listed in Table 2 could be considered significant for the
components would become progressively noisier as their
study of leaf or plant, their biophysical (e.g., biomass,
eigen values decrease. In practice, however, that is not
LAI) or biochemical (e.g., chlorophyll, nitrogen)
always the case. It is found, sometimes, that earlier
properties, and their physiology (e.g., structure of canopy,
components are noisier than those with the smallest eigen
growth stage, growth condition, stress level). These
values. The noise adjusted PCT overcomes that problem
includes 8 bands in visible region, two in red edge, six in
which is commonly known as MNF Transform [8].
near infrared, eight in Moisture Sensitive NIR (MSNIR)
and one each in early MIR and far MIR. The utility of
reflectance in each band for agricultural applications, as
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
104
ISSN (Online): 1694-0814
www.IJCSI.org

suggested by Thenkabail et al. [9], [10] is defined in the table 2.


Sr. Hyperion Region of Central Agricultural Importance
No Band No. Electromagnetic Wavelength (nm) (as per [9], [10])
Spectrum
1 9 436.99 Blue Absorption Peak, Sensitive to senescing, chlorophyll-a
2 25 599.80
3 26 609.97 Absorption pre-maxima, Sensitive to biomass, soil
4 27 Visible 620.15 background
5 29 640.50
6 30 650.67
7 32 671.02 Absorption maxima, Maximum Chlorophyll Absorption,
8 33 681.20 Greatest soil crop contrast
9 39 Red Edge 742.25 Red edge region, sensitive to vegetation stress and dynamics
10 40 752.43
11 42 772.78
12 43 782.95 Early NIR, More sensitive to changes in chlorophyll content
than a broad NIR band
13 44 793.13
14 45 803.30 Centre of NIR shoulder, Strong correlation with total
NIR
15 50 854.18 chlorophyll
16 52 874.53 Correlation with Biomass, LAI
17 86 1003.30
18 87 1013.30 Rapid reflectance rising spectra after moisture absorption.
19 88 1023.40 Sensitive to plant moisture status, biomass & LAI
Moisture
20 89 Sensitive 1033.50
21 90 NIR 1043.59
(MSNIR)
22 91 1053.69
23 92 1063.79
24 94 1083.99 Post Reflectance peak in NIR. Sensitive to biomass & LAI
25 159 Early MIR 1739.69 Reflectance post-peak in EMIR. Sensitive to biomass,
(EMIR) cellulose
26 185 Far MIR 2002.06 Moisture absorption trough in FMIR. Sensitive to plant
(FMIR) moisture.
Table 2: List of 26 bands selected for agricultural studies [11]
many researchers devoting their effort to develop
endmember extraction techniques for hyperspectral data.
4. Endmember Selection

The selection of endmembers is a critical step to An ultimate goal of endmember extraction techniques is to
estimating fractional covers accurately. The use of non- find the purest form of each spectrally distinct material on
representative endmembers yields large errors in estimates a scene. During the last decade, several techniques have
of endmember fractions. For image analysis endmembers been proposed for the purpose of autonomous/supervised
are selected from field spectra and also extracted from endmember selection from hyperspectral images. Pixel
image. Endmember extraction from image may provide a Purity Index (PPI) [12] has been widely used because it is
very good solution as the image and endmembers contains available in the RSI-ENVI software system. Therefore PPI
the same environmental and signal characteristics. An is used as endmembers extraction technique.
endmember extraction is one of the fundamental and
crucial tasks in hyperspectral data exploitation therefore
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
105
ISSN (Online): 1694-0814
www.IJCSI.org

4.1 Pixel Purity Index (PPI) [13] 5. Crop Classification


PPI algorithm is used as a pre-processing to find end-
members in a hyperspectral image. It tries to identify pure The ultimate aim of image analysis is to classify the image
spectra by assigning a pixel purity index to each pixel in into the required landuse or landcover classes. In
the image. classification whole pixel may assigned to anyone class or
A hyperspectral image is a 2-dimensionnal array of pixels. proportions of pixel assigned to various classes, the former
These elements can be viewed as vectors of D data, each approach is known as whole pixel method and the latter
of them representing a specific value for a given approach is known as sub pixel method. Whole pixel
wavelength among hundreds of spectral bands. An method produces good results when mixed pixels are not
assumption is that a hyperspectral image contains present in the image. Spatial resolution of the Hyperion
relatively few pure pixels and the rest are a mixture of sensor is 30 m. Due to low spatial resolution chances of
pure materials. The aim of the PPI algorithm is to help mixed pixels present in the image has increased and hence
identifying the pure pixels, so that all the remaining pixels whole pixel methods may not give accurate results. It is
can be expressed as a linear combination of them. suggested to use sub-pixel method for image classification
when mixed pixels are present in the image or in other
Principle: way spatial resolution of the sensor is poor. Linear
Spectral Unmixing (LSU) is most commonly used
The PPI algorithm proceeds by generating a large number technique for hyperpsectral sub pixel image classification
of random D-dimensional vectors, called skewers, through as it is available with RSI-ENVI.
the hyperspectral image as shown in figure 2.
For each skewer, every data point is projected onto the
skewer, and the position along the skewer is noted. The 5.1 LSU – Theoretical background
data points which correspond to extreme in the direction
of a skewer are identified, and placed into a list. As more Concept of LSU [14], [15]
skewers are generated, this list grows. The number of
times a given pixel is placed on this list is also tallied. The The basic premises of LSU are that within a given scene:
pixels with the highest tallies are considered the purest, [16]
and a pixel’s count provides its pixel purity index. i. The surface is dominated by a small number of
materials with relatively constant spectra
(endmembers),
ii. Most of the spectral variability within the scene
results from varying proportions of the
endmembers,
iii. the mixing relationship is linear if the endmembers
are arranged in spatially distinct patterns

In the Linear Spectral Unmixing (LSU), the spectrum of a


mixed pixel is represented as a linear combination of
component spectra (endmembers). The weight of each
endmember spectrum (abundance) is proportional to the
Figure 2: Concept of skewers in PPI algorithm
fraction of the pixel area covered by the endmember. If
there are l spectral bands, the spectrum of the pixel and the
spectra of the endmembers can be represented by l-
Endmembes are extracted from PCT image, MNF dimensional vectors. Therefore, the general equation for
transformed image and 26 spectral band image using PPI mixing by area is given by,
algorithm available in RSI-ENVI for major three crops of p

the study area i.e. chana, jawar and wheat. For validation x= ∑a m
k =1
k k + n= Ma + n
of the endmembers same locations are georeferenced
through field study. M= [m 1 m 2 ……………..m p ]
a= [a 1 a 2 ……………a p ]
where,
x spectrum of the mixed pixel;
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
106
ISSN (Online): 1694-0814
www.IJCSI.org

m k spectra of the end-members; been carried out by applying NDVI mask selecting NDVI
a k their abundances; values less then 0.4 (which avoids non-vegetated and
p number of the end-members; vegetated areas other than agriculture areas) on the PCT
n l-dimensional error vector accounting for image, MNF transform image and 26 spectral band image
lack-of-fit and noise effects. using enemembers extracted through PPI algorithm for
Physical considerations dictate the following constraints three major crops i.e. chana, jawar and wheat.
ak ≥ 0 (nonnegativity constraint) In figure 3(a) Red colour indicates vegetated areas, in 3(b)
p black colour indicates non-vegetated and other than
∑a
k =1
k = 1 (additivity constraint) agriculture areas which are set to zero, hence no
classification is applied in those areas.

Linear spectral unmixing involves two steps:


a) Endmember identification
b) Abundance estimation

If the endmembers are known, unmixing can be viewed


either as a linear estimation problem or as a linear model
fitting problem. Furthermore, in detection and
classification applications it not required to determine
explicitly estimates of a; we can use a measure of the
quality of the estimator or a measure of the model
“goodness-of-fit” to make decisions.

5.2 Linear Spectral Unmixing Validation [16]

There are several ways to validate an LSU in practice.


i. Compute the error tem it should have “small”
values. Figure 3: a) FCC of Hyperion Data, b) NDVI Mask
ii. Compute, display, and analyze “fraction” images
using topographical and other information.
iii. Compute and display “fraction overflow” images.
LSU for Crop Classification
For inadequate models, a k (n) may fall outside the
range 0 ≤ ak ( n) ≤ 1 of the data. LSU algorithm is applied to estimate the sub-pixel
proportions (fractions) for major three crops i.e. chana,
jawar and wheat for PCT images, MNF transformed
LSU-Practical Implementation Using ENVI images and 26 spectral band image.

Practical implementation of LSU in ENVI is done in two


steps, first masking the non-vegetated and other vegetated
6. Results and Conclusion
(other then agriculture) areas to reduce the errors and then The sub-pixel classification output using LSU is the
LSU applied for agricultural area only. fraction images (one image for each class) and one RMS
error image. Hence two way comparisons can become
Applying NDVI Mask possible:

In sub pixel classification using LSU technique if any i) Compare fraction images it should have valid
spatial constraint such as crops are only possible in fractions i.e. between 0-1. Also number of pixels
vegetated areas or masking (i.e. hiding other area and having fractional overflow (fractions > 1) and
analyzing interested area only) are not applied then underflow (fractions < 0) shows accuracy of
proportions are computed for all classes. Even though classification.
some areas appears homogeneous to one particular class
proportions for other classes also computed. Hence it leads ii) RMS error it should have small values
to improper estimate of the fractions. Therefore LSU has
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
107
ISSN (Online): 1694-0814
www.IJCSI.org

First, 13 PCs image which contains nearly 97% variability from, ftp://popo.jpl.nasa.gov/pub/docs/workshops/98_docs/
compared to all PCs is unmixed using LSU. From 2.pdf
comparison it is found that many pixels have overflow and [7] Kruse F. A., (2008), Comparison of ATREM, ACORN,
underflow in fractional estimate and also RMS errors have And FLAASH Atmospheric Corrections using low altitude
large values. This may be due to first 13 PCs contains AVIRIS data of Boulder, Co,
noise component. If MNF bands image unmixed using USA, http://www.hgimaging.com/FAK_Pubs.htm,
LSU, than compared to other images it is found that RMS [8] Richards, J.A. and Jia, X., (2006), Remote Sensing Digital
error is very low but fractions computed are found Image Analysis, An Introduction, Springer-Verlang,
unreliable and very large underflow has been observed. Berlin.
This may be due to in MNF components selection [9] Thenkabail P.S. Enclona E.A., Ashton M.S. and Meer B
components which have eigen values greater than 1 and Vander (2004a) Accuracy assessment of hyperspectral
coherent images are selected. Hence this way MNF bands waveband performance for vegetation analysis
1 to 7, 9 to 13 and 16 were selected which contains only applications. Remote sensing of Environment 91(3-4):354-
60% variability of the image. 376.
[10] Thenkabail P.S. Enclona E.A., Ashton M.S., Legg C and
If 26 spectral band image is unmixed using LSU used Dieu M.J.D., (2004b) Hyperion, IKONOS, ALI and ETM+
most of the pixels having valid fractions and less sensors in the study of African rainforest. Remote sensing
under/overflow is observed compared to PCT and MNF of Environment 90:23-43.
components unmixed. Also RMS error is lowest among [11] Miglani, A., Ray S.S., Pandey R. and Parihar J.S.(2008),
above all analysis. Hence it is proposed that if vegetation Evaluation of EO-1 Hyperion data for Agricultural
analysis is required to carry out it is best to select 26 applications, Journal of Indian society of remote sensing,
vol.36, nos. 3, pp: 255-266.
vegetation bands only given in table 2.
[12] Boardman, J. W., Kruse, F. A, and Green, R. O., (1995),
“Mapping target signatures via partial unmixing of
Acknowledgments AVIRIS data,” in Summaries of the VI JPL Airborne Earth
Science Workshop. Pasadena, CA, down loaded on
Our sincere thanks to the organizers of ICVCI who have good 12/05/2009
platform for putting our research work in public domain. Also from http://www.hgimaging.com/PDF/boardman95.PDF .
our sincere thanks to reviewers who are going to make this paper [13] Lavenier D., Fabiani E., Derrien S., Wagner C.,(2008),
as publication by their valuable suggestions and corrections. “Systolic array for computing the pixel purity index (PPI)
algorithm on hyper spectral images”, down loaded on
November, 2008
References from http://www.irisa.fr/cosi/HOMEPAGE/Lavenier/Publi
[1] Pearlman, J.S., Barry, P.S., Segal, C.C., Shepanski, J., cations/Lav01cf.pdf.
Beiso, D., Carman, S.L., (2003). Hyperion, a Space Borne
Imaging Spectrometer, IEEE Transactions on Geosciences [14] Kanniah K. D. (2005), Linear Mixture Modelling Applied
and Remote Sensing, vol.41, no.6, pp.1160-1173. to IKONOS Data for Mangrove Mapping, published in
Asian conference on Remote Sensing, 2005. Downloaded
[2] USGS, 2004a. Earth Observing 1, downloaded on May, on November, 2008 from, http://www.aars-
2009, from, url: http://eo1.usgs.gov/ acrs.org/acrs/proceeding/ACRS2005/Papers/
[3] Krishna Mohan, (2008), “Hyperspectral Image [15] Shimabukuro Y. E. and Smith J. A., (1991), The Least-
Preprocessing” ISRS Pre-Symposium Tutorial on Squares Mixing Models to Generate Fraction Images
“Hyperspectral Data, Analysis Techniques and Derived From Remote Sensing Multispectral Data, IEEE
Applications”, December, 16-17, 2008, SAC, Ahmedabad. Transactions on Geoscience and Remote Sensing, vol. 29.
[4] Jiang, X., Tang, L., Wang, C. Wang, C., (2004), Spectral no.1, pp.16-20.
characteristics and feature selection of hyperspectral [16] Manolakis D., Siracusa C., and Shaw, G., (2001),
remote sensing data, International Journal of Remote Hyperspectral Subpixel Target Detection Using the Linear
Sensing, vol. 25, no. 1, pp. 51–59. Mixing Model, IEEE Transactions on Geoscience and
[5] USGS, 2004b. EO-1 FAQ, downloaded on May, 2009, Remote Sensing, vol. 39, no. 7, pp.1392-1409.
from,
url//http://eo1.usgs.gov/dataproducts/faq.asp#hyperion.
[6] Adler-Golden S., Berk, A, , Bernstein, L.S., Richtsmeier,
S., Acharya, P.K., and Matthew, M.W., Anderson, G.P,
Allred, C. L., Jeong, L.S., and Chetwynd, J.H.,(2008),
“FLAASH, A MODTRAN4 Atmospheric Correction
Package for Hyperspectral Data Retrieval and
Simulations”, downloaded in September, 2008
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
108
ISSN (Online): 1694-0814
www.IJCSI.org
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
109
ISSN (Online): 1694-0814
www.IJCSI.org

Study of Transistor Mismatch in Differential Amplifier at


32 nm CMOS Technology
V.S.Raju Mandapati1, Nishanth P V2 and Roy Paily3
1
Dept of Electronics and Communication Engineering
Indian Institute of Technology, Guwahati, Assam - 781039

2
Dept of Electronics and Communication Engineering
Indian Institute of Technology, Guwahati, Assam - 781039

3
Dept of Electronics and Communication Engineering
Indian Institute of Technology, Guwahati, Assam - 781039

Abstract node device and the random fluctuations dopants are a


As Integrated Circuit(IC) technologies scale to 90nm and major concern. The process variations include random
beyond, process variations become increasingly critical and dopant fluctuations (RDF), gate thickness variations and
make it continuously more challenging to create a reliable and a line-edge roughness (LER) [4], [5]. The well-known
robust circuit design. The classical differential amplifier can Pelgrom model has demonstrated that the size dependence
designed to be robust to inter-die variation, but it is extremely
sensitive to device mismatches. In this paper the effect of the
mismatch is proportional to the inverse of the square-root
transistor mismatches on the performance of Classical of the area [6], [7], [8], i.e.,
Differential Amplifier (CDA) is studied. Three different circuit
modifications are investigated to mitigate the mismatch effects (1)
and comparative analysis of each circuit is given. The simulation
is carried out using PTM High Performance 32nm Metal Gate / where the proportionally constant A ∆VT characterizes the
High-K / Strained-Si technology with Hspice. matching performance of technology. Using this law, it is
seen that the accuracy of a MOSFET can be increased by
Keywords: Analog circuit design, Transistor mismatch, 32 nm increasing its width or length. However, an increase in the
CMOS Technology, Differential Amplifier, Common Mode
width of a MOSFET results in a larger current and thus
Feedback.
power dissipation. Most analog circuit designs (e.g
differential pair, switched-capacitor amplifier etc.) are
1. Introduction ratio-based [9]; namely, their behaviors depends on the
ratio between two analog device sizes and not on their
absolute sizes. These analog circuits can be designed to be
The unending demand on speed, complexity, circuit robust to inter-die variations, but they are extremely
density and power consumption posed by many advanced sensitive to device mismatches [2].
applications leads to steady down scaling of CMOS
technologies. With the downsizing of CMOS technology, The influence of process variations on the Halo
the circuit performance is largely increased in terms of MOSFETs, its implications on the analog circuit
speed and power while analog figure of merit, such as performance and effect of transistor mismatch on fully
transistor gain and output swing are degraded. The reliable differential Operational Tran conductance Amplifier
circuit design at nano scale is really a challenging task (OTA) has been studied earlier [10], [11]. The LER
because of large process variations and device mismatches remains typically in the order of 5 nm, almost
[1], [2], [3]. The International Technology Roadmap for independently of the type of lithography used for research
Semiconductors (ITRS) in their recent updates posed or production [12] and this corresponds to about 15%
many challenges especially for below 32 nm concerning variation in device dimensions at 32nm technology. Such
with fluctuations and statistical process variations. One kind of variations in device sizes will affect adversely the
have less than 30 dopants in a typical 32 nm technology device performance and this paper discusses the effect of
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
110
ISSN (Online): 1694-0814
www.IJCSI.org

transistor’s aspect ratio mismatch on the performance of load and it is biased with current sink. The current sink is
classical differential amplifier (CDA) topology at 32 nm implemented using M5 and M6. The designed (W/L)
technology. The differential pair is among the most ratios are indicated in brackets. All the transistors are set
important circuit inventions, dating back to the vacuum to operate in saturation region by biasing different nodes
tube era. As technology progresses, its importance had using appropriate dc potentials. The sensitivity of each
been realized with both bipolar and MOS transistors. node dc potential with respect to device mismatch is
Offering many useful properties, differential operation has investigated.
become the dominant choice in today’s high-performance
The dc signals of the amplifier be V in+ = V I1 , V in- = V I2 ,
analog and mixed-signal circuits. We also have
V o = V O1 and V m = V M . The V I1 , V I2 are differential
investigated how much device dimension mismatch a
input voltages and V o1 , V M are output and mirrored node
classical differential pair between signal or load transistors
voltages. Let V ID be V I1 - V I2 . The KVL around the input
can tolerate.
loop gives.
With appropriate feedback circuits, it is possible to (2)
mitigate the adverse effects of device mismatch to some
the gate-source voltage can be written as
extent. Three different circuit modifications such as source
degenerated resistive feedback, splitting of signal
(3)
transistors and common mode feedback are studied to
mitigate the mismatch effects and comparative analysis of
For output dc differential voltage V OD = V 01 -V M to be
each circuit is given. However these techniques will
zero, with a constraint that voltage drop across both load
introduce degradation in some performance parameters.
transistors be equal, then input offset voltage can be
Therefore, we also have introduced current cancelation
written as
techniques using cross-coupled pair of transistors to
reduce the degradation in the circuit performance. The (4)
analysis and effect of transistor mismatch is presented in
section 2. The circuit modifications and simulation results The mismatch between any two nominally matched circuit
are given in section 3 and section 4 concludes the paper. parameters is usually small compared with the absolute
value of the parameters. The approximate equation of the
2. Study of Transistor Mismatch In CMOS offset voltage V OS is given in equation (4). By defining
Differential Amplifier the difference & average of all the parameters, such as ∆I D
The most common design approach of a differential & I D , ∆ W/L & W/L , ∆V t & V t and by substituting all the
amplifier is to have MOS transistors operated in strongly difference & average values in above equation (4),
inverted region as they provide the most important
advantage of better frequency response compared to the
subthreshold-operated MOS differential amplifiers. The (5)
objective of the differential amplifier is to amplify only the
difference between two voltages regardless of common The above analysis is carried by assuming long channel
mode value. Two important characteristics of a differential equation. For a 15% mismatch in aspect ratio results in an
amplifier are CMRR and offset voltage. For an ideal offset value of
differential amplifier, the common mode gain should be -21mV. Typically, the variations will be larger in short
zero and thus CMMR should be infinite, also the input channel devices.
offset voltage should be zero. For CMOS differential
The MOS transistors M2 and M4 will have same current.
amplifiers, the effect of mismatch on dc performance is
Therefore,
most conveniently represented by the input offset voltage.
It represents the input-referred effect of all the component
mismatches within the amplifier on its dc performance
[13], [14], [15]. The input offset voltage V OS is equal to
the value of differential input voltage V ID that must be
applied to the input to drive the differential output voltage In equation (6) , , , are constant,
V OD to zero. defined by technology and input bias voltage. The
The classical differential amplifier with mirror load is dependence of output node voltage with the aspect ratio
shown in Fig.1. The n-channel MOSFETs M1 and M2 of M2, M4 is known.
forms the differential pair, M3 and M4 acts as a mirror
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
111
ISSN (Online): 1694-0814
www.IJCSI.org

The variation of node voltage directly results from the


variation in aspect ratio. The mirrored node is a short-
circuited node between gate and drain of M3 so it attains a
constant value because of interdependence between i D3
and V SG3 . The MOS transistors M3, M4 will acts as
current mirror. Therefore, the ratio of i D3 and i D4 for
(W/L) 3 = (W/L) 4 is given in equation (7).

(7)

Figure 3. Output signal swing and THD variation as a function of


transistor mismatch percentage

Using Hspice, a simple mirror load differential amplifier is


designed at 32nm for optimum area occupancy and
performance in terms of dc gain, unity gain bandwidth and
power dissipation at a load capacitance of 5pF are
evaluated. Though the mismatch of each and every
transistor is studied, it has been seen that the signal
transistor mismatch affect the circuit performance
appreciably and therefore in this paper we have focused on
signal transistor mismatches. Henceforth, whenever
mismatch is mentioned, unless otherwise specified, we
Figure 1. Differential Amplifier mean the transistor width mismatch. The dc gain, 3-dB
bandwidth, output swing and total harmonic variation
The classical differential amplifier is biased with tail
(THD) with respect to transistor mismatch is shown in Fig.
current sink M5 for high CMRR and PSRR. It keeps the
2 and Fig. 3 respectively.
total current constant irrespective of both branch
mismatches. The aspect ratio mismatch results in an
unequal current through M1 and M2. As the total current The transconductance is reduced as mismatch is increased
through M5 is constant, the difference in current through and therefore the DC gain is affected. The Unity Gain
branch will be mirrored to output node. The dependence bandwidth (UGB) is not affected much with mismatches
of output node voltage with the currents of both branches and the bandwidth is increased corresponding to the
is known from equation (7). decrease in dc gain. The decrease in dc gain also causes
the peak-to peak amplitude to decrease as the mismatch is
increased. The THD is increased initially because the
mismatch drifts the operating point thereby reducing the
maximum swing possible. The high gain and lower swing
at 0.5% mismatch yields worst case THD. As the
mismatch increases further, the gain is reduced and
thereby the THD is reduced. We have investigated how
much device dimension mismatch a classical differential
pair between signal or load transistors can tolerate. One of
the transistors leave saturation region when the width
mismatch of signal transistors is exceeded beyond 16%
under fixed biasing conditions.

3. Mitigation of Mismatch Effects Using


Figure 2. DC Gain and Bandwidth variation as a function of transistor Different Modified Circuits
mismatch percentage

It has been already demonstrated that the output node


dc voltage of the classical single-ended differential
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
112
ISSN (Online): 1694-0814
www.IJCSI.org

amplifier is very sensitive to transistor mismatch and some


(10)
transistors leave saturation when the width mismatch of
signal transistors is exceeded beyond 16% under fixed We can rewrite the equation (7) as
biasing conditions. Three different circuit modifications
such as source degenerated resistive feedback, splitting of (11)
signal transistors and common mode feedback are
designed to mitigate the mismatch effects and comparative By replacing we get
analysis of each circuit is given. Each design is carried out
using similar biasing conditions and the performance
(12)
parameter such as DC gain, 3-dB bandwidth, output swing
and total harmonic variation (THD) with respect to From the above equation (10) we can clearly state that the
transistor mismatch is studied. both branch currents will be equal due to negative
feedback for any variation in the aspect ratio of input
3.1 Source degenerated resistive feedback transistors. In practice, placing high value of degenerative
resistor pushes tail transistor into linear region of
The classical differential amplifier with degenerated operation for cases where signal transistor mismatch
resistive feedback is shown in Fig. 4. The resistor R S exceeds 17.5%. Therefore, the degenerative resistive
acts as degenerated resistive feedback. Generally for feedback will not provide sufficient insensitivity to node
high gain amplifiers the value of g m will be in mS, so potentials for appreciable aspect ratio variations. However,
the value of R S should be in kΩ . The effect of it is typically used to increase the differential input range
feedback is significant when the desensitivity factor of the amplifier. The output swing of the amplifier is also
g m1,2 R S is greater than unity. The degenerative resistors degraded due to voltage drop across the source resistors
create a negative feedback and it makes sure that and the corresponding plots will be discussed later in
current in both branches to be the same for a constant Fig.6&7 when all circuit topologies are compared.
gate voltage irrespective of variation in the aspect ratio
of signal transistors M1 and M2 By applying KVL at 3.2 Splitting of signal transistors
input signal transistors of Fig. 4 In classical differential amplifier the output node
voltage drifts for a smaller value of mismatch and
(8) pushes either M2 or M4 into linear region of operation.
By differentiating above equation with respect to The classical differential amplifier with splitting of
signal transistors is shown in Fig. 5. The signal
gives
transistors M1 and M2 are split into M1, M7 and M2,
M8 respectively. The motivation for transistor splitting
is based on the random nature of mismatch and
therefore is on the assumption that transistor with
reduced mismatch can mitigate the effect of a higher
mismatched transistor. The splitting is carried out
keeping the total device dimensions and thereby the
circuit performance the same. For the split circuit, the
dependence of node potentials with aspect ratios is
given in equation (13).

Figure 4. Differential amplifier with degenerated resistive


feedback (13)

The random nature of device mismatch may favor


(9)
splitting of transistors however, the overall mismatch
increases as the transistor sizes are reduced and therefore
By replacing we get it has been seen that the performance of this topology is
same as that of mirror load differential amplifier. Each
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
113
ISSN (Online): 1694-0814
www.IJCSI.org

signal transistor has tolerated up to 16% mismatch and the


corresponding plots are shown in Fig. 6 & 7 where all
circuit topologies are compared.

Figure 7. THD variation of differential amplifier, differential amplifier


with source degenerative resistor and differential amplifier with split
transistor.

even for a small variation in aspect ratio. To balance out


the large output node drift, we have employed resistive
common-mode feedback (CMFB) shown in Fig. 8. The
resistors R f1 & R f2 act as common-mode feedback paths.
This feedback circuit is expected to keep all the transistors
Figure 5. Fully Differential amplifier with multiple signal transistors
in saturation for a wide range of aspect ratio variations of
any transistors. The acceptable range of variation depends
upon the value of the feedback resistors and the resistors
will influence the gain of the circuitry. The gain is given in
equation (12) and by assuming R f1 = R f2 = R f .
(14)
By using value of 40k one can tolerance up to 50%
mismatch in the aspect ratio of either signal or load
transistors. Nevertheless, with value of 40k, the gain of
the circuit is very low. The DC gain and DC Common
Mode Rejection Ratio (CMRR) with respect to % of
mismatch is shown in Fig. 9 and 10. Compared to CDA,
for circuit with CMFB the gain remains constant for a
wide range of signal transistor mismatch. However, the
Figure 6. Output Swing variation of differential amplifier, differential
gain for circuit with CMFB reduced by 28dB compared to
amplifier with source degenerative resistor and differential amplifier with
split transistor. CDA. Similar trend is observed for CMRR also.

The CDA and split circuit yielded similar performance for


output signal swing and THD. The output signal swing is
degraded for source resistance case due to additional drop
across the resistor. The THD is increased initially because
the mismatch drifts the operating point thereby reducing
the maximum swing possible. The high gain and lower
swing at 0.5% mismatch yields worst case THD for all
cases.

3.3 Common-mode resistive feedback


It is observed in CDA that only a very small variation in
voltage at the mirrored node is resulted for a wide range of
device aspect ratio mismatch, whereas voltage at output Figure 8. Fully Differential amplifier with resistive common-mode
node is drifted largely feedback
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
114
ISSN (Online): 1694-0814
www.IJCSI.org

Table 1. Performance of differential amplifiers with different topologies positive feedback affects adversely the amplifier stability.
at 32nm
The condition required for adequate negative phase shift is
Parameters Units Fig.1 #1 Fig.4 #2 Fig.5 #3 Fig.8 #4 given in equation (15).
DC gain dB 49.62 48.22 49.6 21.85
3dB B W kHz 70.9 61.89 70 870
UGB MHz 21.41 15.9 21.3 10.7
P.M degrees 89.54 89.73 89.55 94.64
L.D.R Volts(V) 0.9 1.2 1 0.6
DC CMRR dB 82.11 77.18 81.97 54.34
DC PSRR dB 49.62 48.22 49.6 21.85
Power µWatts 280 280 280 280
o/p signal m
826.1 755.6 824.7 98
swing Volts
Fig. 10 DC CMRR variation of differential amplifier with and without
T.H.D % 9.59 7.87 9.55 0.287
CMFB
#1 - CDA, #2 - Differential with source resistor, #3- Split
Transistors, #4 CMFB Topology

The performance variations of all the above topologies


with respect to transistor mismatch is summarized in Table
1. The linear differential range (LDR) of CDA is increased
for circuit with source resistor. However its unity gain
bandwidth and output signal swing are reduced. The
circuit which effectively tolerate mismatch is CDA with
CMFB, however gain and UGB with CMFB is degraded.

Figure 11. Fully-Differential amplifier with resistive feedback and cross-


coupled load.

Figure 9. DC gain variation of a differential amplifier with and without


(15)
CMFB

In summary, the performance parameters such as DC


gain, output signal swing, UGB and LDR are largely
degraded for the circuit modification suggested. The effect
of transistor mismatch can be better mitigated up by using
common mode resistive feedback. Though the gain and (16)
UGB are affected the performance parameters such as dc
gain can be improved by using cross- coupled pair with The relation between node potentials and the aspect ratio’s
current cancellation. The classical differential amplifier is given in equation (16). In this topology, the gate
with resistive common-mode feedback and cross-coupled potential of M7 & M8 also varies and therefore cannot
load is shown in Fig. 11. The resistor R f acts as common- tolerate for wide range of aspect ratio variation. The
mode feedback resistor, the cross-coupled pair transistors performance improvements are summarized in Table-II. In
M7 & M8, provides a negative resistance load of the each circuit, the biasing current is fixed and therefore the
amplifier and enhances the gain depending on ratio g m7 power remains the same. The DC gain is increased at the
and R f . High value of current cancellation results in cost of bandwidth and unity gain bandwidth of both the
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
115
ISSN (Online): 1694-0814
www.IJCSI.org

topologies remains constant. The CMRR as well as output References


signal swing also is increased in the modified circuit with
cross-coupled transistors. [1] Lewyn,L.L;Ytterdal,T.;Wulff,C.;Martin,K.;“ Analog
circuit design in nano scale CMOS technologies,” in
Table II. Performance of differential amplifiers with CMFB and cross-
coupled load at 32nm Proceedings of the IEEE, vol. 97, no. 10, Oct. 2009, pp.
1687–1714.
Fig.8 Fig.11 [2] Lawrence T.Pileggi, Pittsburgh,PA(US);Xin Li, Pittsburgh,
Parameters Units
#1 #2 PA(US) “Tunable integrated circuit design for nano-scale
DC gain dB 21.85 42.23 technologies,” in Patent, Agents: Oppedahl Patent Law
Firm LLC - SRC Assignees, Sept. 2005, pp. 77–80.
3dB B W kHz 870 83.3 [3] Y. C. Xin Li, Brian Taylor and L. T. Pileggi, “Adaptive
UGB MHz 10.7 10.77 post-silicon tuning for analog circuits: concept, analysis
and optimization,” in IEEE/ACM International Conference
P.M degrees 94.64 90.43 on Computer-Aided Design (ICCAD 2007), no. 4-8, Dec.
L.D.R Volts(V) 0.6 0.6 2007, pp. 450–457.
DC CMRR dB [4] N. G. G. D. N. Emad Hamadeha and M. Rahmana, “Gate
54.34 75.43
line edge roughness amplitude and frequency variation
DC PSRR dB 21.85 42.23 effects on intra die MOS device characteristics,” in
Power µWatts 280 280 International Symposium on Semiconductor Device
Research, 2005, Dec. 2005, p. 370.
O/P signal swing m Volts 98 328.4 [5] K. Kuhn, “CMOS transistor scaling past 32nm and
T.H.D % 0.287 9.17 implications on variation,” in Advanced Semiconductor
Manufacturing Conference (ASMC), 2010 IEEE/SEMI,
#1 Differential amplifier with CMFB,
July 2010, p. 241.
#2 Differential amplifier with CMFB and cross-coupled load
[6] W. S. Jeroen, A. Croon and H. E.Maes, Matching
Properties of Deep Sub-Micron MOS Transistors, Springer,
4. Conclusions 2005.
[7] Pelgrom,M.J.M.;Duinmayer,A.C.J.;Welbers,A.P.G;“Match
It was observed that in classical differential amplifier one ing properties of MOS transistors,” in IEEE Journal of,
cannot keep all the MOS transistors in saturation for signal Solid-State Circuits, vol. 24, no. 5, Oct. 1989, pp. 1433–
transistor mismatches beyond 16% at fixed biasing 1440.
condition with 32nm technology. Three different circuit [8] Pelgrom,M.J.M.; Tuinhout, H.P.;Vertregt,M.;“Transistor
modifications such as source degenerated resistive matching in analog CMOS applications,” in Technical
feedback, splitting of signal transistors and common mode Digest. of International Electron Devices Meeting, 1998
feedback were studied to mitigate the mismatch effects (IEDM ’98), Dec. 1998, Vol. 2, pp. 1139–1142.
and comparative analysis of each circuit was given. The [9] B. Razavi, Design of Analog CMOS Integrated Circuits,
Tata McGraw-Hill., 2002.
common mode feedback amplifier can withstand a larger
[10] J. Silva-Martinez, “Effect of the transistor mismatches on
variation in aspect ratio as compared to its other the performance of fully-differential OTAs,” in IEEE
counterparts. The effect of transistor mismatch can be International Symposium of Circuits and Systems, 1994.
mitigated up to 50% by using common mode resistive (ISCAS ’94), 1994, Aug. 2002, Vol. 5, pp. 253 – 256.
feedback though at the expense of gain and unity gain [11] S. G. K.Narasimhulu and V. Rao, “The influence of
bandwidth. The degradation in the gain was reduced by process variation on the halo MOSFETs and its
using current cancelation technique using cross-coupled implications on the analog circuit performance,” in
transistor pair Proceedings of 17th International Conference on VLSI
Design, 2004, Aug. 2004, pp. 545 – 550.
[12] S. B. A. Asenov and A. Kaya, “Intrinsic parameter
Acknowledgments
fluctuations in decananometer MOSFETs introduced by
gate line edge roughness,” in IEEE Transactions on
This work is carried using VLSI design softwares Electron Devices, July 2003, Vol. 50, no. 5, p. 1254.
provided by Department of Information Technology [13] R. D. Middlebrook, Differential Amplifiers, John Wiley
(DIT), India through SMDP II project at IIT Guwahati. and Sons, New York., 1963.
Authors would like to acknowledge Semiconductor [14] L. J. Giacoletto, Differential Amplifiers, John Wiley and
Research Corporation for the financial support for the Sons, New York., 1970.
project staff to carry out this work. [15] S. H. R. G. M. Paul R. Gray, Paul J. Hurst, Analysis and
design of Analog Integrated Circuts, fourth edition. John
Wiley and Sons, Inc., 2001. Bowman, M., Debray, S. K.,
and Peterson, L. L. 1993.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
116
ISSN (Online): 1694-0814
www.IJCSI.org

Six new Full Adder Cells Based on Majority-not Gate in


45nm CMOS Technology and Analysis in SOI Technology
Manijeh alizadeh1, Behjat forouzandeh2 and Reza Sabbaghi-nadooshan3
1
Scientific Association of Electrical &Electronic Engineering,
Islamic Azad University
Central Tehran Branch,Tehran,Iran

2
Department of ECE,
university of Tehran,Tehran,Iran

3
Islamic Azad University
Cental Tehran Branch, Tehran,Iran

Abstract results are reported in section 4. Summery and conclusion


This paper presents six new 1-bit full adder topologies operating are given in section 5.
45nm technology and SOI technology .Inverse Majority Gate
(IMG) together with NAND/NOR were used as the main
computational building blocks. Six new 1-bit full adder cells are 2. Design Of Inverse Majority, NAND and
simulated 45nm CMOS technology and SOI technology with NOR Gates
different supply voltages using the conventional 1.5:1 for
Wp/Wn. Simulation results are compared with a previously
reported majority-not based full adder. The results involve better
performance in terms of power, delay, and PDP. 2.1Three input and five input gates of inverse
majority
Keywords: VLSI, SOI, full adder, inverse majority gate.
A 3-input majority-not gate is created with three input
capacitors 1fF and a static CMOS inverter. The input
1. Introduction capacitance of the inverter is considered 22Ff. The
proposed 3-input majority-not gate is depicted in fig. 1.
In this paper we proposed six new 1- bit full adder cells We can also design majority-not gates with more inputs by
based on majority-not gate, that are named full adder B, increasing the number of input capacitors. A 5-input
full adder C, full adder D, full adder E, full adder F, full majority-not gate is made of five input capacitors 4Ff and
adder G. Four new 1-bit full adder cells (B, C, D, E) are a static CMOS inverter so that the input capacitance of the
designed based on design 1[1] and two new 1-bit full inverter is negligible. The proposed 5-input majority-not
adder cells (F, G) are designed based on design 2. These gate is depicted in fig. 1.
structures have been simulated and their results are
compared with simulation results of full adder A [1].The
input waveforms contain all the possible transitions from
one input combination to another (56 patterns) [2], [3].
The accuracy and characteristics of the structures have
been investigated and reported in the following sections.
The paper is organized as follows.
(a) (b)
Section 2, briefly describes design of inverse majority,
Fig. 1.a) the proposed 3-input majority-not gate, b) the proposed 5-input
NAND and NOR gates. The structures of six proposed 1-
majority-not gate
bit full adder cells are developed in section 3. Simulation
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
117
ISSN (Online): 1694-0814
www.IJCSI.org

2.2 Design of NAND and NOR gates by Full adder A is previously reported majority-not based full
majority NOT gate adder that is introduced in [1] and full adder cells B, C, D
and E are four new 1- bit full adder cells.
A NAND gate is implemented with three input capacitors
1nF and a static CMOS inverter. The input capacitance of
the inverter is considered 50nF. A NOR gate is
implemented with three input capacitors 30fF and a static
CMOS inverter so that the input capacitance of the
inverter is 1Ff. The proposed NAND and NOR gates are
illustrated in Fig. 2.

Fig. 3.Design 1

3.1 Design of two new 1-bit full adder cells


based on design 2
Fig. 2.The proposed NAND and NOR gates
Two new 1- bit full adder cells based on majority-not gate
are implemented by design 2. The functionality design 2
with A, B and C in (input carry) inputs, and Sum and C out
3. Design of New 1-Bit Full Adder Cells Based (output carry) outputs, can be described by the following
On Majority-NOT Gate equation and table:
3.1 Design of four new 1-bit full adder cells Table 1: Functionality of design 2
based on design 1
Four new 1- bit full adder cells based on majority-not gate
are implemented by design 1.The functionality [1] design
1 with A, B and C in (input carry) inputs, and Sum and
C out (output carry) outputs, can be described by the
following equations:

C out = Majority (A, B, C in ) (1) C out = Majority (A, B, C in ) (3)

This document is set in 10-point Times New Roman. If In design 2, when majority-not of inputs are 0, cout
absolutely necessary, we suggest the use of condensed line (output carry) is considered as 0 and PMOS transistor is
spacing rather than smaller point sizes. Some technical turned therefore sum is equaled NAND of inputs (NAND
formatting software print mathematical formulas in italic (A, B, C in )). As well as, when majority-not of inputs are
type, with subscripts and superscripts in a slightly smaller 1, cout (output carry) is considered as 1 and NMOS
font size. This is acceptable. transistor is turned therefore sum is equaled NOR of
inputs (NOR (A, B, C in )).
Sum = Majority (A, B, C in , cout , cout ) (2)

Design 2 scheme is shown in fig. 5. Full adder F and full


Design 1 is composed of only two majority-not gates,
adder G are designed based on design 2 so that in full
which are connected to each other. The first majority-not
adder F, NAND and NOR gates is implemented by logic
gate has three inputs and generates cout function. The
gates but in full adder G, they are implemented by
second majority-not gate has five inputs and generates majority-not gates. Full adder F and full adder G are two
sum function. Design 1 is shown in fig. 3. Full adder A other new 1-bit full adder cells that are shown in fig. 6.
[1], full adder B, full adder C, full adder D and full adder
E are designed based on design 1 and are shown in fig. 4.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
118
ISSN (Online): 1694-0814
www.IJCSI.org

Fig. 5.Design 2

Fig. 6.two new 1-bit full adder cells based on design 2 (full adder F and
full adder G)

4. Simulation Results

The six new 1-bit full adder cells and full adder A have
been simulated by Hspice in 45nm standard CMOS
technology and SOI (silicon on insulator) technology at
three different values for supply voltage.
Fig. 4.full adder A and four new 1-bit full adder cells based on design 1
(full adders B, C, D and E)
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
119
ISSN (Online): 1694-0814
www.IJCSI.org

4.1 Simulation in 45nm CMOS technology W/L for PMOS transistors were always kept 1.5 times
those of the NMOS transistors. The operating frequency
We used the same W/L ratio for all NMOS transistor. ranges is from 1.4 KHZ to 1000 MHZ. therefore
Value of W/L for PMOS transistors were always kept 1.5 Frequency ranging has increased. We simulated all full
times those of the NMOS transistors. We simulated all full adder cells at 10MHZ frequency and at values of 1.54,
adder cells at 10MHZ frequency. All full adder cells are 1.55, and 1.56v for Vdd. Values of Vdd have increased in
simulated at values of 0.5, 0.6, and 0.8v for Vdd and SOI because of high performance. A load capacitor of
Frequency ranging is detected from 100KHZ to 100MHZ. 0.21fF (is equaled with 10*C gs ) was put at the output of
A load capacitor of 0.21fF (is equaled with 10*C gs ) was all of full adder cells.
put at the output of all of full adder cells.
Simulation results in 45nm technology are reported in Fig. 9 shows the diagrams of p static and p dynamic versus
five different diagrams shown in fig. 7 and fig. 8. Fig.
Vdd. Fig. 10 shows the diagrams of delay, P total and PDP
7(a) shows the diagram of p static versus Vdd that indicates
versus Vdd in SOI technology. The diagram of
full adder C and full adder G in value 0.5v for Vdd, full
adder E and full adder F for range Vdds, 0.5, 0.6 and 0.8v, p static versus Vdd (fig. 9(a)) introduces that full adder E
have less p static than full adder A. The diagram of p dynamic and full adder F consume less p static than full adder A at
versus Vdd is shown in Fig. 7(b) that introduces full adder all supply voltages, and full adder F has minimum p static .
B and full adder D in values 0.5v and 0.6v for Vdd, full The diagram of p dynamic versus Vdd (fig. 9(b)) indicates that
adder C for range Vdds, 0.5, 0.6 and 0.8v, consume less
p dynamic than full adder A. full adder C havep dynamic almost equal with full adder A.

Fig. 8(a) shows the diagram of delay versus Vdd that The diagram of delay versus Vdd (fig. 10(a)) shows that
introduces full adder C for range Vdds, 0.5, 0.6 and 0.8v, full adder B, full adder C, full adder D and full adder F are
full adder B and full adder D in values 0.6 and 0.8v for faster than full adder A at all supply voltages , and full
Vdd, have the less delay than full adder A. Fig. 8(b) adder F has minimum delay. The diagram of P total versus
shows the diagram of P total versus Vdd that introduces Vdd (fig. 10(b)) indicates that full adder C has less P total
full adder C for range Vdds, 0.5, 0.6 and 0.8v, has less than full adder A in value 1.54v for Vdd. The diagram of
P total than full adder A. Fig. 8(c) shows the diagram of PDP versus Vdd (fig. 10(c)) introduces that full adder C
PDP versus Vdd that indicate full adder C for range Vdds, has less PDP than full adder A and has minimum PDP at
0.5, 0.6 and 0.8v and full adder B in value 0.8v for Vdd, all supply voltages, too. Values of delay, p static , p dynamic ,
consume less power than full adder A. full adder B have
P total and PDP for range Vdds, 1.54, 1.55 and 1.56v, are
minimum PDP in value 0.8v for Vdd. Values of delay,
p static , p dynamic , P total and PDP for range Vdds, 0.5, 0.6 shown in table 4 and table 5 in SOI technology.

and 0.8v, are shown in table 2 and table 3 in 45nm 4.3 Comparison between simulation in 45 nm
1B

technology. CMOS and SOI technologies


4.2 Simulation in SOI (silicon on insulator)
10B

In order to have good functionality and high performance


technology in full adder cells, values of Vdd have increased in SOI
technology compare with 45nm technology. Therefore
Silicon-on-insulator (SOI) technology has long been used with increase of Vdd in SOI technology, power
in many special applications, such as radiation-hardened consumption has increased so that the six new 1-bit full
or high-voltage integrated circuits. In this technology, adder cells and full adder A, have more power compare
parasitic capacitance will be decreased. So, we can work with 45nm technology.
on high frequency. Speed and performance of circuits will
be increased. Power consumption will be decreased in SOI With increase of Vdd in SOI technology, delay has
because of less leakage current [4]-[6]. decreased so that all of 1-bit full adder cells expect for full
adder E, have less delay than 45nm technology. In
The six new 1-bit full adder cells and full adder A, have attention to value of increase in power and value of
been simulated by Hspice in SOI technology, too. We decrease in delay, PDP has increased or decreased. The
used the same W/L ratio for all NMOS transistor. Value of results have shown that full adder A, full adder C, full
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
120
ISSN (Online): 1694-0814
www.IJCSI.org

adder D and full adder F have less PDP, full adder B, full
adder E and full adder G have more PDP compared with
45nm technology. Frequency ranging has increased in SOI
technology compared with 45nm technology.

In 45nm technology, the results have shown that full adder D is


fastest full adder and full adder C have minimum power
consumption and PDP in value 0.5v for Vdd. In SOI technology,
the results have shown that full adder F is fastest full adder and
full adder C have minimum power consumption and PDP in
value 1.54v for Vdd.

Power(static) vs. Vdd in 45nm tech.

5.00E-08
4.50E-08
4.00E-08 F.A A
3.50E-08
F.A B
Pstatic(w)

3.00E-08
F.A C
2.50E-08
F.A E
2.00E-08
F.A F
1.50E-08
1.00E-08 F.A G
5.00E-09
0.00E+00
0.5 0.6 0.8
Vdd(v)
(a)

Delay vs. Vdd in 45nm tech.


Power(dynamic) vs. Vdd in 45nm tech.
7.00E-09
1.40E-07
6.00E-09
1.20E-07
5.00E-09
1.00E-07 F.A A F.A A
Pdynamic(w)

Delay(s)

4.00E-09 F.A B
F.A B
8.00E-08
F.A C 3.00E-09 F.A C
6.00E-08 F.A D
F.A D
2.00E-09
4.00E-08 F.A E
1.00E-09
2.00E-08
0.00E+00
0.00E+00
0.5 0.6 0.8
0.5 0.6 0.8
Vdd(v)
Vdd(v) (a)
(b)

Fig. 7.a) p static with varying supply voltage, b) p dynamic with varying Ptotal vs. Vdd in 45nm tech.

supply voltage 1.60E-06


1.40E-06 F.A A
Table 2: values of power, delay and PDP in 45nm technology 1.20E-06 F.A B
1.00E-06
Ptotal(w)

F.A C
8.00E-07 F.A D
6.00E-07 F.A E
4.00E-07 F.A F
2.00E-07 F.A G
0.00E+00
0.5 0.6 0.8
Vdd(v)
(b)

PDP vs. Vdd in 45nm tech.

3.50E-16
3.00E-16

2.50E-16
F.A A
PDP(J)

2.00E-16
F.A B
1.50E-16
F.A C
1.00E-16

5.00E-17
0.00E+00
0.5 0.6 0.8
Vdd(v)
(c)

Fig. 8.a) delay with varying supply voltage, b) P total with varying
supply voltage, c) PDP with varying supply voltage
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
121
ISSN (Online): 1694-0814
www.IJCSI.org

Table3: values of p static and p dynamic in 45nm technology

Power(static) vs. Vdd in SOI tech.

3.50E-07
3.00E-07
2.50E-07
Pstatic(w)

F.A A
2.00E-07
F.A B
1.50E-07
F.A C
1.00E-07
5.00E-08 F.A E Delay vs. Vdd in SOI tech.
0.00E+00 F.A F
1.54 1.55 1.56 F.A G 3.00E-10
2.50E-10 F.A A
Vdd(v)
Delay(s)

2.00E-10 F.A B
(a)
1.50E-10 F.A C
1.00E-10 F.A D
5.00E-11 F.A F
0.00E+00
Power(dynamic) vs. Vdd in SOI tech. 1.54 1.55 1.56
Vdd(v)
2.00E-06 (a)

F.A A
Pdynamic(w)

1.50E-06
F.A B
1.00E-06 F.A C Ptotal vs. Vdd in SOI tech.
F.A D
5.00E-07 4.00E-05
F.A E
3.50E-05
0.00E+00 3.00E-05 F.A A
Ptotal(w)

1.54 1.55 1.56 2.50E-05 F.A B


2.00E-05
Vdd(v) F.A C
1.50E-05
(b) F.A D
1.00E-05
5.00E-06 F.A E
0.00E+00 F.A F
1.54 1.55 1.56
Fig.9.a) p static with varying supply voltage, b) p dynamic with varying F.A G
Vdd(v)
(b)
supply voltage

PDP vs. Vdd in SOI tech.


Table 4: values of power, delay and PDP in SOI technology
1.20E-15
1.00E-15 F.A A
8.00E-16 F.A B
PDP(J)

6.00E-16 F.A C
4.00E-16 F.A D
2.00E-16 F.A F
0.00E+00
1.54 1.55 1.56
Vdd(v)
(c)
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
122
ISSN (Online): 1694-0814
www.IJCSI.org

Fig.10.a) delay with varying supply voltage, b) P total with varying [3] Soeleman, H., Roy, K. and. Paul,B.C. 2001. “Robust
subthreshold logic for ultra-low power operation,” IEEE
supply voltage, c) PDP with varying supply voltage
Trans. VLSI Syst.
[4] Colinge, J. P. 1991 Silicon-on-Insulator Technology:
Table 5: values of p static and p dynamic in SOI technology
Materials to VLSI. Boston, MA: Kluwer.
[5] Lemnios, Z. J. 1995. “Manufacturing technology
challenges for low power electronics,” in Symp. VLSI
Technology, pp 5 –8.
[6] Shahidi, G. G. et al., 1993. “SOIfora1-Volt CMOS
technology and applications to a 512-Kb SRAM with 3.5ns
access time,” in IEDM Tech. Dig., pp. 813–816.

5. Summary and Conclusion


Six new 1-bit full adder cells based on majority-not gate
have been proposed. Four new 1-bit full adder cells (B, C,
D, E) are implemented using design 1 and two other new
1-bit full adder cells (F, G) are implemented using design
2.

Design 1 is composed of only two majority-not gates,


which are connected to each other. Design 2 is composed
of three gates, majority-not, NAND and NOR. Low power
consumption has been targeted at the circuit design level
for six cells. Simulations were done in 45nm technology
and SOI technology. Simulation results are compared with
each other and with simulation results of full adder A.

References
[1] Navi, K., Moaiyeri, M.H., Faghih Mirzaee, R., Hashem-
ipour, O. and Mazloom Nezhad, B. 2009. “Two novel
low-power full adders based on majority-not gates”,
Elsevier, Microele-ctronics Journal, Vol. 40, Issue. 1, pp.
126-130.
[2] Moalemi, V. and Afzali-Kusha, A. 2007. “Sub Threshold
1 Bit Full Adder Cells in Sub100 nm Technology,” IEEE
Computer Society Annual Symposium on VLSI, pp. 514-
515.
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
123
ISSN (Online): 1694-0814
www.IJCSI.org

Fuzzy C-Means method for Colour Image Segmentation


with L*U*V* Colour transformation
R. Krishna Priya1, Dr.C. Thangaraj2 and Dr.C. Kesavadas3
1
Research Scholar, Kalasalingam University,
Srivilliputtur, Tamil Nadu, India

2
Professor, Dept of CSE, Kalasalingam University
Presently,Vice-Chancellor, Anna University of Technology
Chennai, Tamil Nadu, India
3
Additional Professor, Dept of Imaging Sciences & Interventional Radiology,
Sree Chitra Tirunal Institute for Medical Sciences & Technology,
Thiruvananthapuram, India

Abstract replace people with computers or when we want to help


Fuzzy c-means clustering method for segmenting even the colour people in segmentation of images. Typical application is
images is dealt with this work. Here the seegmentation method is medicine, e.g. segmentation of MRI images or
based on a basic region growing method and uses membership dermatological images [1, 2]. In this paper a fuzzy c-
grades’ of pixels to classify pixels into appropriate segments. means clustering method is used as pre-processing method
The methodology incorporates the property of utilizing the
extended feature space of an image to obtain better segmentation.
for basic region growing segmentation method. Basic
Images which are in RGB colour space, is further processed difference from other approaches is extension of feature
using L*u*v* colour space, where the L*u*v* color space is space, which results in better segmentation. Here test
derived from the CIE XYZ tristimulus values. The L*u*v* space images used were five RGB colour images. These images
consists of a luminosity 'L*' or brightness layer, chromaticity were firstly converted into L*u*v* colour space [3, 4]. To
layer 'u*' indicating where color falls along the red-green axis, distinguish one colour from other, L*u*v* is being used.
and chromaticity layer 'v*' indicating where the color falls along The L*u*v* color space (also known as CIELAB or CIE
the blue-yellow axis. According to the image features obtained L*a*b*) enables to quantify these visual differences.
from L*u*v*, fuzzy clusters are allotted for particular colour. Fuzzy c-means method was applied to these converted
Fuzzy c-means algorithm segregates the image in accordance
images with extended feature space. Segmentation method
with the colour for each cluster and its neighborhood.
Simulation results based on five colour test images were obtained based on region growing was applied at the end of
using MATLAB. segmentation process. The same method was used in [2, 4,
Keywords: fuzzy c-means, images segmentation, feature 6]. In [2] was used with simple defuzzification rule, in [4]
space. was this method enhanced with thresholding parameter T
and in [6] was used with another defuzzification rule.
Results were obtained by experimental simulations in
1. Introduction MATLAB.

Image segmentation is an ongoing major research topic for


many image processing researchers. The apparent reasons 2. L*U*V* Color Transformation
and endless applications prove the need of image
processing. Most computer vision and image analysis Color transformations deals with processing the
problems require a segmentation stage in order to detect components of a color image within the context of a single
objects or divide the image into regions, which can be color model, as opposed to the conversion of those
considered homogeneous according to a given criterion, components between models. Based on psycho-visual
such as colour, motion, texture, etc [1, 2]. Sometime is experiments suggested by CIE, uniform colour spaces, e.g.
necessary to adjust computer vision to human vision. L*a*b* or L*u*v*is used. L*u*v* system is an excellent
Especially is it necessary, when we are segmenting decoupler of intensity (represented by lightness L*) and
images, which were segmented by people and we try to color (represented by u* for red minus green and v* for
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
124
ISSN (Online): 1694-0814
www.IJCSI.org

green minus blue) making it useful in both image Assumptions: Image transformed into feature space,
manipulation i.e, tone and contrast editing and image number of clusters c, stop condition ε, fuzziness parameter
compression applications. In these colour spaces, a m.
difference between colours is computed using Euclidean BEGIN OF M1
distance and here exists difference between lightness and Step 1: Cluster image in feature space, with next
color. Values of L*, u* and v* are defined by [2, 3, 4, 5]. conditions: number of clusters is c, fuzziness
index is m and stop condition is ε.
116.3 YY − 16 ⇔ YY  γ Step 2: Repeat for each pixel a ij of image I.
L* =  n n
Step 2.1: Find out, into which cluster C i
β
 Yn
. Y
⇔ Y
Yn
≤ γ belongs pixel a ij at most.
′ Step 2.2: Find out, whether in the
u* = 13.L * .(u ′ − u n ) (1)
closest surroundings of pixel a ij exists
v* = 13.L * .(v′ − vn′ ) segment R k , whose points belong to
while values of u′ and v′ are defined by same cluster C i .
Step 2.3: If such segment R k exists, then
4X pixel a ij add to segment R k , else create
u′ = new segment R n and add pixel a ij to new
X + 15Y + 3Z (2)
segment R n .
9Y
v′ = Step 3: Merge all segments, which belong to one
X + 15Y + 3Z cluster and are neighbours.
and constants β and γ have values Step 4: Arrange borders of all segments.
3
 6  END OF M1
γ =  ≈ 0.008856 (3)
 29  Segmentation method used in experiments is based on
2
116  29  29 3 simple region growing method. A simple method
β = .  = ≈ 903.296296 (4) incorporating both defuzzification and thresholding
3  6  27
parameter T is used in [2]. The method can be varied by
And Xn, Yn, and Zn are reference white tristimulus choosing different types of defuzzification [6].
values-typically the white of a perfectly diffuser under
CIE standard D65 illumination, defined by x = 0.3127 and 3.2 Method M2
y = 0.3290 in the CIE chromaticity diagram.
This segmentation method based on extended feature
3. Segmentation Method space. Here the same segmentation technique of fuzzy c-
means was used. The only difference between the previous
Segmentation refers to the process of partitioning a digital method with that of the new is, considering the extended
image into multiple segments (sets of pixels, also known feature of the images by the second method. The pixel and
as superpixels). Segmentation process consists of its neighboring pixels were considered in this method.
numerous steps. The main objective is to convert the input Here also variations can be made with various types of
image to a particular feature space according to the desired defuzzification. As the defuzzification changes there will
mode of clustering. Here the input image converted from be variations in the segmentation outputs.
RGB colour space to L*u*v* colour space. The objective
of segmentation is to classify each colored pixel (RGB) in 4. Fuzzy C-Means
a given image as having a color in the specified range or
not. The L*, u* and v* values are features that attributes
for fuzzy c-means clustering method. After the first Fuzzy clustering in fuzzy logic deals with the degree of
conversion, opt fuzzy c-means clustering. In our case, we belonging of each point to a cluster, rather than belonging
have chosen fuzzy c-means clustering method, settings are completely to just one cluster. Thus, points on the edge of
in experiments section. Then the cluster sizes are varied a cluster, may be in the cluster to a lesser degree than
according to requirement of segmentation for each image. points in the center of cluster. For each point x here exist a
coefficient giving the degree of being in the kth cluster
u k (x). Usually, the sum of those coefficients for any given
3.1 Method 1 (M1) x is defined to be 1:
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
125
ISSN (Online): 1694-0814
www.IJCSI.org

 num.clusters 
∀x
 ∑ u k ( x) = 1
 (5) The most important part of this segmentation method is
 k =1 
extension of feature space. Extension of feature space is
With fuzzy c-means, the centroid of a cluster is the mean based on simple idea, that neighboring pixels have
of all points, weighted by their degree of belonging to the approximately same values of lightness and color. But in
cluster: real images, noise is corrupting the image data or image
which usually consists of textured segments. Basic
Centerk =
∑ x u k ( x) m x (6) segmentation methods based on fuzzy c-means clustering
∑ x u k ( x) m works as follows:

The degree of belonging is related to the inverse of the • Convert image into feature space of clustering
distance to the cluster center: method (usually used is RGB colour space, but
1 IHS, HLS, L*u*v* or L*a*b* colour spaces are
u k ( x) = (7) also used).
d (centerk , x)
• Run fuzzy c-means method on converted image.
then the coefficients are normalized and fuzzified with a • Use some defuzzification rule or rules to classify
real parameter m > 1 so that their sum is 1. So each pixel to segment.
1
uk ( x ) = 2
(8) Simple defuzzification rule is based on maximal
 d (centerk , x )  (m −1) membership grade of pixel to cluster [1, 4]. Basic feature
∑ 
(
j  d center , x )

space is only color space, e.g. RGB, HIS, HLS or L*u*v*.
 j 
These color spaces are as shown on Fig. 1.
For m equal to 2, this is equivalent to normalizing the
coefficient linearly to make their sum 1. When m is close
to 1, then cluster center closest to the point is given much
more weight than the others, and the algorithm is similar
to k-means.

The fuzzy c-means algorithm is very similar to the k-


means algorithm:

• Choose a number of clusters.

• Assign randomly to each point coefficients for


being in the clusters. Figure 1: Standard feature space.

• Repeat until the algorithm has converged (that is,


the coefficients' change between two iterations is
no more than ξ , the given sensitivity threshold) :

o Compute the centroid for each cluster,


using the formula above.

o For each point, compute its coefficients


of being in the clusters, using the
formula above.
Figure 2: Extended feature space
The algorithm minimizes intra-cluster variance as well.
Here the fuzzy c-means algorithm is used in color image Extension of feature space is based on involving of
segmentation using the extended feature of the image by neighboring pixels’ information. One pixel has 15 instead
utilizing the L*u*v* transformations of the image. of 3 features. In normal case [2, 4, 6] there will be only 3
feature for a single pixel (L*u*v* values, Figure 1). The
work presented here consists of a pixel with 15 features
5. Extension of Feature Space-Fuzzy C-Means including the pixels own L*u*v* and that of its neighbors
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
126
ISSN (Online): 1694-0814
www.IJCSI.org

L*u*v*. For practical implementation, an extension with


next sequence of pixels like current pixel, its up, right,
down and left neighbor pixels were used and were shown
on Figure 2.

6. Experiments and Results


(a) (b) (c)
The simulations were done on MATLAB with five test
color images. The various parameters used for clustering
were: fuzziness index m = 2, stop condition ε = 0.01,
number of clusters c = 3 . The five test color images were
shown on figure 3. These images after segmentation using
method 1 (M1) were shown in figure 4. The same test
images after segmentation using method 2 (M2) were
shown in figure 5. (d) (e)
Figure 5: Segmentation results – M2

The table 1 shows the segmentation count of each method


for five different images.

Table 1: Segments’ count of each method

Methods Image Image Image Image Image


(a) (b) (c) (d) (e)
(a) (b) (c) M1 476 511 22 1944 1405

M2 183 204 10 851 855

7. Conclusions

Considering the two methods, method 1 (M1) produces


(d) (e) large number of segments based only on the normal image
parameters, while in method 2 (M2) more accurate
Figure 3: Test color images segmentations were carried out with the extended feature
space of images. Method M2 has good segmentation
results in case of images with large homogeneous
segments where images can be corrupted with one pixel’s
noise. In both methods cluster centre is a typical pixel of
segment with its neighbors (up, right, down and left
neighbor) according to the requirement. The fuzzy
clustering algorithm formed on the basis of L*u*v* of the
extended space of images give a promising method for
high quality image segmentation. As image processing is a
(a) (b) (c)
core area of research, the various parameters of it grant
impulses for further research.

References
[1] Hlaváč, V., Šonka, M., Boyle, R.: Image Processing,
(d) (e) Analysis and Machine Vision, 1999, ISBN 0-534-95393-X.
[2] Horváth, J.: Image Segmentation Using Clustering, Košice,
Figure 4: Segmentation results – M1 2003, 88 p. Master thesis
IJCSI International Journal of Computer Science Issues, Special Issue, ICVCI-2011, Vol. 1, Issue 1, November 2011
127
ISSN (Online): 1694-0814
www.IJCSI.org

[3] Horváth, J., Zolotová, I.: L*u*v* Colour Transformation means.pdfPHAM, D. L., PRINCE, J. L.: Adaptive Fuzzy
innComputer Vision, In: Proceedings of 2nd Slovakian- Segmentation of Magnetic Resonance
Hungarian Joint Symposium on Applied Machine Images,http://medic.rad.jhmi.edu/dpham/papers/Pham_afc
Intelligence, Herľany, Slovakia, 2004, pp. 203-208, ISBN m.pdf.
963 7154 23 X [9] Pham, D. L., Prince, J. L.: An Adaptive Fuzzy C-Means
[4] Horváth, J., Zolotová, I., Madarász, L. Contribution Algorithm for Image Segmentation in the Presence of
toSegmentation of Digital Images Based on Clustering. In: Intensity Inhomogeneites, http://citeseer.ist.psu.edu/
IEEE International Conference on Computational cache/%20papers/cs/11590/http:zSzzSziacl.ece.jhu.ed
Cybernetics ICCC 2004, Wien, Austria, pp. 89-93, ISBN [10] Yang, T.-N., Wang, S.-D., Yen S.-J.: Fuzzy algorithms for
3-902463-02-3 Robust Clustering,http://www.csie.ndhu.edu.
[5] Ford, A., Roberts, A.: Colour Space Conversions, tw/~sjyen/Papers/2002Fuzzy.pdf.
http://www.poynton.com/PDFs/coloureq.pdf, 2003. [11] Jiang, L., Yang, W.: A Modified Fuzzy C-Means
[6] Horváth, J., Zolotová I.: Contribution to Segmentation of Algorithm for Segmentation of Magnetic Resonance
Digital Images Based on Clustering, Proceedings of the 6th Images, http://www.cmis.csiro.au/Hugues.Talbot/dicta200
International Scientific - Technical Conference Process
3/cdrom/pdf/0225.pdf
Control 2004, Kouty nad Desnou, Czech Republic, pp.
180, ISBN 80-7194-662-1
[7] Pham, D. L.: Spatial Models for Fuzzy
Clustering,http://medic.rad.jhmi.edu/dpham/papers/Pham_
CVIU01.pdf.

[8] Krishnapuram, R., Keller, J. M.: A Possibilistic Approach


to Clustering, http://21410.gel.ulaval.ca/ references/ PK-
IJCSI CALL FOR PAPERS MARCH 2012 ISSUE
Volume 9, Issue 2

The topics suggested by this issue can be discussed in term of concepts, surveys, state of the
art, research, standards, implementations, running experiments, applications, and industrial
case studies. Authors are invited to submit complete unpublished papers, which are not under
review in any other conference or journal in the following, but not limited to, topic areas.
See authors guide for manuscript preparation and submission guidelines.

Accepted papers will be published online and indexed by Google Scholar, Cornell’s
University Library, DBLP, ScientificCommons, CiteSeerX, Bielefeld Academic Search
Engine (BASE), SCIRUS, EBSCO, ProQuest and more.

Deadline: 31st January 2012


Notification: 29th February 2012
Revision: 10th March 2012
Online Publication: 31st March 2012

 Evolutionary computation  Software development and


 Industrial systems deployment
 Evolutionary computation  Knowledge virtualization
 Autonomic and autonomous systems  Systems and networks on the chip
 Bio-technologies  Context-aware systems
 Knowledge data systems  Networking technologies
 Mobile and distance education  Security in network, systems, and
 Intelligent techniques, logics, and applications
systems  Knowledge for global defense
 Knowledge processing  Information Systems [IS]
 Information technologies  IPv6 Today - Technology and
 Internet and web technologies deployment
 Digital information processing  Modeling
 Cognitive science and knowledge  Optimization
agent-based systems  Complexity
 Mobility and multimedia systems  Natural Language Processing
 Systems performance  Speech Synthesis
 Networking and telecommunications  Data Mining

For more topics, please see http://www.ijcsi.org/call-for-papers.php

All submitted papers will be judged based on their quality by the technical committee and
reviewers. Papers that describe on-going research and experimentation are encouraged.
All paper submissions will be handled electronically and detailed instructions on submission
procedure are available on IJCSI website (www.IJCSI.org).

For more information, please visit the journal website (www.IJCSI.org)


© IJCSI PUBLICATION 2011
www.IJCSI.org
 

 
IJCSI
The International Journal of Computer Science Issues (IJCSI) is a well‐established and notable venue 
 
for  publishing  high  quality  research  papers  as  recognized  by  various  universities  and  international 
  professional  bodies.  IJCSI  is  a  refereed  open  access  international  journal  for  publishing  scientific 
papers  in  all  areas  of  computer  science  research.  The  purpose  of  establishing  IJCSI  is  to  provide 
  assistance  in  the  development  of  science,  fast  operative  publication  and  storage  of  materials  and 
results of scientific researches and representation of the scientific conception of the society. 
 
It also provides a venue for researchers, students and professionals to submit ongoing research and 
  developments  in  these  areas.  Authors  are  encouraged  to  contribute  to  the  journal  by  submitting 
articles that illustrate new research results, projects, surveying works and industrial experiences that 
describe significant advances in field of computer science. 

Indexing of IJCSI 
1. Google Scholar 
2. Bielefeld Academic Search Engine (BASE) 
3. CiteSeerX 
4. SCIRUS 
5. Docstoc 
6. Scribd 
7. Cornell's University Library 
8. SciRate 
9. ScientificCommons 
10. DBLP 
11. EBSCO 
12. ProQuest 

© IJCSI PUBLICATION
www.IJCSI.org 

Вам также может понравиться