Вы находитесь на странице: 1из 12

Downloaded from http://iranpaper.

ir
http://www.itrans24.com/landing1.html

1636 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 7, JULY 2011

A 5 Mb/s UWB-IR Transceiver Front-End for


Wireless Sensor Networks in 0.13 m CMOS
Silvia Soldà, Member, IEEE, Michele Caruso, Andrea Bevilacqua, Member, IEEE,
Andrea Gerosa, Senior Member, IEEE, Daniele Vogrig, Member, IEEE, and Andrea Neviani, Member, IEEE

Abstract—This paper presents a fully integrated UWB-IR trans- advantage of extreme duty cycling, at the price of an inefficient
ceiver front-end operating in the 7.25–8.5 GHz band designed for spectral occupation. On the contrary, conventional radios are
high overall transmission and detection energy efficiency and ro- always consuming power when communicating. Despite their
bustness to interferers. The transceiver front-end features a pulsed
transmitter that wakes up when triggered by a digital signal, gener- power consumption can be traded for lower noise performance
ates a pulse, and automatically switches-off in less than 2 ns. The re- at the receiver, or a lower output power at the transmitter, lever-
ceiver includes an LNA, a VGA, a squarer, a windowed integrator, aging a reduced data rate, the need of a frequency synthesizer
and a comparator to perform PPM demodulation of the data. A sets a floor to the minimum possible current constantly drained
prototype of the transceiver front-end was integrated in a 0.13 m from the supply.
CMOS technology. The transmitter delivers 13 pJ/pulse to the an-
tenna consuming about 190 pJ/b, with an efficiency , well In this work, we propose an approach where the transmitter
in excess of comparable designs. Using pulse polarity scrambling, it is designed to generate pulses with the maximum energy (at the
complies with the FCC spectral emission limits up to a pulse repeti- desired pulse rate) allowed by the power spectral density regu-
tion frequency (PRF) of 5 MHz. The receiver achieves a sensitivity lations, with the twofold advantage of improving significantly
of 87 dBm at a PRF of 100 kHz, and of 70 dBm at a PRF of its efficiency with regards to existing transmitter designs and
5 MHz, while consuming 4.2 mW. It can tolerate interferers up to
12 dBm at 5.4 GHz. of relaxing the sensitivity requirements of the receiver. Addi-
tionally, to reduce the risk of blocking by in-band interferers,
Index Terms—CMOS, impulse radio, ultra-wideband, wireless
we chose the 7.25–8.5 GHz frequency range, in the UWB high
sensor networks.
band, which is far from any other commonly used communica-
tion channel, and is the only common range where UWB radios
I. INTRODUCTION can operate in Europe, USA and Japan with similar regulations
[7]. We thus obtained a system which is robust to interferers,

W IRELESS sensor networks (WSN) mark the confluence


of the technological trends in sensing, computation,
and wireless communications towards smaller size, lower
and efficient in terms of total energy spent to transmit and re-
ceive a bit over a given range. We implemented prototypes of
the transceiver front-end in a 0.13 m CMOS technology. Some
power and lower cost electronics [1], [2]. They can be used
digital control and calibration loops have been left out, as they
for a plethora of applications: from the elimination of the
are beyond the scope of this research work.
wiring cost for light switches, thermostats, fire alarm, chemical
The paper is organized as follows: the main issues and trade-
sensors, etc., to inventory monitoring, location of boxes and
offs of UWB impulse radios are illustrated in Section II, where
pallets in warehouses, inertial sensing in some entertainment
a link budget is derived as well as the specifications for both
applications, wearable or implanted medical devices.
the transmitter and the receiver. In Section III, the design of
The preferred solution to realize a radio link for such net-
the building blocks of the transceiver front-end is discussed,
works must feature an ultra-low-power consumption (0.1–10
with particular regards to the optimization of the transmitter ef-
mW) while conveying a relatively low data rate (0.01–10 Mb/s)
ficiency, and to the bias current reuse in the receiver. Results of
over a short-range (0.1–10 m). Ultra-wideband Impulse-Radio
experiments carried out on implemented prototypes of the pro-
(UWB-IR) is becoming one of the preferred solutions to realize
posed transceiver front-end are reported in Section IV, while
such a wireless link [3]–[6]. UWB-IR can be a very low energy
a discussion on the possibilities to extend the communication
solution since it can be implemented with relatively simple
range of the proposed wireless link beyond the original 3 m
transceiver architectures. On the other hand, this simplicity
target is carried out in Section V. Eventually, Section VI wraps
often implies a low transmitter efficiency and a relatively high
up the paper.
sensitivity of non-coherent receivers to in-band interferers.
Compared to classic narrowband radios, UWB-IR can take II. SYSTEM-LEVEL CONSIDERATIONS
There is a sharp difference between conventional narrowband
radios and impulse radios, despite the basic idea of digital com-
Manuscript received November 12, 2010; revised January 31, 2011; accepted
February 07, 2011. Date of publication May 27, 2011; date of current version
munications is always there. In both cases, a digital symbol is
June 24, 2011. This paper was approved by Guest Editor Domine Leenaerts. associated to a continuous-time pulse to enable transmission
This work was supported by the Cariparo Foundation, Italy, under Project over the wireless medium. However, while in conventional ra-
WISE-WAI.
The authors are with Dipartimento di Ingegneria dell’Informazione, Univer-
dios the pulse duration and the symbol period are approximately
sità di Padova, 35131 Padova, Italy (e-mail: andrea.bevilacqua@dei.unipd.it). equal, in impulse radios the pulse occupies a tiny fraction of
Digital Object Identifier 10.1109/JSSC.2011.2144070 the symbol period. This means that in impulse radios the data

0018-9200/$26.00 © 2011 IEEE


Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

SOLDÀ et al.: A 5 Mb/s UWB-IR TRANSCEIVER FRONT-END FOR WIRELESS SENSOR NETWORKS IN 0.13 m CMOS 1637

rate and the bandwidth of the transmitted signal are inde- communication range. This choice is not unique and has an
pendent to each other.1 Moreover, while typically in conven- impact on the overall energy consumption of the transceiver.
tional radios the transmission power is set by the regulatory There are several examples [4]–[6], [8]–[12] in which the
limits, in impulse radios it is the maximum transmitted pulse adopted strategy is that of minimizing the total energy spent
energy to be controlled. These differences have a strong impact by the transmitter to generate a pulse, , regardless of
on the link budget of the radios. To gain insight, let us con- the actual energy of the pulse delivered at the antenna, .
sider the simple case of a communication system employing a 1 These designs show almost consistently a TX efficiency
bit-per-symbol modulation scheme, while transmitting 1 pulse of a few percent at most, and implicitly
per bit. The pulse energy at the receiver input is related to demand an extremely sensitive receiver in order to cover
the average received power by a significant communication range. Since sensitivity costs
power, this explains why the energy-per-bit figure of UWB-IR
(1) receivers published in the literature is normally significantly
larger than that of transmitters [4], [6], [8], [9].
where is the transmitted power, is the transmitted
One goal of this work is to achieve minimum overall energy
pulse energy, and is the path loss. For a given receiver noise
consumption for the transmission and reception of one bit of in-
performance, the communication link will show a target bit error
formation for the desired communication range, i.e., for a fixed
rate (BER) if a large enough is accumulated at the receiver.
path loss . The main design variables at the system level are
In a conventional radio, where is fixed, we can use the
then the energy of the transmitted pulse and the link mod-
same receiver and expand the communication range for a given
ulation scheme. is related to the transmitter energy con-
BER trading a longer range for a lower data rate. On the con-
sumption through the efficiency , and determines the
trary, in an impulse radio, since is fixed, the communica-
pulse energy at the receiver input, , through (1). The choice of
tion range is independent on the data rate for a given receiver
the modulation sets the receiver noise specification and thus the
noise performance. However, in this case the received power
achievable energy consumption per bit at the receiver, .
changes as is varied, for a given path loss. As such,
the concept of receiver sensitivity, as given in terms of received The exploration of the design space led to the conclusion that
power, becomes quite slippery in impulse radios, since it does the approach promising better results was to maximize
not convey complete information if not associated to the data and , to enable the choice of a modulation scheme such that
rate. In the following, we will see how these basic considera- the receiver architecture is mostly simplified. In addition, in this
tions translate into a link budget and guide the choices on the way the system does not need an ultra low noise receiver to
system architecture for minimum energy consumption. accommodate the desired communication range, which allows
to reduce the energy consumption.
A. Link Budget It should not be surprising that this approach yields an im-
In the foregoing discussion, we have observed that the provement over the state-of-the-art given the significant margin
starting point in building a link budget for an impulse radio is between the energy-per-bit figure of receivers and transmitters
the limit on the maximum transmitted pulse energy set by the mentioned before, while it is reasonable to expect the minimum
regulatory bodies. The FCC, for example, limits the maximum overall energy consumption to be reached when .
power spectral density (PSD), , to 41.3 dBm/MHz in The transceiver is designed for a generic wireless sensor net-
the 3.1-to-10.6 GHz band, which translates to the following work, with specifications on communication range and data rate
constraint: loosely set as a few meters and a few Mb/s. The selected pulse
bandwidth is GHz, from 7.25 to 8.5 GHz, which is the
(2) only common range where UWB radios can operate in Europe,
USA and Japan with similar regulations [7]. Additionally, this
From (2), it is clear that to maximize the communication range
choice reduces the risk of blocking by in-band interferers, since
one should maximize the pulse bandwidth and reduce the data
this portion of the spectrum is far from any other commonly
rate, a scenario highly compatible with the wireless sensor net-
used communication channel, in particular WLANs at 2.4 GHz
works. However, hardware limitations come also into play when
and 5.4 GHz.
designing the system. Having a large calls for circuits with
Given the emission regulations in the selected band [7],
a large passband fractional bandwidth, which are not straight-
the choice of a maximum data rate Mb/s makes
forward to implement at low power. Moreover, wide pulse
the system compliant to the average power density limit
bandwidths may make the system more susceptible to interferers.
( 41.3 dBm/MHz) if the pulse energy is pJ.
Last, but not least, pulses with large energies may be difficult to
If the envelope of the pulse is Gaussian, then the transmitter
implement with the ultra-scaled CMOS technologies because
should be capable of delivering a peak-to-peak voltage swing
of the limited available supply voltage. Such technologies are
of about 3 V at the antenna input to meet the pJ
anyway necessary to keep the energy consumption and cost low,
specification. The circuit-level design of the transmitter and of
while enjoying good radio frequency circuit performance.
the matching network required to deliver a 3 V pulse to the
The key point in the system-level design is thus the choice
antenna with a supply voltage are discussed in
of the combination of transmitted pulse energy, modulation
Section III-A.
scheme and receiver noise performance to achieve a given
The relatively large value of allows the adoption
1Of course we have . of a simple pulse position modulation (PPM) scheme. Such
Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

1638 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 7, JULY 2011

TABLE I
LINK BUDGET OF THE PROPOSED SYSTEM

Fig. 2. Simulated probability of detection as a function of the received pulse


energy.

The PPM-modulated data is received by energy detection:


the square of the input signal is integrated over two consecu-
tive time windows; the collected energies are then compared to
identify the position of the transmitted pulse and thus recover
the data. During the synchronization phase, a 31-bit word is re-
ceived and correlated to all the possible time-shifted versions
of the Gold code to identify the position of the transmitter time
Fig. 1. Structure of the data packet of the proposed wireless sensor network reference. This operation can fail, as the received pulse is inte-
communication link. grated over a time window, , which is much shorter than the
pulse repetition period (1/PRF), such that the transmitter and re-
ceiver operation could possibly have no overlap in time. If this
a modulation format gives the opportunity of implementing occurs, the receiver time reference is delayed by ns,
a non-coherent receiver architecture, that does not need any and the synchronization procedure is repeated. This process is
power hungry local oscillator or high resolution ADC. In fact, iterated up to 14 times, until the entire pulse repetition period
the receiver just needs a voltage comparator, as described in is explored. The number of repetitions of the Gold code in the
Section III-B. To guarantee an uncoded BER , the preamble is thus explained: 15 words is the minimum required
PPM modulation requires dB, where is to implement the algorithm, while four repetitions are taken as
the receiver input referred noise PSD [13]. Assuming antennas a margin in case the transmission begins ahead of the receiver
with dB gain, and recalling that the path loss can be wake-up. Once one correlator flags the correct acquisition of the
estimated to be about dB at 8 GHz [14], the receiver Gold code, the receiver aligns itself to the transmitter clock and
noise figure can be as high as 20 dB for the com- looks for the inverted Gold code sequence. When the latter is
munication range to be in excess of 3 m. This is convenient, eventually found, the reception of the payload begins.
as non-coherent receivers tend to be more noisy than their The drawback of such a simplicity is that the synchronization
coherent counterpart due to the non-linear nature of the energy time resolution is equal to . This is usually not an issue, as
detector (see details in the Appendix). the pulse duration is much shorter than . However, it may
The link budget of the proposed system is summarized in happen that the received pulse partly lies outside the integra-
Table I, where is the link margin. It is worthwhile to un- tion window. This would impair the detection capability of the
derline again that once the pulse energy, on the transmitter side, receiver because some of the signal energy is not accounted
and the noise figure, on the receiver side, are set, the communi- for in the integration. The only way to preserve the receiver
cation range does not change as a function of the data rate. performance is to make the probability of these events negli-
gible, making larger. On the other hand, a larger integra-
B. Synchronization
tion window worsens the receiver performance because it in-
In order to maintain the low complexity nature of the trans- creases [13]. Following these considerations, we singled
ceiver, a simple and effective synchronization algorithm is out ns as a good design compromise.
required. We developed an algorithm similar to the one de- The performance of the synchronization algorithm in terms
scribed in [10]. It is based on a preamble with 19 repetitions of of probability of detection , i.e the probability that synchro-
a Gold code, sent at the pulse repetition frequency nization is correctly declared, has been assessed by using a be-
of MHz, followed by an inverted Gold code havioral model of the system similar to the one reported in [13].
sequence used to indicate the end of the synchronization phase. The position of the received pulse within an integration window
With this choice, all the data rates up to 5 Mb/s for the payload is modeled as a uniformly distributed random time shift. The
transmission can be accommodated without exceeding the FCC simulation result is reported in Fig. 2 as a function of . It can
mask limits. The structure of each data packet is reported in be observed that at the sensitivity level, i.e., aJ, the
Fig. 1. probability of detection is larger than 0.9, usually considered a
Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

SOLDÀ et al.: A 5 Mb/s UWB-IR TRANSCEIVER FRONT-END FOR WIRELESS SENSOR NETWORKS IN 0.13 m CMOS 1639

Fig. 3. Block diagram of the UWB-IR transmitter.

Fig. 5. Circuit schematic of the DCO.


Fig. 4. Block diagram of the non-coherent UWB-IR receiver front-end.

which depends on the duration of the DCO start-up transient.


threshold for the correct operation of the system [15]. Such a In order to reduce the energy consumption of the transmitter, it
result shows that the chosen 15 ns integration window makes is then important to minimize the oscillator start-up delay.
the exact position of the received pulse within an irrelevant Since the choice of non-coherent detection in the RX brings
parameter. the benefit of relaxed requirements on the accuracy of the carrier
phase and frequency, then the DCO has been implemented using
III. CIRCUIT-LEVEL DESIGN
an LC-tank differential oscillator whose start-up delay is kept
As discussed in Section II-A, the choice of the transceiver low by designing a tank with a moderately low quality factor
architecture was driven by the objective of minimizing the en- and by adding switches that force the initial transient, as
ergy budget required to transmit and receive a bit of informa- explained below. A solution based on a digital multi-loop ring
tion. The appropriate strategy devised to achieve this goal was oscillator was also considered, [16], but the propagation delay
then to increase the energy of the transmitted pulse as much as through the ring is much more dependent on process spread with
allowed by the regulations and consequently relax the receiver respect to the LC-product in the tank of the realized DCO.
specifications. Together with the options enabled by the wide- The DCO schematic is shown in Fig. 5. Transistors
band, pulsed nature of the UWB-IR communication scheme, act as switches that help the start-up transient by unbalancing
this strategy has a major impact on the circuit-level design of one of the oscillator output nodes towards ground and the other
both the transmitter and the receiver, whose block diagrams are toward . The digital control signals of the switches, ,
shown in Fig. 3 and Fig. 4, respectively. In general, it should be with , 6, 7, 8, are activated for a short time interval by
pointed out that both the pulsed TX and the non-coherent, en- the same trigger signal that enables the transmission of a pulse.
ergy-detection RX, do not require precise frequency references, Depending on the value of an external binary control signal
that are notoriously among the most power-demanding building SCR, either the pair and , or the pair and , is
blocks in coherent radio front-ends. Moreover when an impulse activated in order to switch on the corresponding transistors
radio is used, its inherent duty cycled nature can be exploited and or and , thus forcing the sign of the initial voltage
to further reduce the average power consumption by switching unbalance of the oscillator differential output. This feature allows
the RX and TX circuits off between the generation/detection of to implement scrambling of the pulse polarity simply by driving
successive pulses. As described below, the TX proposed in this the control signal SCR with a pseudo noise sequence. Scrambling
work is designed to minimize its duty cycle. suppresses the spectral lines that would otherwise be present in
the output PSD due to the periodicity of the TX output signal. The
A. Transmitter
suppression of the spectral lines increases the maximum pulse
The transmitter, depicted in Fig. 3, consists of a digitally- energy value compliant with the emission regulation for a given
controlled LC oscillator (DCO), which generates the carrier fre- PRF. Frequency tuning of the DCO is provided by means of a
quency roughly centered in the middle of the 7.25–8.5 GHz binary-weighted 5-bit capacitor bank realized with varactors,
frequency range, a frequency divider by 16 (prescaler),2 a which are digitally controlled. Because of the employed non-co-
simple triangular pulse generator, and a combined mixer and herent demodulation scheme, a precise frequency control for the
power amplifier (MXR-PA). The MXR-PA mixes the DCO DCO is not required. Yet some coarse frequency tuning/calibra-
signal and the triangular pulse producing a Gaussian pulse tion is to be performed at the start-up by means of, e.g., a FLL,
with central frequency and bandwidth GHz. The which is then turned off once the calibration is completed. Such a
duty-cycled operation is realized with the activation of the calibration loop has not been implemented in the reported design,
transmitter by a trigger signal (which allows a straightforward although the most critical block, namely the prescaler, is there,
implementation of PPM and OOK modulation) and then shut as it is the divider used to control the duration of the transmitted
off by a control signal generated by the frequency divider. pulse. In the measurements reported in Section IV the DCO has
Then, for each transmitted pulse, the circuit draws power for been manually tuned. The prescaler is realized by cascading four
16 times the carrier period , plus an additional delay dynamic T-flip-flops. The T-flip-flop is built as a ring of three
2The ratio between the pulse duration and the carrier frequency period static CMOS inverters, with the loop broken by two pass-gates,
is approximately equal to 16. one between the first and the second inverter, the other between
Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

1640 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 7, JULY 2011

Fig. 7. Equivalent model of the MXR-PA integrated matching network.

coupling factor, the turn ratio between primary and secondary


coils, is the load resistance, while and model
the losses of the primary and secondary coil, respectively. These
losses may significantly degrade the output power and the trans-
mitter efficiency, so a careful design of the matching network is
required. It can be shown [17] that the value of resulting in
the highest possible matching network efficiency is given by

(3)
Fig. 6. Circuit schematic of MXR-PA.

where is chosen such that , and and


the second and the third inverter. The pass-gates are driven by are the quality factor of the primary and secondary coil,
opposite phases of the digital input signal, that is either the respectively. The transformer was designed with a concentric
output of the previous flip-flop or, for the first flip-flop in the spiral winding layout [18] in the thick top metal, using itera-
cascade, the buffered output of the DCO. tively (3) to size the components, starting from an initial con-
The MXR-PA, whose schematic is shown in Fig. 6, generates servative estimate of and with the constraint
a Gaussian pulse by multiplying a triangular pulse and the car- on the transformer ratio . The parameters of the
rier coming from the DCO. The triangular pulse is generated by resulting design, further adjusted to compensate the effect of
means of two inverters in cascade designed with slow rising and parasitics and of the bond wire inductance, are ,
falling edges and driven by the prescaler output. Consequently nH and fF, , and , that cor-
the pulse completes a rising and a falling transition in 16 DCO respond to a theoretical matching network efficiency of 65%.
oscillations, featuring a full swing between ground and . The parallel capacitor was sized to resonate the primary coil
The pulse drives the gate of , while transistors act inductance at , resulting into a value of 4.25 pF, of which
as switches driven by a buffered replica of the DCO output sig- roughly 2.45 pF contributed by the parasitic capacitance of the
nals and . In order to reduce the power consump- MXR-PA output nodes, and the remaining 1.8 pF realized using
tion of the DCO buffers, a 900 pH inductor is connected in MIM capacitors. An electrical lumped model of the transformer
parallel to the MXR-PA input capacitance to resonate it at the [19] to be used in circuit simulations has been derived from the
transmitter central frequency. As a result, the buffer power con- physical layout with the aid of a 2.5D electromagnetic simulator.
sumption is just the 1% of the total power dissipated by the TX.
It is worth to notice how, at the end of the triangular pulse, the B. Receiver
MXR-PA turns off and stays off until a new triangular pulse and The main specifications of the receiver have been derived
carrier signal are produced by the divider and the DCO. As this in Section II-A: a noise figure of 20 dB, PPM demodulation
happens only when a new pulse trigger is delivered to the TX, capability, bandwidth from 7.25 to 8.5 GHz, input matching
the MXR-PA, as the DCO and the divider, draws power only for to a single-ended, 50 antenna. On top of that, to enhance
the duration of each pulse, thus taking maximum advantage of the robustness of the receiver to substrate and supply noise, a
the duty-cycled nature of the UWB-IR. fully-differential topology for the gain stages has been speci-
The MXR-PA must deliver the Gaussian pulses to a 50 fied. The design target is to minimize power consumption. The
single-ended antenna with the maximum efficiency and the de- specifications are affordable for a non-coherent receiver, whose
sired pulse energy. As discussed in Section II-A, the transmitted block diagram is shown in Fig. 4. The core of the receiver is
pulse energy we target is around 18.5 pJ, corresponding to a the energy detector, composed by a block, from now on called
peak-to-peak voltage swing of about 3 V at 50 antenna ter- squarer, whose output current is proportional to the square of the
minal. On the other hand, the maximum peak-to-peak voltage differential voltage at its input, by a windowed integrator, and
at the MXR-PA differential output is about 1.6 V with a supply by a voltage comparator. A low-noise amplifier (LNA) and a
voltage , which implies that a 1: impedance trans- variable-gain amplifier (VGA) have been included ahead of the
formation with is required, together with a differential to energy detector to relax its noise requirements and to increase
single-ended conversion. Both goals can be achieved with a res- the dynamic range of the receiver.
onant matching network based on a monolithic transformer with The LNA, shown on the left side of Fig. 8, features a differen-
a parallel capacitor on the primary coil and a capacitor in tial topology with inductive source degeneration and LC reso-
series with the secondary coil, as shown in Fig. 6. In Fig. 7, an nant load. The single-ended-to-differential signal conversion at
equivalent model of the network is reported: is the magnetic the LNA input is realized by an integrated transformer. The flux
Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

SOLDÀ et al.: A 5 Mb/s UWB-IR TRANSCEIVER FRONT-END FOR WIRELESS SENSOR NETWORKS IN 0.13 m CMOS 1641

Fig. 8. Circuit schematic of the LNA and VGA.

Fig. 9. Circuit schematic of the energy detector (squarer and integrator).

leakage inductances of the transformer are used together with a concentric spiral winding layout in the thick top metal in order
the LNA RLC-series input impedance and the additional capac- to minimize the parasitic resistance that would be critical for
itor in parallel with the transformer primary coil to realize a noise. The simulated noise figure for the LNA and VGA cascade
wideband input matching network with a ladder topology [20]. is around 7 dB and is almost insensitive to the VGA gain setting.
The VGA, illustrated on the right side of Fig. 8, is realized as The nominal current consumption of the LNA and VGA is 1.25
the cascade of two differential common-source stages with an mA, plus an additional 0.25 mA for biasing.
LC resonant load. The two stages are stacked so that they reuse The circuit schematic of the squarer is reported on the left side
the same bias current. Gain programmability is implemented in of Fig. 9 [13], [21]. Although a passive mixer is sometimes pro-
the second stage by adding the two transistors and in posed to realize this block [3], in this case an active circuit has
parallel with the stage drivers and . and can been preferred in order to introduce some conversion gain. As-
be independently turned on by two digital control signals suming for simplicity an ideal long-channel current to voltage
and connected directly to their gates, thus subtracting bias characteristic, the differential input voltage can
current to the drivers and, consequently, reducing the stage gain. be expressed as
The resulting combined gain of the LNA and VGA cascade can
then be set to 30, 27, 23, or 21 dB. (4)
In order to save area, the VGA inductors layout has
been realized using stacked coils, as opposed to the inductors where , and are the electron mobility
and in the LNA, and the input transformer T, that feature and gate capacitance, respectively, is the form factor of
Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

1642 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 7, JULY 2011

transistors and . Since the drain current of M3 can be


expressed as , (4) transforms in

(5)

Hence the drain current of M1 can be expressed as

(6)

Applying a similar analysis to transistors M2–M4, one obtains

(7)

Combining (6) and (7), the sum of the two drain currents be- Fig. 10. Micrograph of the chip. Die area is mm including the pads.
comes proportional to the square of the input signal, as reported
in (8):
these trade-offs, and a bias current budget lower than 1.5 mA,
(8) we set , and m m,
which approximately corresponds to .
Although (8) does not highlight any dependence on the bias cur- The current has to be integrated in order to detect the
rent , once an optimal current density for the transistors has energy received in a given time span. This operation is per-
been singled out, the squarer conversion gain results to be formed with the integrator shown on the right side of Fig. 9: it
proportional to the bias current , posing a design trade-off is composed of a cascode common-source amplifier acting as a
between gain and power consumption. Another critical issue transimpedance amplifier, with a capacitive feedback. During
from the perspective of power efficiency is the size of transis- the reset phase (signal is high), the integrating capacitors
tors and , which must be times larger than and are discharged and the amplifier sets its bias point. During
, consuming an times larger current. These transistors act phase , the current from the squarer flows through capacitor
as voltage followers: has to shift the source of and hence it is integrated over a time window of duration
by , hence the drain current of is proportional to ns; similarly, during phase the current is inte-
, squared. Notice that without the use of and grated on . Therefore the voltage difference stored on
the squarer topology would have to be a pseudo differential one, the capacitors is proportional to the differential energy received
making the circuit sensitive to common-mode disturbances at in the two time spans:
its input. Moreover, the drain current of each half circuit would
now be proportional to squared, with an overall decrease
by a factor 1/4 of the conversion gain for a given coefficient
in (8).
As discussed in more detail in [13], the transfer function from (10)
the gate of to its source is
where and are the received energy allocated in the time
window corresponding to a transmitted 1 and 0 respectively.
(9) Therefore a simple comparator driven by and can de-
tect which between and is largest and hence perform the
PPM demodulation. It is worth considering that after the squarer
the desired signal has been downconverted, therefore the band-
where is the total width specification for the integrator is less demanding than for
capacitance at the output node (source of ) and the other blocks in the front-end. Thus, a bias current as low as
. The pole in (9) must be at a higher frequency with 175 is enough.
respect to the upper limit of the signal bandwidth (i.e., 8.5 GHz),
in order to avoid an equivalent filtering effect on . Consid-
ering that, at fixed current density, , the larger IV. MEASUREMENT RESULTS
, the more the DC gain in (9) approximates unity, although A prototype of the transceiver was realized in a 0.13 m
this comes at the price of higher consumption. On the con- CMOS technology with 8 metal layers and thick top metal for
trary, the bandwidth is basically insensitive to , because both high-Q inductors. A micrograph of the chip is shown in Fig. 10.
and contain terms proportional to that Measurements on test structures revealed a transistor threshold
become dominant for values of greater than 3. Considering 22% higher than predicted by the foundry models in the worst
Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

SOLDÀ et al.: A 5 Mb/s UWB-IR TRANSCEIVER FRONT-END FOR WIRELESS SENSOR NETWORKS IN 0.13 m CMOS 1643

Fig. 13. Measured DCO tuning range and corresponding TX continuous-wave


power.
Fig. 11. Pulse waveform measured at the TX output.

of the TX. In our case, such a control has not been implemented:
a careful design supported by corner and Monte Carlo simula-
tions turned out to be sufficient, as shown by Fig. 12.
For testing purposes, the TX can be configured to generate
a continuous-wave output by locking the gate of in Fig. 6
to . This configuration allows to measure the tuning range
of the DCO and the continuous-wave power generated by the
TX. The result of the measurements is reported in Fig. 13: the
TX is able to output as much as 11.4 dBm over a 3-dB band-
width larger than 2 GHz; the carrier frequency can span from
6.6 to 9 GHz in 80 MHz steps, showing a 31% tuning range for
the DCO.
A performance comparison with other recent UWB-IR trans-
mitter designs is reported in Table II. A meaningful compar-
ison with other UWB-IR transmitters must include the pulse
Fig. 12. Measured PSD of a transmitted pulse train at MHz (dashed
line) and MHz (solid line); in the latter case the PSD is reported energy at the antenna, , since it is the parameter that sets
without (gray) or with (black) the scrambling operation enabled. the communication range for a given noise performance of the
receiver, as discussed in Section II. Unfortunately, most of the
papers on UWB-IR transmitters do not report the transmitted
case corner. As a consequence, the chip performance was as- pulse energy, and rather focus on the energy consumed to gen-
sessed at a voltage supply , that is 10% higher erate a pulse, . It is important to stress that, given two
than the nominal. transmitters with the same energy consumption, but different
A TX pulse waveform is reported in Fig. 11. The corre- efficiency , the one characterized by the largest can
sponding pulse energy is pJ, just 1.5 dB lower cover a given communication range with a less sensitive, and
than the target specification discussed in Section II-A, while thus less power hungry, receiver. In order to include in the
the total energy dissipated by the TX to generate the pulse comparison of UWB-IR transmitters, had to be estimated
is pJ/pulse. Thus the transmitter features an from the experimental data available in the papers. For [4]–[6],
efficiency . the transmitted pulse energy was derived based on the reported
The spectrum of a pulse train measured at MHz time domain pulse measurements. In [9]–[12], the PSD of the
(dashed line) and MHz (solid line) is reported in transmitted spectrum is shown. Thus, in this case, the pulse en-
Fig. 12. The expected PSD scaling with the PRF is clearly ob- ergy was obtained by integrating the PSD over the pulse band-
served. The spectrum measured at PRF=5 MHz is shown both in width, divided by the PRF at which the spectrum was mea-
the case the scrambling of the pulse polarity with a pseudo-noise sured. Table II shows that the presented design outperforms
sequence is disabled (solid grey trace) and when it is enabled state-of-the-art UWB-IR transmitters by a factor greater than 2
(solid black trace). In the latter case, the spectral lines typical of in terms of efficiency.
a periodic waveform disappear, and the PSD of the transmitted The RX input matching is shown in Fig. 14. The input re-
signal is compliant to the FCC mask limits with some margin. In flection coefficient is dB roughly between 7.1 and
a typical WSN, the data rate may be somewhere between 1 kb/s 8 GHz. Compared to simulations, the passband of the LNA
and 1 Mb/s. For such rates (or lower rates), the TX spectrum turned out to be shifted towards lower frequencies by about
is safely within the FCC mask. For higher rates, some form of 400 MHz. Consequently, the TX was also manually tuned down
power control loop could be required to enhance the robustness by 400 MHz during the tests.
Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

1644 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 7, JULY 2011

TABLE II
PERFORMANCE SUMMARY OF UWB-IR TRANSMITTERS

gain can be decreased by 8, 18 or 21 dB by reducing the VGA


gain.3
The RX sensitivity has been assessed by measuring the link
BER. The data rate has been initially set to kb/s as
suggested in [3] to measure the normalized sensitivity4 .
Fig. 16 shows the BER versus the receiver input power is this
testing scenario. The measured sensitivity for a BER is
dBm. The RX sensitivity has also been assessed
at Mb/s. The result, reported in Fig. 16, shows that, as
expected, the sensitivity scales to 70 dBm, proportionally to
the increase in the data rate. The receiver robustness towards
the interferers has been checked: it takes a 12 dBm blocker at
5.4 GHz to increase the BER from to , while blockers
at 2.4 GHz cause no decrease in the system performance.
The measured RX power consumption is 4.2 mW. The mea-
Fig. 14. Measured receiver input matching .
sured leakage current is as low as 350 nA when the bias cur-
rents of the LNA, VGA, squarer and integrator are turned off.
The maximum rate at which the RX can operate is higher than
5 Mb/s, the limit set by the TX compliance to the FCC mask. The
maximum data rate at which the RX operation could be assessed
is 27.8 Mb/s. At this data rate the RX is always on and the cor-
responding energy consumption is 150 pJ/b. At lower data rates
the receiver is intended to be duty-cycled, a feature that would
make the energy consumption independent on the data rate, but
that has not been implemented in the reported receiver. Simu-
lations show that the wideband LNA, VGA and squarer can be
quickly turned on and off and that the above energy/bit figure
should not be difficult to attain. The RX energy consumption at
5 Mb/s is 840 pJ/b without duty-cycling, a result in line with the
state-of-the-art.
The RX performance has been compared to that of a few re-
cent designs in Table III. Clearly, the choice of relaxing the re-
Fig. 15. Measured receiver conversion gain.
ceiver noise performance pays off in terms of power and energy
consumption. Nonetheless, the proposed receiver shows a sen-
sitivity comparable to the state-of-the-art.
Since the RX operation is based on energy detection, the
3Recall that because of the squarer operation a variation of 1 dB in the VGA
most useful figure to characterize its conversion gain is the ratio
gain corresponds to a variation of 2 dB in the conversion gain of the receiver.
between the voltage sampled at the integrator output and the
4As discussed in Section II, in an IR the sensitivity defined as the average
energy, measured at the RX input, of the pulse that generated input power for a given BER scales proportionally to the data rate, thus
the RX voltage response. This figure was measured at different two RX exhibiting a sensitivity of 80 dBm at 1 Mb/s and 70 dBm at 10
pulse energies for two values of the current drawn by the LNA Mb/s, respectively, are actually featuring the same perfomance in terms of com-
munication range. The normalized sensitivity defined as
and VGA, the nominal value (1.25 mA) and an increased value where is the data rate at which was measured, is then the right figure
(1.5 mA): The results are reported in Fig. 15. The conversion to compare the performance of different receivers.
Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

SOLDÀ et al.: A 5 Mb/s UWB-IR TRANSCEIVER FRONT-END FOR WIRELESS SENSOR NETWORKS IN 0.13 m CMOS 1645

TABLE III
PERFORMANCE SUMMARY AND COMPARISON OF UWB-IR RX

Fig. 16. Measured BER at kb/s and at Mb/s. Fig. 17. Calculated receiver noise figure as a function of additional VGA gain
.

The measured receiver sensitivity dBm at maximum attainable data rate. Worse than that, the energy spent
kb/s implies a minimum energy of each received pulse by the link per bit would be 100 times higher. In general, the ap-
aJ, in excellent agreement with the circuit-level simulations proach of transmitting more pulses per bit does not seem to be
of the receiver. Given that the measured energy of the trans- an efficient one in terms of energy consumption, as this example
mitted pulse is pJ and that the antenna gain consid- illustrates.
ered in the link budget calculations (see Table I) is dB, To improve the communication range, a better approach
then a line-of-sight link of 3 m can be attained with a margin would be to decrease the receiver noise figure . As de-
of dB. As already mentioned, the communication tailed in the Appendix, the energy detector has a strong impact
range is independent of the actual data rate up to the maximum on , despite the LNA and VGA provide as much as
Mb/s allowed by the spectral regulations. 30 dB gain. Assuming to add another amplifier stage just after
the VGA without impairing the noise figure of the amplifier
V. FURTHER EXTENDING THE COMMUNICATION RANGE cascade, (13) and (14) can be used to find out the additional
As discussed in Section II and experimentally confirmed in gain required to lower the receiver noise figure by a given
Section IV, the proposed transceiver is tailored to cover a com- amount. The result is shown in Fig. 17: with dB the
munication range of 3 m. It is interesting, however, to investi- receiver noise figure is lowered by more than 10 dB, which is
gate ways to expand its operation range, e.g., to 10 m. the requirement for the communication range to be in excess of
One possibility of achieving this goal is to make use of some 10 m. The penalty in the receiver energy consumption due to
processing gain, that is to transmit multiple pulses per bit this additional block is estimated to be about 25%, which would
at the expense of a reduced data rate. If the received pulses are not sensibly impair the energy consumption performance of the
summed coherently before the demodulation is performed, a proposed transceiver.
processing gain as large as is achieved. However,
since in our receiver the ADC is substituted by a simple 1-bit VI. CONCLUSION
comparator, such an operation cannot be performed. A simple by A fully integrated UWB-IR CMOS transceiver front-end
majority decision rule can instead be applied to the demodulated is presented. The transmitter outputs Gaussian pulses with
pulses, yielding a reduced processing gain of . 2.2 V peak-to-peak amplitude, and 1.25 GHz bandwidth,
Thus, in our case would be needed to expand the corresponding to a transmitted energy of 13 pJ/pulse. The link
communication range to 10 m, with a strong decrease of the supports data rates up to 5 Mb/s covering ranges in excess
Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

1646 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 7, JULY 2011

The receiver noise figure is eventually calculated as

(14)

where is given by (13).


Fig. 18. Equivalent model for the calculation of the receiver noise figure. There are two important things to notice: First, even if the re-
ceiver is totally noiseless the signal-to-noise ratio degrades by
to 3 m, despite the non-coherent receiver shows a relatively 6 dB as the input signal is demodulated. This is due to the non-
high 20 dB noise figure. The choice of the 7.25–8.5 GHz band linearity of the energy detector. As shown in (14), we prefer to
for the communication results in a high robustness towards define the receiver noise factor keeping this 6 dB degrada-
narrowband interferers, thus compensating the disadvantage tion out. As a consequence, the parameter reported in
of a higher path loss. The energy efficiency achieved by the Section II-A is related to as , while, ob-
transmitter, i.e. 186 pJ/b to transmit one bit of information, and viously, . Second, unlikely the common linear
the limited power consumption of the receiver, i.e. 4.2 mW, case, the noise figure of the receiver does not depend only on the
demonstrate that the approach of demanding more energy per gain and the noise of the receiver building blocks, but it depends
pulse at the transmitter to relax the receiver noise performance also on the BER performance (i.e ) at which it is calculated,
is effective. Despite some digital control and calibration loops as clearly shown by (13) and (14).
are still missing in the presented design, the reported results
give favorable indications about the feasibility of a sub-nJ/b REFERENCES
short-range radio link. [1] J. Rabaey, J. Ammer, B. Otis, F. Burghardt, Y. Chee, N. Pletcher, M.
Sheets, and H. Qin, “Ultra-low-power design,” IEEE Circuits Dev.
APPENDIX Mag., vol. 22, no. 4, pp. 23–29, Jul. 2006.
[2] B. Cook, S. Lanzisera, and K. Pister, “SoC issues for RF smart dust,”
The proposed system is based on the energy detection, which Proc. IEEE, vol. 94, no. 6, pp. 1177–1196, Jun. 2006.
[3] D. Daly, P. Mercier, M. Bhardwaj, A. Stone, Z. Aldworth, T. Daniel,
makes the front-end non-linear. As a consequence, the compu- J. Voldman, J. Hildebrand, and A. Chandrakasan, “A pulsed UWB re-
tation of the receiver noise figure is not straightforward, and the ceiver SoC for insect motion control,” IEEE J. Solid-State Circuits, vol.
classic Friis formula does not apply. 45, no. 1, pp. 153–166, Jan. 2010.
[4] M. Crepaldi, L. Chen, K. Dronson, J. Fernandes, and P. Kinget, “An
To derive the noise figure of the receiver, we make use of the ultra-low-power interference-robust IR-UWB transceiver chipset using
equivalent model shown in Fig. 18. Since the comparator takes self-synchronizing OOK modulation,” in IEEE ISSCC Dig. Tech. Pa-
its decision on a sample of the signal output by the integrator, it pers, 2010, pp. 226–227.
[5] M. Baghaei-Nejad, D. Mendoza, Z. Zou, S. Radiom, G. Gielen, L.-R.
is possible to work with signals sampled at the PRF as follows: Zheng, and H. Tenhunen, “A remote-powered RFID tag with 10 MB/s
in Fig. 18 is the sample of the output desired signal, while UWB uplink and 18.5 dBm sensitivity UHF downlink in 0.18 m
is the sample of the output noise. The sample of the noise CMOS,” in IEEE ISSCC Dig. Tech. Papers, 2009, pp. 198–199.
[6] Y. J. Zheng, S.-X. Diao, C.-W. Ang, Y. Gao, F.-C. Choong, Z. Chen,
due to all the circuits after the squarer is represented by , X. Liu, Y.-S. Wang, X.-J. Yuan, and C. H. Heng, “A 0.92/5.3 nJ/b
while and are the noise of the channel and of the LNA UWB impulse radio SoC for communication and localization,” in IEEE
plus VGA, respectively. The input desired signal is . The ISSCC Dig. Tech. Papers, 2010, pp. 230–231.
[7] W. Hirt, “The european UWB radio regulatory and standards frame-
receiver can thus be simply described by an ideal squarer with work: Overview and implications,” in Proc. IEEE Int. Conf. Ultra-
conversion gain , defined as in Section IV. Wideband, 2007, pp. 733–738.
The input signal-to-noise ratio , where [8] D. Lachartre, B. Denis, D. Morche, L. Ouvry, M. Pezzin, B. Piaget,
J. Prouvee, and P. Vincent, “A 1.1 nJ/b 802.15.4a-compliant fully in-
is the expected value, is tegrated UWB transceiver in 0.13 m CMOS,” in IEEE ISSCC Dig.
Tech. Papers, 2009, pp. 312–313.
(11) [9] M. U. Nair, Y. Zheng, C. W. Ang, Y. Lian, X. Yuan, and C.-H. Heng,
“A low SIR impulse-UWB transceiver utilizing chirp FSK in 0.18 m
being the PSD of the noise of the channel, i.e., CMOS,” IEEE J. Solid-State Circuits, vol. 45, no. 11, pp. 2388–2403,
dBm/Hz. The output signal-to-noise ratio Nov. 2010.
[10] D. D. Wentzloff and A. P. Chandrakasan, “A 47 pJ/pulse 3.1-to-5 GHz
, instead, results all-digital UWB transmitter in 90 nm CMOS,” in IEEE ISSCC Dig.
Tech. Papers, 2007, pp. 118–119.
[11] P. Mercier, D. Daly, and A. Chandrakasan, “An energy-efficient
all-digital UWB transmitter employing dual capacitively-coupled
pulse-shaping drivers,” IEEE J. Solid-State Circuits, vol. 44, no. 6, pp.
1679–1688, Jun. 2009.
[12] J. Ryckaert, G. Van der Plas, V. De Heyn, C. Desset, B. Van Poucke,
(12) and J. Craninckx, “A 0.65-to-1.4 nJ/burst 3-to-10 GHz UWB all-digital
TX in 90 nm CMOS for IEEE 802.15.4a,” IEEE J. Solid-State Circuits,
where , and is the noise factor of the LNA vol. 42, no. 12, pp. 2860–2869, Dec. 2007.
[13] A. Gerosa, S. Soldà, A. Bevilacqua, D. Vogrig, and A. Neviani, “An
plus VGA cascade. For the receiver to show a target BER, we energy-detector for noncoherent impulse-radio UWB receivers,” IEEE
need a certain . Assuming that the latter is such that Trans. Circuits Syst. I: Reg. Papers, vol. 56, no. 5, pp. 1030–1040,
, we solve (12) for the receiver sensitivity: 2009.
[14] S. S. Ghassemzadeh, R. Jana, C. W. Rice, W. Turin, and V. Tarokh,
“Measurement and modeling of an ultra-wide bandwidth indoor
(13) channel,” IEEE Trans. Commun., vol. 52, no. 10, pp. 1786–1786,
2004.
Downloaded from http://iranpaper.ir
http://www.itrans24.com/landing1.html

SOLDÀ et al.: A 5 Mb/s UWB-IR TRANSCEIVER FRONT-END FOR WIRELESS SENSOR NETWORKS IN 0.13 m CMOS 1647

[15] V. Sze, R. Blazquez, M. Bhardwaj, and A. Chandrakasan, “An en- Andrea Bevilacqua (S’02–M’05) received the
ergy efficient sub-threshold baseband processor architecture for pulsed Laurea and Ph.D. degrees in electronics engineering
ultra-wideband communications,” in Proc. IEEE Int. Conf. Acoustics, from the University of Padova, Italy, in 2000 and
Speech and Signal Processing, 2006, vol. 3, pp. 908–911. 2004, respectively.
[16] A. Gerosa, S. Soldà, A. Bevilacqua, D. Vogrig, and A. Neviani, “A From 1999 to 2000, he was an intern with Infi-
digitally programmable ring oscillator in the UWB range,” in Proc. neon Technologies, Munich, Germany. In 2001, he
IEEE Int. Symp. Circuits and Systems (ISCAS), 2010, pp. 1101–1104. visited the Microelectronics Laboratory of the Uni-
[17] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Distributed active versity of Pavia, Italy. From 2002 to 2003, he was
transformer new power-combining and impedance-transformation a Visiting Scholar with the University of California,
technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. Berkeley. Presently, he is an Assistant Professor with
316–331, 2002. the Department of Information Engineering, Univer-
[18] S. S. Mohan, C. P. Yue, M. del Mar Hershenson, S. S. Wong, and T. sity of Padova, Italy. His current research interests include the design of RF/mi-
H. Lee, “Modeling and characterization of on-chip transformers,” in crowave integrated circuits and the analysis of radars and wireless communica-
IEEE Int. Electron Device Meeting (IEDM), 1998, pp. 531–534. tion systems.
[19] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE Dr. Bevilacqua serves as a member of the Technical Program Committee of
J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, 2000. the IEEE European Solid-State Circuits Conference and of the IEEE Interna-
[20] A. Vallese, A. Bevilacqua, C. Sandner, M. Tiebout, A. Gerosa, and A. tional Conference on Ultra-Wideband. He is an Associate Editor of the IEEE
Neviani, “Analysis and design of an integrated notch filter for the re- TRANSACTIONS ON CIRCUITS AND SYSTEMS II.
jection of interference in UWB systems,” IEEE J. Solid-State Circuits,
vol. 44, no. 2, pp. 331–343, 2009.
[21] A. Demosthenous and M. Panovic, “Low-voltage MOS linear
transconductor/squarer and four-quadrant multiplier for analog Andrea Gerosa (M’99–SM’07) received the M.S.
VLSI,” IEEE Trans. Circuits Syst. I: Reg. Papers, vol. 52, no. 9, pp. and Ph.D. degrees in electrical engineering in 1995
1721–1731, 2005. and 1998, respectively, from the University of
Padova, Italy, where he is now Associate Professor.
Dr. Gerosa is a senior member of the IEEE and
has published more than 70 papers in international
journals or conference proceedings. His performed
research activities are within the area of analog
and mixed integrated circuits, for high-frequency,
low-noise and low-power applications. Currently he
is working on UWB transceivers and radars.

Daniele Vogrig (M’02) was born in Cividale del


Friuli (Udine), Italy, in 1976. He received the
Silvia Soldà (S’06–M’10) received the Laurea Laurea degree in electronics engineering and the
degree (summa cum laude) and the Ph.D. degree in Ph.D. degree in electronics and telecommunication
electronic and telecommunication engineering from engineering from the University of Padova, Padova,
the University of Padova, Italy, in 1999 and 2009, Italy, in 2001 and 2005, respectively.
respectively. He is currently an Assistant Professor with the Uni-
In 2000, she joined ST Microelectronics, Milan, versity of Padova. His research interests mainly cover
Italy, as an analog design engineer within the the design of CMOS integrated circuits for analog
Memory Product Division, where she was involved and digital signal processing. He is also involved in
in the design of Flash memories for telecom appli- the design of some FPGA projects for various types
cations. In 2001, she moved to ST Microelectronics of applications.
Wireline Division, where she worked at the design
and testing of BiCMOS and CMOS circuits for signal processing in cooperation
with Tioga Technology, Israel, and the University of Ghent, Belgium. In 2004,
she joined the Automotive Power Division of Infineon Technologies Italia,
Padova, where she has been responsible of the development of high-voltage Andrea Neviani (M’05) received the Laurea degree
ICs for automotive applications. (cum laude) in physics from the University of
She is currently with the University of Padova as a Post-Doc research fellow. Modena, Italy, in 1989, and the Ph.D. degree in
She is mainly interested in the design of analog and mixed-signal CMOS circuits electronics and telecommunication engineering from
for analog signal processing. In particular, her work focuses on the analog imple- the University of Padova, Italy, in 1994. He was an
mentation of error-control decoders and on RF circuits for UWB applications. EAP graduate student at the University of California,
Santa Barbara, in 1994.
From 1994 to 1998, he was a Research Associate
at the University of Padova, where, since November
1998, he holds an Associate Professor position. From
Michele Caruso received the B.Eng. and M.Eng. de- November 1998 to November 1999, he was a vis-
grees in electronic engineering from the University of iting engineer at Rutherford Appleton Laboratory, Oxfordshire, UK. In his early
Padova, Italy, in 2005 and 2007, respectively. From career, he worked on numerical simulation, modelling and characterization of
2009 to 2010, he worked on low-power RF trans- compound semiconductor devices for high frequency applications, and on the
ceivers at the University of Padova as a collaborator. study of methods for the statistical simulation of VLSI circuits. At present, his
He is currently working towards the Ph.D. degree at main interest is the design of RF integrated circuits for communication and radar
the University of Padova. applications, and mixed-signal circuits for biomedical applications. In his ca-
His main research focuses on the design of reer, he has been co-author of about 100 journal articles and conference papers.
RF transceiver front-ends and ultra-wideband mi- Dr. Neviani serves as an Associate Editor of the IEEE TRANSACTIONS ON
crowave radars. CIRCUITS AND SYSTEMS I.

Вам также может понравиться