Вы находитесь на странице: 1из 48

Université Hassan II de Casablanca

Faculté science ben m'sik

Filière sciences de la matière


physique

Année universitaire 2017-2018


Mémoire de Projet de Fin d’études
(Licence de Physique, Parcours : Electronique)

La conception et réalisation
d’un clavier à un seul bouton
Présente par :
EZAIER YASSIN
IMAHLI MOHAMMED
OUCHRAA ABDERRAHIM

Lundi 28 MAI 2018 devant le jury compose de :

PR :A.HAMDOUN……………………………………………………………………………………………… Encadrant

PR :A.Guennoun……………………………………………………………………………………………....

PR : M.benrabeh………………………………………………………………………………………………..

Page 1
Sommaire
REMERCIEMENT……………………………………………………………………………………5

GENERALITE………………………………………………………………………………………….6

CAHIER DE CHARGE………………………………………………………………………………..7

CHAPITRE1 : GENERALITE………………………..

QUARTUS …………………………………………………………………………………...8

1-TRANSCODEUR …………………………………………………………………………14

2-Comparateur Logique : ………………………………………………………………15

3 Bascules …………………………………………………………………………………16

4-registre……………………………………………………………………………………17

5-compteur modulo10 ………………………………………………………………18

6-machine d’état…………………………………………………………………………19

CHAPITRE2 : Etude conceptuelle de projet…………………………………………………

1-TRANSCODEUR ……………………………………………………………………………20

2-compteur : ……………………………………………………………………………………25

4-diviseur de fréquence ………………………………………………………………28

3-registre………………………………………………………………………………………..29

5-comparateur ………………………………………………………………………………32

6-detecteur de double click………………………………………………………………35

7-chargement des registres ……………………………………………………………39

Liste des figures


Schéma global ………………………………………………………………………44-45

Conclusion………………………………………………………………………………46

Page 2
Des figure concernent quartus2…………………………………8

Figure4 : Symbole général d’un Transcodeur …………………….14


Figure 5: Afficheur sept segments………………………………15
Figure 6: symbole bascule D……………………………………16
Figure 7: symbole bascule T………………………………………16
Figure 8:Signal périodique clk……………………………………..17
Figure 9: Compteur modulant 10……………………………………………………18

Figure 10:Symbole de MOORE…………………………………………………19


Figure 11:Symbole de Transcodeur……………………………………………………20
Figure 12:schema-bloc de Transcodeur……………………………………………24
Figure 13 : simulation de Transcodeur……………………………………………25
Figure 14 : symbole de compteur………………………………………………………26.
Figure 15: schéma-block de compteur………………………………………………26
Figure 16 : simulation de compteur……………………………………………………27
Figure 17 : schéma-block de diviseur de fréquence ………………………………28
Figure 18 : simulation de Diviseur de fréquence ……………………………29
Figure 19: symbole de registre de bascule D ……………………………………………30

Figure 20: schéma-bloc d’un registre de 4 bascule de type D ....................31

Figure 21: simulation registre de bascule D ……………………………………..………32

Figure 22: symbole de comparateur…………………………………………………………..33.

Figure 23: schéma-bloc comparateur …………………………………………………………34

Figure 24: simulation de comparateur ……………………………………………………..35

Figure 25 : graph de détecteur double click ……………………………………………….36

Page 3
Figure 26 : schéma-block de détecteur double click …………………………………38
Figure 27 : simulation de détecteur double click ………………………………………38

Figure 28 : graph de chargement des registres ………………………………………..…39

Figure 29 : schéma-block de chargement des registres ………………………………42


………………………………………….
Figure 30 : simulation chargement des registres …………………………………………….43

Figure 31 : schéma global général……………………………………………………………45-44

Page 4
REMERCIEMENT
Nous remercions en premier lieu dieu qui nous a donné ce bien là et pour que
nous vivons ce jour et la force et la patience pour terminer ce travail .nous
tenons à exprimer nos profondes au département de physique laboratoire de
traitement l’informatique de l’université Hassan II de Casablanca qui a
profondes gratitudes pour tous les conseils et les encouragements qu’il nous
a prodigué pendant toute la durée de ce travail.

Nous voudrons remercier tout particulièrement notre professeures et les


chercheurs doctorant au département de physique .d’être présent pendant ce
travail par ses conseil et ses éclairements .nous remercions monsieur le
président de jury. Ainsi que les membres de jury d’avoir accepté de juger ce
travail nous remercions les personnes qui ont contribué de près ou de loin,
directement ou indirectement à l’aboutissement à ce travail, nous les
remercions tous, particulièrement nos familles et tous nos amis.

Page 5
Généralités
 La serrure est un système qui permet d’ouvrir ou de fermer une
porte. Elle marche par l’actionnement d’une clé, d’un code.
 La serrure codée est installée sur les portes d'entrée des
immeubles collectifs (hôtels, banques, résidences, salle de
conférence ... ).
Ceci permet de limiter l'accès à ces locaux aux seules personnes
munies du code d'entrée. Celui-ci est constitué de quatre
caractères alphanumériques. Le code en usage peut être modifié
s'il est trop divulgué.

Page 6
Cahier de charge

Les serrures électroniques ont souvent un clavier qui contient 12 touches, 10

touches pour saisir les chiffres de 0 à 9 et une touche pour effacer et l’autre pour

valider le code souhaité. Dans notre projet, on veut concevoir un clavier qui contient

juste un seul bouton poussoir. Ce bouton permet de faire défiler les chiffres de 0 à

9 et d’effacer les chiffres incorrects. Ce clavier contient aussi une LED verte qui

s’allume, si le code entrant est correct et une autre LED rouge qui s’allume si le

code entrant est incorrect. Il contient aussi un afficheur 7-segments qui affiche les

chiffres de 0 à 9.On choisit de travail par ce clavier d’un seul bouton parce que ne

prend pas un grand espace dans le lieu de l’utilisation.

Page 7
Chapitre 1: Généralités
QUARTUS II : QUARTUS II est un système de développement de composants
programmables de la société ALTERA. Il permet la gestion complète du processus de
la conception des systèmes numériques et leur implantation dans des composants
de type CPLD (Complex Programmable Logic Device) ou FPGA (Field Programmable
Gate Array). Ce système permet de faire une saisie graphique ou une description
HDL -Hardware Description Language- (VHDL – Very Hardware Description
Language- ou AHDL - Altera Hardware Description Language-) d'un circuit
numérique, d'en faire une simulation, une synthèse et une implémentation sur
composant reprogrammable.

1. Création d'un projet


QUARTUS II est un système de développement qui travaille sous forme de projets.
C'est-à-dire, qu'il gère une conception sous forme d'entités hiérarchiques. Un projet
est l'ensemble des fichiers d'un design (design = conception) que ce soit des saisies
graphiques, des fichiers VHDL ou bien encore des configurations de composants
(affectation de pins par exemple).

Page 8
Pour commencer, on cliquera sur: Démarrer—>Programmes—>Altera—>QUARTUS
II

La fenêtre suivante s'ouvre :

4. Compilation partielle et Simulation


Compilation partielle (Analyse et synthèse)
Une fois la description faite, il faut vérifier que cette description est correcte et pour
cela on utilise la phase de compilation. Durant cette phase, QUARTUS II suit plusieurs
étapes. Les plus importantes sont les suivantes :

L’analyse de la description par aux erreurs logiques, syntaxiques et/ou sémantiques


(Analysais)La transformation des descriptions graphiques et textuelles en une
structure électronique base de portes et de bascules : C'est la synthèse logique
(Synthèses).

Pour lancer la compilation partielle, cliquer sur Start Analysis & Synthesis dans
Processing, ou cliquer sur le bouton de raccourci du menu. Remarque :Pour pouvoir
faire la simulation du design, il n’est pas nécessaire de lancer la compilation complète
(voir Paragraphe 6.). Il suffit de lancer l’analyse et la synthèse (= compilation partielle).

Page 9
Lorsque la compilation partielle est faite sans erreurs, il est alors possible de vérifier

(simuler) le comportement logique du circuit conçu.

4.3 Simulation La simulation sert à vérifier le bon fonctionnement de la


description déjà faite.

Page 10
Pour lancer la simulation, il faut d’abord créer un nouveau fichier de type Vector
Waveform File (.vwf).

File —>New —>Vector Waveform File

Faire une sauvegarde du fichier par File —>Save as en lui donnant un nom
compréhensible.

Pour la simulation fonctionnelle. Aller sur Processing—> Simulator Tool et choisir le


mode de simulation fonctionnelle. Vérifier que le nom du fichier de simulation .vwf
se trouve dans la case Simulation Input du Simulator Tool.

Page 11
Lancer la simulation

Lancer la simulation avec le bouton Start du Simulator Tool et afficher les résultats
de la simulation avec le bouton Report du Simulator Tool. Analyser la sortie et vérifier
le bon fonctionnement du circuit.

A ce stade du projet, il peut être nécessaire de reprendre la phase de saisie de la


description pour corriger les erreurs.

Page 12
Définition des composants utilisés

Introduction :
Les circuits des machines électroniques modernes ont deux états d’équilibre 0 et 1.
Ils sont caractérisés par deux niveaux de tension ou de courant qui définissent un
signal logique d’un point à un autre. L’état de cette ligne est une variable logique à
deux valeurs: 0 et 1.Pour l’instant, nous n’allons pas nous intéresser au courant, à la
tension, au signal électrique...mais à l’état d’une ligne, à la valeur d’un signal
logique, à la valeur d’une variable logique. Pour cela, nous allons utiliser des circuits
logiques.
Les circuits logiques exécutent des opérations sur des variables logiques,
transportent et traitent des signaux logiques. Les circuits combinatoires qui sont des
circuits idéalisés où le temps de propagation des signaux n’est pas pris en
considération. Les signaux de sortie ne dépendent que des signaux d’entrée,
appliqués à l’instant considéré. Les circuits séquentiels qui sont des circuits où il faut
tenir compte du temps de propagation des signaux et de la mémoire du circuit. Les
signaux de sortie dépendent des signaux d’entrées appliqués antérieurement.

Page 13
1-TRANSCODEUR :

Les circuits combinatoires de transcodage (appelés aussi convertisseurs


de code), se répartissent en 3 catégories. Tous ces circuits logiques
transforment une information présente à leurs entrées sous une forme
donnée (code 1) en la même information présente à leurs sorties sous une
forme différente (code 2).

Information Même
Numérique Information
Codée dans le Codée dans le
CODE 1 CODE 2

Fig4 : Symbole général d’un circuit convertisseur de code

Afficheur sept segments.


L'afficheur 7 segments permet de présenter les nombres décimaux à partir de leur
code BCD
(Binaire Codé Décimal). Chaque chiffre décimal est représenté par quatre chiffres
binaires notés X0.X1.X2. Comme illustré par la figure suivante.

Page 14
X0
0
X1
X2

X3

Figure 5: Afficheur sept segments.

2- Comparateur Logique :
Un comparateur logique est un circuit logique qui effectue la comparaison entre 2
nombres binaires généralement notés A et B. IL possède 3 sorties
Notées A = B, qui indiquent le résultat de la comparaison comme suit:
Si le nombre A est égal au nombre B (A = B), la sortie A = B passe à l'état 1 tandis
que les sorties A > B et A < B passent à l'état 0 .

Table de vérité:
a b y
0 0 1
0 1 0
0 0 0
1 1 1
Toutes ces considérations sont traduites dans la table de vérité de la
Figure suivant :

Page 15
3-Bascules :
Introduction :
Les bascules sont très utilisées comme éléments de mémorisation de données au
d’information.

Bascule D sensible au front montant :


Comporte uniquement une entrée de donne D et deux sorties Q et /Q en peut dire
que la bascule D et transparente c'est-à-dire la valeur de l’entrée D est passe a la
sortie Q sans changement a chaque front montant d’horloge.

Symbole : Table de vérité :

D q D Q+

clock q 0 0
RESET
1 1 SET
SET
Figure 6: symbole bascule D.
Bascule T sensible au front montant
C’est une bascule qui change d’état à chaque front actif de l’horloge,
Sinon elle mémorise l’ancienne valeur de q.

Symbole : Table de vérité

T q T q+

0 q Mémorisation
Clock q
1 /q Basculement si clock
actif
Figure 7: symbole bascule T.

Page 16
On a le front montant comme front actif

Figure 8:Signal périodique de clock .

On constate que la fréquence de q est la moitié de celle de l’horloge.

Une bascule T peut être utilisée comme diviseur de fréquences.

4-Registre :
Un registre est d'abord un ensemble de cases ou cellules mémoire capables de
stocker une information (≡un mot binaire). La position des cases mémoire entre
elles est responsable de l'ordre des chiffres, c'est à dire de la structure de
l'information. Dans le système binaire, une case mémoire est définie à l'aide d'une
bascule. Alors Un registre est un circuit séquentiel qui sert à mémoriser des données.

4-1 Fonctionnement
Un registre sert à mémoriser un mot ou un nombre binaire. Le schéma d'un tel
système comporte autant de bascule type D que d'éléments binaires à mémoriser.
Toutes les bascules sont commandées par le même signal d'horloge.
Exemple : registre 4 bits
Fonctions Chargement en mémoire et Mémorisation

Page 17
.

5-Compteur modulant 10 :
On appelle compteur modulo N, un compteur qui compte de 0 à N-1. Le compteur
le plus utilisé est le compteur modulo 10 ou compteur à décade ou compteur DCB
(ou BCD). Il produit une séquence de 0 à 9.

Pour réaliser un tel compteur, on va prendre un compteur binaire et tronquer sa


séquence de sortie en effectuant une remise à 0 de toutes ses sorties de façon
prématurée.

Symbole de compteur intègre modulant 10 :

Figure 9: Compteur modulant 10.

74LS160 : C’est un compteur décimal à 4 bits avec clear asynchrone et load


synchrone.

6-Réseaux séquentiels synchrones (Machines d’état)


L'automate de Moore est caractérisé par les équations suivantes:

Page 18
Yt = l(Qt) ; Qt+1 = d(Xt,Qt)

L'entrée n'agit pas directement sur la sortie.

Avantage
Pas de problème de synchronisation

Inconvénient
Pas aussi rapide que l'automate de Mealy.

Automate de Moore

Symbole :

 t
Y
t
X
 t+1
Q
t Mémoire
Q
Figure 10:Symbole de MOORE.

2°/ Etapes de la synthèse:


- Choisir le type d'automate

- Chercher le graphe

- Dresser la table de transition et de sortie

- Réduire le nombre des états

- Choisir le type des bascules

Page 19
- Coder les états

- Dresser la table codée

- Dresser la table d'excitation

- Trouver les fonctions d'excitation d

- Trouver les fonctions de sortie l - Tracer le circuit

Chapitre 2 :l'étude conceptuelle de notre projet

1-TRANSCODEUR:
On ne peut pas avoir les chiffres directement dans 7 segment ce pour cela nous
avons besoin un transcodeur pour converter le code BCD en code 7segment.

-Symbole:

Figure 11:Symbole de Transcodeur.

Réalisation d’un transcodeur : Comme pour la réalisation de tous les


circuits en logique combinatoire, la conception d’un transcodeur passe
par les 3 étapes suivantes

Page 20
1-Ecriture de la table de vérité complète :

Variables d’entrée Variables de sortie Affichage


segments
X3 X2 X1 X0 g f e d c b a
0 0 0 0 0 1 0 0 0 0 0 0

1 O O 0 1 1 1 1 1 0 0 1
2 0 0 1 0 0 1 0 0 1 0 0
3 0 0 1 1 0 1 1 0 0 0 0

4 0 1 0 0 0 0 1 1 0 0 1

5 0 1 0 1 0 0 0 0 0 1 0
6 0 1 1 0 0 0 1 0 0 1 0

7 0 1 1 1 1 1 0 1 0 0 0
8 1 0 0 0 0 0 1 0 0 0 0
9 1 0 0 1 0 0 0 0 0 0 0

10 1 0 1 0 0 0 0 0 1 1 0
11 1 0 1 1 0 0 0 0 1 1 0
12 1 1 0 0 0 0 0 0 1 1 0
13 1 1 0 1 0 0 0 0 1 1 0
14 1 1 1 0 0 0 0 0 1 1 0
15 1 1 1 1 0 0 0 0 1 1 0

Page 21
2-Recherche et simplification des équations logiques de chacune
des sorties.(SOP)
la fonction a : La fonction b :

a= /X3X2/X1/X0+/X3/X2/X1X0 b=X3X2+X3X1+X2X1/X0+X2/X1X0

La fonction c : La fonction d :

C=X3X2+X3X1+/X2X1/X0 d=/X3X2/X1/X0+/X3X2X1X0+/X3/X2/X1X0

Page 22
La fonction e La fonction f :

e=/X3X0+/X2/X1X0+/X3X2/X3 f=/X3X1X0+/X3/X2X0+/X3/X2X1

La fonction g :

g=/X3/X2/X1+/X3X2X1X0

Page 23
3-Schéma-bloc

Figure 12:schema-bloc de Transcodeur.

Page 24
Simulation

Figure 13 : simulation de Transcodeur.

Cette simulation concerne que si on donne le code binaire (0001) à le transcodeur,


ce dernier convertit le code binaire (0001) a un code 7 segments, ainsi de suite
pour les autres codes

2-COMPTEUR :
D’après cahier de charge nous avons besoin un compteur de manière repetive de
modulo 10. (74LS160).

74LS160 est Un compteur BCD pour "Binary Coded Décimal" ou décimal codé en
binaire (DCB) qui compte de 0 à 9 et recommence sans arrêt: 0 1 2 3 4 5 6 7 8 9 0
123456789012...

Page 25
On dit que c'est un compteur modulo 10. Le modulo est le nombre d'impulsions
d'horloge (clock) nécessaires pour que le cycle recommence De 0 à 9 donne 10
étapes avant que le cycle recommence 9. 74LS160 compte les fronts montants
de l’horloge .Horloge = signal carré

SYMBOLE :

Figure 14 : symbole de compteur.

Schéma bloc :

Figure 15: schéma-block de compteur.

Page 26
Rôle de chaque entrée et sortie :
entrée CLR remise à zéro, active sur un 0.
entrée CLK horloge pour la cadence.
entrée AàD valeur qui sera chargée sur un load.

entrées ENP et les deux doivent être à 1 pour le compteur avance, permet
ENT de bloquer le compteur dès qu'il y a un 0.

entrée LOAD Un 0 sur cette entrée permet de repartir le compteur à la


valeur binaire présente sur les broches A à D.
sorties QD à La valeur actuelle du compteur.
QA
Sortie RCO donne 1 lorsque le compteur est rendu à la valeur
maximum (9 pour le 74160).

Simulation :

Figure 16 : simulation de compteur.

Page 27
3-Diviseur de fréquence : D’abord le clock de compteur possède une
fréquence de 50MHZ ce Fréquence ne permette pas d’avoir le comptage. (Le
comptage ce fait de manière très rapide)

Obligatoire pour voir le comptage il faut diminuer la fréquence de 50MHZ en 2HZ.

Cette opération s’appelle diviseur de fréquence.

1 1
Alors : T= 6
=20 ∗ 10−9s et T’= =0.5 s
50∗10 2

0.5
Donc : =25000000 >224
20∗10−9

224 =16777216 dans ce problème nous avons besoin 24 bascule de type T


(Toggle).

.Schéma-bloc :

Figure 17 : schéma-block de diviseur de fréquence.

Page 28
Simulation :

Figure 18 : simulation de Diviseur de fréquence.

4-REGISTRE : Un registre est un circuit séquentiel qui est charge de stocker

des données. Il fait à base des bascules D. Une bascule D constitue un registre

de 1 bit. Pour stocker le code actuelle on aura besoin une mémoire (registre),

pour cela on deux possibilité soit :

1-regsitre standard existant.

2-realisation par les bascules D.

Nous avons choisir dans notre problème la deuxième possibilité parce que
Facile à réalisée.

Page 29
Dans notre projet nous avons besoin 4 registre chaque registre mémorise 1
chiffre de 4bit .Chaque registre contient 4 bascule de type D.

Registre choisi dans ce cas de type PIPO.

Symbole :

Figure 19: symbole de registre de bascule D .

Rôle de chaque entrée et sortie.

PRN:active c’est-à-dire set lorsque PRN prendre 0

D0 à D3 : ce sont des entrées de registre

CLOCK :active sur front montat

CLARN : active c’est-à-dire remise a 0 lorsqu’elle prend CLARN=0(l’effacement


des données).

ENA : chargement ENA=1, ENA=0 le registre mémorise l’état précèdent

Q0 à Q3 : ce sont des sorties de registre

Page 30
Schéma-bloc :

Figure 20: schéma-bloc d’un registre de 4 bascule de type D .

Page 31
Simulation :

Figure 21: simulation registre de bascule D .

5-Comparateur :

Pour vérifier le code actuelle est ce que correct ou no ,on aura besoin un
comparateur.il y a deux possibilité soit :

1-comprateur intègre 7485. De type égale, inferieur, supérieur

2-soit on réalise un comparateur.

Nous avons choisi la deuxième parce que on besoin un sortie c’est égale.

La fonction principal de cette composant c’est comparé les 4bits actuels (A0 A1 A2
A3) (lorsque l’utilisateur saisie le code) avec des 4bits corrects qui déjà enregistrés
dans la mémoire (B0 B1 B2 B3).

Page 32
Si A0=B0 et A1=B1 et A2=B2 et A3=B3.

La LED sera allumée par la couleur verte c’est-à-dire que le code est correct

Sinon la LED sera allumée par la couleur rouge (code incorrect).

Dans notre projet nous avons utilisé 4 comparateur, chaque comparateur qui
comparé un chiffre actuelle de 4 bit avec un chiffre correct ce trouve dans même
comparateur

Table vérité :

Ai Bi Y
0 0 1
0 1 0
1 0 0
1 1 1
Avec i= {0, 1,2 ,3}

Symbole :

Figure 22: symbole de comparateur.

Page 33
Schéma-bloc :

Figure 23: schéma-bloc comparateur.

Ce circuit combinatoire formé de 4 portes logiques XNOR2 contient 8 les entrées et une seule
sortie de porte AND4.

Page 34
Simulation :

Figure 24: simulation de 4 comparateurs.

On constate que la sortie y prend la valeur 1 c'est-à-dire que le code est correct
(Ai=Bi) Avec i= {0, 1,2 ,3}

6-Dectecteur double click : c’est un machine d’état qui détecte double click, si
on trompé le code actuelle et je veux supprimer le code ,on utiliser un détecteur de
double click.

Réalisation un double click par la machine d'état automate de Moore.

Page 35
Graph :

Figure 25 : graph de détecteur double click.

Table de transition : Table codée :

Page 36
Table d’excitation:

Les tables karnugh :

Y=Q0Q1

Page 37
Schéma-bloc :

Figure 26 : schéma block de détecteur double click


Simulation:

Figure 25 : simulation de détecteur double click

Page 38
7-Chargement des registres :

Il y a un problème avec l'ordre du code si par exemple le comptage vas


commencer. Si je relâche le code va enregistre ou? Est-ce que la première registre
ou la deuxième ou la troisième o la quatrième ?. Nous devons résoudre ce problème.
Alors nous avons réalisé une machine d'état de type Moore pour résolue ce problème.

Graph :

Figure 28 : graph de chargement des registres

Page 39
Table de transition : Table codée :

Table d’exciation :

Page 40
Les tables karnugh :

Page 41
Schéma-bloc :

Figure 29 : schéma-block de chargement des bascules


………………………………………….

Page 42
simulation :

Figure 30 : simulation chargement des bascules

Page 43
Schéma global

Figure 31 : schéma global

Page 44
Page 45
Conclusion :

Enfin, nous ne pourrons terminer ce remerciement sans une


pensée à l’ensemble de nos enseignants qui sont à l’origine de
tout notre savoir. Permet d'avoir une notion approfondie dans la
conception matérielle et logicielle circuit électronique. Par
laquelle nous avons fait une ouverture sur des composantes
électronique (Porte logique, bascule, registre, compteur ...)

-Chacun de ces composantes il a un fonctionnement différent de


l’autre.

-Tout a été fait à l'aide d'un logiciel appelé QURTUS II qui nous a
simplifier les structures de chaque composante, la compilation et
la simulation.

-par la suite nous avons fait la simulation pour chaque composant


et pour cela le circuit global doit être simulé malgré la difficulté
qu'on a trouvée pour faire la simulation de DIVISEUR DE
FREQUENSE car sa simulation prend beaucoup de tempe. Ce
projet nous a permis de développer notre aptitude de travailler
en équipe. D’être un peu plus familier à la recherche dans le
domaine de l'électronique, cèle a suscité en nous un désire, et
vivre une expérience. Finalement nous remercions infiniment
ceux qui, de près ou de loin, ont contribué au déroulement de ce
travail, en particulier notre professeur Mr. HAMDOUN.

Page 46
bibliographie:

-Cour électronique numerique 1 et 2 de Mr A. Hamdoun.

http://cdn.instructables.com/FIM/MO5O/GYN8W0M5/FI
MMO5OGYN8W0M5.LARGE.gif

http://www.gecif.net/articles/genieelectrique/cours/ter
minale/cours/les_transcodeurs.pdf

Page 47
Page 48

Вам также может понравиться