Вы находитесь на странице: 1из 214

Fundamentals of CMOS VLSI 10EC56

Fundamentals of CMOS VLSI

Subject Code: 10EC56 Semester: V

PART-A

Unit 1: Basic MOS Technology

.IN
Integrated circuits era, enhancement and depletion mode MOS transistors. nMOS
fabrication. CMOS fabrication, Thermal aspects of processing, BiCMOS technology, production
of E-beam masks.

TS
MOS transistor theory

Introduction, MOS device design equations, the complementary CMOS inverter-DC


EN
characteristics, static load MOS inverters, the differential inverter, the transmission gate, tristate
inverter.

Unit-2: Circuit Design Processes


D
MOS layers, stick diagrams, Design rules and layout- lambda-based design and other
TU

rules. Examples, layout diagrams, symbolic diagram, tutorial exercises.


Basic physical design of simple logic gates.
TS

Unit 3: CMOS Logic Structures


CMOS complementary logic, BiCMOS logic, Pseudo-nMOS logic,
Dynamic CMOS logic, clocked CMOS logic, Pass transistor logic, CMOS
CI

domino logic cascaded voltage switch logic (CVSL).

Unit-4: Basic circuit concepts


Sheet resistance, area capacitances, capacitances calculations. The delay unit, inverter delays,
driving capacitive loads, propagation delays, wiring capacitances.
Scaling of MOS circuits
Scaling models and factors, limits on scaling, limits due to current density and noise.
Fundamentals of CMOS VLSI 10EC56

PART-B

Unit-5: CMOS subsystem design


Architectural issues, switch logic, gate logic, design examples-combinational logic,
clocked circuits. Other system considerations.
Clocking strategies

.IN
Unit-6: CMOS subsystem design processes
General considerations, process illustration, ALU subsystem, adders, multipliers.

TS
Unit-7: Memory registers and clock
Timing considerations, memory elements, memory cell arrays.

Unit-8: Testability
EN
Performance parameters, layout issues I/O pads, real estate, system delays, ground rules for
design, test and testability.
D
TU

TEXT BOOKS

1. Douglas A. Pucknell & Kamran Eshraghian, “Basic VLSI Design” PHI 3rd Edition (original

Edition – 1994), 2005.


TS

2. Neil H. E. Weste and K. Eshragian,” Principles of CMOS VLSI Design: A System

Perspective,” 2nd edition, Pearson Education (Asia) Pvt. Ltd., 2000. History of VLSI
CI

3. CMOS VLSI DESIGN—A circuits and systems perpective. 3rd edition N.H.Weste and David

Harris. Addison-wesley.

CITSTUDENTS.IN Page- 2
Fundamentals of CMOS VLSI 10EC56

INDEX SHEET

SL.NO TOPIC PAGE NO.

1 UNIT 1: Basic MOS technology: 7-44

Integrated circuits era, Enhancement and depletion mode 8-16


MOS transistors

.IN
nMOS fabrication 14-16

CMOS fabrication 17-25

TS
Thermal aspects of processing, BiCMOS technology, 25-27
Production of E-beam masks
MOS Transistor Theory: EN
Introduction, MOS Device Design Equations, 28-31

The Complementary CMOS Inverter – DC Characteristics, 31-37

The Differential Inverter, 37-40


D
Static Load MOS Inverters, 39-40
TU

The Transmission Gate 41-42

Tristate Inverter 43-44


TS

2 UNIT 2: CIRCUIT DESIGN PROCESSES 45-66

MOS layers. Stick diagrams. 45-50

Design rules and layout 51-54


CI

Lambda-based design and other rules. 54-55

Examples. Layout diagrams. 55-56

Symbolic diagrams 56-57

Tutorial exercises, Basic Physical Design of Simple logic


57-66
gates

CITSTUDENTS.IN Page- 3
Fundamentals of CMOS VLSI 10EC56

3 UNIT 3: CMOS LOGIC STRUCTURES 67-78

CMOS Complementary Logic, 67

Bi CMOS Logic 67-68

Pseudo-nMOS Logic 69-70

Dynamic CMOS Logic 71

CMOS Domino Logic Cascaded Voltage Switch Logic


72-75

.IN
(CVSL).

Clocked CMOS Logic, Pass Transistor Logic 76-78

4 UNIT 4: BASIC CIRCUIT CONCEPTS 79-118

TS
Sheet resistance. Area capacitances 79-86

Capacitance calculations. The delay unit


EN 86-88

Inverter delays. Driving capacitive loads. 89-92

Propagation delays 92-93

Wiring capacitances. 93-94


D
Tutorial exercises 94-95
TU

Scaling of MOS circuits

Scaling models and factors 96-101


TS

Limits on scaling 102-117

Limits due to current density and noise 105-118


CI

5 UNIT 5: CMOS SUBSYSTEM DESIGN 119-153

Architectural issues. Switch logic 119-122

Gate logic. 123-131

Design examples 132-138

Combinational logic. Clocked circuits. 139-142

CITSTUDENTS.IN Page- 4
Fundamentals of CMOS VLSI 10EC56

Other system considerations. 143-151

Clocking Strategies 152-153

6 UNIT 6: CMOS SUBSYSTEM DESIGN PROCESSES 154-179

General considerations 154

Process illustration 154-159

ALU subsystem 160-162

.IN
Adders 162-171

Multipliers 172-179

TS
7 UNIT 7: MEMORY, REGISTERS, AND CLOCK 180-185

Timing considerations 180

Memory elements 180-181


EN
Memory cell arrays 181-185

8 UNIT 8: TESTABILITY 186-207


D
Performance parameters. Layout issues 186
TU

I/O pads. Real estate 186

System delays 186

Ground rules for design 187-190


TS

Test and testability. 190-207


CI

CITSTUDENTS.IN Page- 5
Fundamentals of CMOS VLSI 10EC56

PART-A

Unit 1

Basic MOS Technology


Integrated circuits era, enhancement and depletion mode MOS transistors. nMOS

.IN
fabrication. CMOS fabrication, Thermal aspects of processing, BiCMOS technology, production
of E-beam masks.

TS
MOS transistor theory
Introduction, MOS device design equations, the complementary CMOS inverter-DC
EN
characteristics, static load MOS inverters, the differential inverter, the transmission gate, tristate
inverter.
D
Recommended readings:
TU

1. Douglas A. Pucknell & Kamran Eshraghian, “Basic VLSI Design” PHI 3rd Edition (original
Edition – 1994), 2005.
TS

2. Neil H. E. Weste and K. Eshragian,” Principles of CMOS VLSI Design: A Systems


Perspective,” 2nd edition, Pearson Education (Asia) Pvt. Ltd., 2000. History of VLSI.
CI

3. CMOS VLSI DESIGN—A circuits and systems perpective. 3rd edition N.H.Weste and David

Harris. Addison-wesley.

CITSTUDENTS.IN Page- 6
Fundamentals of CMOS VLSI 10EC56

1.1 Integrated circuits era

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen
of Bell laboratories. In 1961, first IC was introduced.
Levels of Integration:-
i) SSI: - (10-100) transistors => Example: Logic gates
ii) MSI: - (100-1000) => Example: counters
iii) LSI: - (1000-20000) => Example: 8-bit chip

.IN
iv) VLSI: - (20000-1000000) => Example: 16 & 32 bit up
v) ULSI: - (1000000-10000000) => Example: Special processors, virtual realit y
machines, smart sensors.

TS
Moore‟sLaw:-
―The number of transistors embedded on the chip doubles after every one and a half
EN
years.‖ The number of transistors is taken on the y-axis and the years in taken on the x-axis. The
diagram also shows the speed in MHz. the graph given in figure also shows the variation of
speed of the chip in MHz.
D
TU
TS
CI

Figure 1. Moore‘s law.

CITSTUDENTS.IN Page- 7
Fundamentals of CMOS VLSI 10EC56

The graph in figure2 compares the various technologies available in ICs.

.IN
TS
EN
Figure 2.Comparison of available technologies.
D
From the graph we can conclude that GaAs technology is better but still it is not used
TU

because of growing difficulties of GaAs crystal. CMOS looks to be a better option compared to
nMOS since it consumes a lesser power. BiCMOS technology is also used in places where high
driving capability is required and from the graph it confirms that, BiCMOS consumes more
TS

power compared to CMOS.


Levels of Integration:-
i) Small Scale Integration:- (10-100) transistors => Example: Logic gates
CI

ii) Medium Scale Integration:- (100-1000) => Example: counters


iii) Large Scale Integration:- (1000-20000) => Example:8-bit chip
iv) Very Large Scale Integration:- (20000-1000000) => Example:16 & 32 bit up
v) Ultra Large Scale Integration:- (1000000-10000000) => Example: Special processors,
virtual reality machines, smart sensors

CITSTUDENTS.IN Page- 8
Fundamentals of CMOS VLSI 10EC56

1.2 Basic MOS Transistors:

MOS
We should first understand the fact that why the name Metal Oxide Semiconductor
transistor, because the structure consists of a layer of Metal (gat e), a layer of oxide (Sio2) and a
layer of semiconductor. Figure 3 below clearly tell why the name MOS.

.IN
TS
Figure 3.cross section of a MOS structure
We have two types of FETs. They are Enhancement mode and depletion mode transistor.
EN
Also we have PMOS and NMOS transistors.
In Enhancement mode transistor channel is going to form after giving a proper positive gate
voltage. We have NMOS and PMOS enhancement transistors.
D
In Depletion mode transistor channel will be present by the implant. It can be removed by
giving a proper negative gate voltage. We have NMOS and PMOS depletion mode transistors.
TU

1.2.1 N-MOS enhancement mode transistor:-


This transistor is normally off. This can be made ON by giving a positive gate voltage.
TS

By giving a +ve gate voltage a channel of electrons is formed between source drain.
CI

Figure 4. N-MOS enhancement mode transistor.

CITSTUDENTS.IN Page- 9
Fundamentals of CMOS VLSI 10EC56

1.2.2 P-MOS enhancement mode transistor:-


This is normally on. A Channel of Holes can be performed by giving a –ve gate voltage.
In P-Mos current is carried by holes and in N-Mos it‘s by electrons. Since the mobility is of
holes less than that of electrons P-Mos is slower.

.IN
Figure 5. P-MOS enhancement mode transistor.

1.2.3 N-MOS depletion mode transistor:-

TS
This transistor is normally ON, even with Vgs=0. The channel will be implanted while
fabricating, hence it is normally ON. To cause the channel to cease to exist, a – ve voltage must
EN
be applied between gate and source.
D
TU
TS

Figure 6. N-MOS depletion mode transistor.


NOTE: Mobility of electrons is 2.5 to 3 times faster than holes. Hence P-MOS devices will have
more resistance compared to NMOS.
CI

CITSTUDENTS.IN Page- 10
Fundamentals of CMOS VLSI 10EC56

1.2.4 Enhancement mode Transistor action:-

.IN
TS
D EN
TU
TS
CI

Figure7. (a)(b)(c) Enhancement mode transistor with different Vds values


To establish the channel between the source and the drain a minimum voltage (Vt) must
be applied between gate and source. This minimum voltage is called as ―Threshold Voltage‖.
The complete working of enhancement mode transistor can be explained with the help of
diagram a, b and c.

CITSTUDENTS.IN Page- 11
Fundamentals of CMOS VLSI 10EC56

a) Vgs > Vt
Vds = 0

Since Vgs > Vt and Vds = 0 the channel is formed but no current flows between drain and
source.

b) Vgs > Vt
Vds < Vgs - Vt
This region is called the non-saturation Region or linear region where the drain current increases
linearly with Vds. When Vds is increased the drain side becomes more reverse biased (hence

.IN
more depletion region towards the drain end) and the channel starts to pinch. This is called as the
pinch off point.

TS
c) Vgs > Vt
Vds > Vgs - Vt
This region is called Saturation Region where the drain current remains almost constant. As the
EN
drain voltage is increased further beyond (Vgs-Vt) the pinch off point starts to move from the
drain end to the source end. Even if the Vds is increased more and more, the increased voltage
gets dropped in the depletion region leading to a constant current. The typical threshold voltage
D
for an enhancement mode transistor is given by Vt = 0.2 * Vdd.
TU

1.2.5 Depletion mode Transistor action:-


We can explain the working of depletion mode transistor in the same manner, as that of
TS

the enhancement mode transistor only difference is, channel is established due to the implant
even when Vgs = 0 and the channel can be cut off by applying a –ve voltage between the gate
and source. Threshold voltage of depletion mode transistor is around 0.8*Vdd.
CI

CITSTUDENTS.IN Page- 12
Fundamentals of CMOS VLSI 10EC56

1.3 NMOS Fabrication:

...

.IN
\ I

TS
EN .,
ld
·-
D

.....
TU

( )
TS
CI

CITSTUDENTS.IN Page- 13
Fundamentals of CMOS VLSI 10EC56

.IN
TS
D EN
TU

Figure8. NMOS Fabrication process steps


TS

The process starts with the oxidation of the silicon substrate (Fig. 8(a)), in which a
relatively thick silicon dioxide layer, also called field oxide, is created on the surface (Fig. 8(b)).
Then, the field oxide is selectively etched to expose the silicon surface on which the MOS
CI

transistor will be created (Fig. 8(c)). Following this step, the surface is covered with a thin, high-
quality oxide layer, which will eventually form the gate oxide of the MOS transistor (Fig. 8(d)).
On top of the thin oxide, a layer of polysilicon (polycrystalline silicon) is deposited (Fig. 8(e)).
Polysilicon is used both as gate electrode material for MOS transistors and also as an
interconnect medium in silicon integrated circuits. Undoped polysilicon has relatively high
resistivity. The resistivity of polysilicon can be reduced, however, by doping it with impurit y
atoms.

CITSTUDENTS.IN Page- 14
Fundamentals of CMOS VLSI 10EC56

After deposition, the polysilicon layer is patterned and etched to form the interconnects
and the MOS transistor gates (Fig. 8(f)). The thin gate oxide not co vered by polysilicon is also
etched away, which exposes the bare silicon surface on which the source and drain junctions are
to be formed (Fig. 8(g)). The entire silicon surface is then doped with a high concentration of
impurities, either through diffusion or ion implantation (in this case with donor atoms to produce
n-type doping). Figure 8(h) shows that the doping penetrates the exposed areas on the silicon
surface, ultimately creating two n-type regions (source and drain junctions) in the p-type
substrate.

.IN
The impurity doping also penetrates the polysilicon on the surface, reducing its
resistivity. Note that the polysilicon gate, which is patterned before doping actually defines the
precise location of the channel region and, hence, the location of the source and the drain

TS
regions. Since this procedure allows very precise positioning of the two regions relative to the
gate, it is also called the self-aligned process.
Once the source and drain regions are completed, the entire surface is again covered wit h
EN
an insulating layer of silicon dioxide (Fig. 8 (i)). The insulating oxide layer is then patterned in
order to provide contact windows for the drain and source junctions (Fig. 8 (j)). The surface is
covered with evaporated aluminum which will form the interconnects (Fig. 8 (k)). Finally, the
D
metal layer is patterned and etched, completing the interconnection of the MOS transistors on the
TU

surface (Fig. 8 (l)). Usually, a second (and third) layer of metallic interconnect can also be added
on top of this structure by creating another insulating oxide layer, cutting contact (via) holes,
depositing, and patterning the metal.
TS

1.4 CMOS fabrication:

When we need to fabricate both nMOS and pMOS transistors on the same substrate we
CI

need to follow different processes. The three different processes are, P-well process ,N-well
process and Twin tub process.

CITSTUDENTS.IN Page- 15
Fundamentals of CMOS VLSI 10EC56

1.4.1 P-WELL PROCESS:

.IN
TS
D EN
TU
TS

.
Figure9. CMOS Fabrication (P-WELL) process steps.
CI

The p-well process starts with a n type substrate. The n type substrate can be used to
implement the pMOS transistor, but to implement the nMOS transistor we need to provide a p-
well, hence we have provided he place for both n and pMOS transistor on the same n-type
substrate.
Mask sequence.
Mask 1:
Mask 1 defines the areas in which the deep p-well diffusion takes place.

CITSTUDENTS.IN Page- 16
Fundamentals of CMOS VLSI 10EC56

Mask 2:
It defines the thin oxide region (where the thick oxide is to be removed or stripped and
thin oxide grown)
Mask 3:
It‘s used to pattern the polysilicon layer which is deposited after thin oxide. Mask 4: A p+
mask (anded with mask 2) to define areas where p-diffusion is to take place.
Mask 5:
We are using the –ve form of mask 4 (p+ mask) It defines where n-diffusion is to take

.IN
place.
Mask 6:
Contact cuts are defined using this mask.

TS
Mask 7:
The metal layer pattern is defined by this mask.
EN
Mask 8:
An overall passivation (over glass) is now applied and it also defines openings for
accessing pads.
The cross section below shows the CMOS pwell inverter.
D
TU
TS
CI

Figure10. CMOS inverter (P-WELL)

CITSTUDENTS.IN Page- 17
Fundamentals of CMOS VLSI 10EC56

1.4.2 N-WELL PROCESS:


In the following figures, some of the important process steps involved in the fabrication
of a CMOS inverter will be shown by a top view of the lithographic masks and a cross-sectional
view of the relevant areas. The n-well CMOS process starts with a moderately doped (with
impurity concentration typically less than 1015 cm-3) p-type silicon substrate. Then, an initial
oxide layer is grown on the entire surface. The first lithographic mask defines the n-well region.
Donor atoms, usually phosphorus, are implanted through this window in the oxide. Once the n-
well is created, the active areas of the nMOS and pMOS transistors can be defined. Figures 12.1

.IN
through 12.6 illustrate the significant milestones that occur during the fabrication process of a
CMOS inverter.

TS
D EN
TU
TS
CI

Figure-11.1: Following the creation of the n-well region, a thick field oxide is grown in the areas
surrounding the transistor active regions, and a thin gate oxide is grown on top of the active regions.
The thickness and the quality of the gate oxide are two of the most critical fabrication parameters,
since they strongly affect the operational characteristics of the MOS transistor, as well as its long-ter m
reliability.

CITSTUDENTS.IN Page- 18
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure-11.2: The polysilicon layer is deposited using chemical vapor deposition (CVD) and
patterned by dry (plasma) etching. The created polysilicon lines will function as the gate
EN
electrodes of the nMOS and the pMOS transistors and their interconnects. Also, the polysilicon
gates act as self-aligned masks for the source and drain implantations that follow this step.
D
TU
TS
CI

Figure-11.3: Using a set of two masks, the n+ and p+ regions are implanted into the substrate and
into the n- well, respectively. Also, the ohmic contacts to the substrate and to the n-well are
implanted in this process step.

CITSTUDENTS.IN Page- 19
Fundamentals of CMOS VLSI 10EC56

.IN
TS
EN
Figure-11.4: An insulating silicon dioxide layer is deposited over the entire wafer using CVD.
Then, the contacts are defined and etched away to expose the silicon or polysilicon contact
windows. These contact windows are necessary to complete the circuit interconnections using
D
the metal layer, which is patterned in the next step.
TU
TS
CI

Figure-11.5: Metal (aluminum) is deposited over the entire chip surface using metal evaporation,
and the metal lines are patterned through etching. Since the wafer surface is non-planar, the

CITSTUDENTS.IN Page - 20 -
Fundamentals of CMOS VLSI 10EC56

quality and the integrity of the metal lines created in this step are very critical and are ultimately
essential for circuit reliability.

.IN
TS
EN
Figure-11.6: The composite layout and the resulting cross-sectional view of the chip, showing
D
one nMOS and one pMOS transistor (built-in n-well), the polysilicon and metal interconnections.
TU

The final step is to deposit the passivation layer (for protection) over the chip, except for wire-
bonding pad areas.
TS

1.4.3Twin-tub process:
Here we will be using both p-well and n-well approach. The starting point is a n-type
material and then we create both n-well and p-well region. To create the both well we first go for
CI

the epitaxial process and then we will create both wells on the same substrat e.

CITSTUDENTS.IN Page - 21 -
Fundamentals of CMOS VLSI 10EC56

.IN
Figure 12 CMOS twin-tub inverter.

TS
NOTE: Twin tub process is one of the solutions for latch-up problem.

1.5 Bi-CMOS technology: - (Bipolar CMOS) EN


The driving capability of MOS transistors is less because of limited current sourcing and
sinking capabilities of the transistors. To drive large capacitive loads we can think of Bi-Cmos
technology. This technology combines Bipolar and CMOS transistors in a single integrated
D
circuit, by retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits
with speed-power-density performance previously unattainable with either technology
TU

individually.
Characteristics of CMOS Technology
• Lower static power dissipation
TS

• Higher noise margins


• Higher packing density – lower manufacturing cost per device
• High yield with large integrated complex functions
CI

• High input impedance (low drive current)


• Scaleable threshold voltage
• High delay sensitivity to load (fan-out limitations)
• Low output drive current (issue when driving large capacitive loads)
• Low transconductance, where transconductance, gm a Vin
• Bi-directional capability (drain & source are interchangeable)
• A near ideal switching device

CITSTUDENTS.IN Page - 22 -
Fundamentals of CMOS VLSI 10EC56

Characteristics of Bipolar Technology


• Higher switching speed
• Higher current drive per unit area, higher gain
• Generally better noise performance and better high frequency characteristics
• Better analogue capability
• Improved I/O speed (particularly significant with the growing importance of
package limitations in high speed systems).
• High power dissipation

.IN
• Lower input impedance (high drive current)
• Low voltage swing logic
• Low packing density

TS
• Low delay sensitivity to load
• High gm (gm a Vin)
• High unity gain band width (ft) at low currents
EN
• Essentially unidirectional from the two previous paragraphs we can get a comparison
between bipolar and CMOS technology.
The diagram given below shows the cross section of the BiCMOS process which uses an npn
D
transistor.
TU
TS
CI

Figure 13 Cross section of BiCMOS process


The figure below shows the layout view of the BiCMOS process.

CITSTUDENTS.IN Page - 23 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
EN
Fig.14. Layout view of BiCMOS process.
The graph below shows the relative cost vs. gate delay.
D
TU
TS
CI

Fig.16. cost versus delay graph.

CITSTUDENTS.IN Page - 24 -
Fundamentals of CMOS VLSI 10EC56

1.6 Production of e-beam masks:


In this topic we will understand how we are preparing the masks using e-beam technology. The
following are the steps in production of e-beam masks.
• Starting materials is chromium coated glass plates which are coated with e-beam sensitive
resist.
• E-beam machine is loaded with the mask description data.
• Plates are loaded into e-beam machine, where they are exposed with the patterns specified by

.IN
mask description data.
• After exposure to e-beam, plates are introduced into developer to bring out patterns.
• The cycle is followed by a bake cycle which removes resist residue.
• The chrome is then etched and plate is stripped of the remaining e-beam resist.

TS
We use two types of scanning, Raster scanning and vector scanning to map the pattern on
to the mask. In raster type, e-beam scans all possible locations and a bit map is used to turn the e-
EN
beam on and off, depending on whether the particular location being scanned is to be exposed or
not.
In vector type, beam is directed only to those locatio ns which are to be exposed.
D
1.6.1 Advantages e-beam masks:
TU

- Tighter layer to layer registration;


- Small feature sizes
TS
CI

CITSTUDENTS.IN Page - 25 -
Fundamentals of CMOS VLSI 10EC56

MOS transistor theory


1.7 Introduction:
A MOS transistor is a majority-carrier device, in which the current in a conducting channel
between the source and the drain is modulated by a voltage applied to the gate.
Symbols

.IN
TS
EN
Figure 17: symbols of various types of transistors.
D
NMOS (n-type MOS transistor)
(1) Majority carrier = electrons
TU

(2) A positive voltage applied on the gate with respect to the substrate enhances the number of
electrons in the channel and hence increases the conductivity of the channel.
(3) If gate voltage is less than a threshold voltage Vt , the channel is cut-off (very low current
TS

between source & drain).


PMOS (p-type MOS transistor)
(1) Majority carrier = holes
CI

(2) Applied voltage is negative with respect to substrate.

CITSTUDENTS.IN Page - 26 -
Fundamentals of CMOS VLSI 10EC56

Relationship between Vgs and Ids, for a fixed Vds:

.IN
TS
D EN
Figure 18: graph of Vgs vs Ids
Devices that are normally cut-off with zero gate bias are classified as "enhancementmode”
TU

devices.
Devices that conduct with zero gate bias are called "depletion-mode” devices.
Enhancement-mode devices are more popular in practical use.
TS

Threshold voltage (Vt):


The voltage at which an MOS device begins to conduct ("turn on"). The threshold
voltage is a function of
CI

(1) Gate conductor material


(2) Gate insulator material
(3) Gate insulator thickness
(4) Impurity at the silicon-insulator interface
(5) Voltage between the source and the substrate Vsb
(6) Temperature

CITSTUDENTS.IN Page - 27 -
Fundamentals of CMOS VLSI 10EC56

1.8 MOS equations (Basic DC equations):


Three MOS operating regions are: Cutoff or subthreshold region, linear region and saturation
region.
The following equation describes all these three regions:

.IN
Where β is MOS transistor gain and it is given by β =μ ε /tox (W/L)

TS
again ‗μ‘ is the mobility of the charge carrier
‗ε‘ is the permittivity of the oxide layer.
‗tox‘ is the thickness of the oxide layer. EN
‗W‘ is the width of the transistor.( shown in diagram)
‗L‘ is the channel length of the transistor.(shown in diagram)
D
TU
TS
CI

Diagram just to show the length and width of a MOSFET.


The graph of Id and Vds for a given Vgs is given below:

CITSTUDENTS.IN Page - 28 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure 19: VI Characteristics of MOSFET
EN
Second Order Effects:
Following are the list of second order effects of MOSFET.
D
Threshold voltage – Body effect

Subthreshold region
TU

Channel length modulation

Mobility variation
TS

Fowler_Nordheim Tunneling

Drain Punchthrough

Impact Ionization – Hot Electrons


CI

Threshold voltage – Body effect


The change in the threshold voltage of a MOSFET, because of the voltage difference between
body and source is called body effect. The expression for the threshold voltage is given by the
following expression.

CITSTUDENTS.IN Page - 29 -
Fundamentals of CMOS VLSI 10EC56

If Vsb is zero, then Vt = Vt(0) that means the value of the threshold voltage will not be changed.
Therefore, we short circuit the source and substrate so that, Vsb will be zero.
Subthreshold region:

.IN
For Vgs<Vt also we will get some value of Drain current this is called as Subthres hold current
and the region is called as Subthreshold region.
Channel length modulation:

TS
The channel length of the MOSFET is changed due to the change in the drain to source voltage.
This effect is called as the channel length modulation. The effective channel length & the value
EN
of the drain current considering channel length modulation into effect is given by,
D
TU

Where λ is the channel length modulation factor.


Mobility:
TS

Mobility is the defined as the ease with which the charge carriers drift in the substrate material.
Mobility decreases with increase in doping concentration and increase in temperature. Mobilit y
is the ratio of average carrier drift velocity and electric field. Mobility is represented by the
CI

symbol μ.
Fowler Nordhiem tunneling:
When the gate oxide is very thin there can be a current between gate and source or drain by
electron tunneling through the gate oxide. This current is proportional to the area of the gate of
the transistor.

CITSTUDENTS.IN Page - 30 -
Fundamentals of CMOS VLSI 10EC56

Drain punchthough:
When the drain is a high voltage, the depletion region around the drain may extend to the source,
causing the current to flow even it gate voltage is zero. This is known as Punchthrough
condition.
Impact Ionization-hot electrons:
When the length of the transistor is reduced, the electric field at the drain increases. The field can
become so high that electrons are imparted with enough energy we can term them as hot. These
hot electrons impact the drain, dislodging holes that are then swept toward the negatively

.IN
charged substrate and appear as a substrate current. This effect is known as Impact Ionization.
1.9 MOS Models

TS
MOS model includes the Ideal Equations, Second-order Effects plus the additional Curve-fitting
parameters. Many semiconductor vendors expend a lot of effects to model the devices they
manufacture. (Standard: Level 3 SPICE) . Main SPICE DC parameters in level 1,2,3 in 1μn-well
EN
CMOS process.

1.10 CMOS INVETER CHARACTERISTICS


D
TU
TS
CI

Figure 20: CMOS Inverter


CMOS inverters (Complementary NOSFET Inverters) are some of the most widely used
and adaptable MOSFET inverters used in chip design. They operate with very little power loss

CITSTUDENTS.IN Page - 31 -
Fundamentals of CMOS VLSI 10EC56

and at relatively high speed. Furthermore, the CMOS inverter has good logic buffer
characteristics, in that, its noise margins in both low and high states are large.
A CMOS inverter contains a PMOS and a NMOS transistor connected at the drain and
gate terminals, a supply voltage VDD at the PMOS source terminal, and a ground connected at
the NMOS source terminal, were VIN is connected to the gate terminals and VOUT is connected
to the drain terminals.( given in diagram). It is important to notice that the CMOS does not
contain any resistors, which makes it more power efficient that a regular resistor -MOSFET
inverter. As the voltage at the input of the CMOS device varies between 0 and VDD, the state of

.IN
the NMOS and PMOS varies accordingly. If we model each transistor as a simple switch
activated by VIN, the inverter‘s operations can be seen very easily:

TS
D EN
The table given, explains when the each transistor is turning on and off. When VIN is low, the
TU

NMOS is "off", while the PMOS stays "on": instantly charging VOUT to logic high. When Vin
is high, the NMOS is "on and the PMOS is "off": taking the voltage at VOUT to logic low.
1.10.1 Inverter DC Characteristics:
TS

Before we study the DC characteristics of the inverter we should examine the ideal
characteristics of inverter which is shown below. The characteristic shows that when input is
zero output will high and vice versa.
CI

Figure 21: Ideal Characteristics of an Inverter.

CITSTUDENTS.IN Page - 32 -
Fundamentals of CMOS VLSI 10EC56

The actual characteristic is also given here for the reference. Here we have shown the status of
both NMOS and PMOS transistor in all the regions of the characteristics.

.IN
TS
EN
Figure 22: Actual Characteristics of an Inverter.
Graphical Derivation of Inverter DC Characteristics:
The actual characteristics are drawn by plotting the values of output voltage for different values
D
of the input voltage. We can also draw the characteristics, starting with the VI characteristics of
PMOS and NMOS characteristics.
TU
TS
CI

CITSTUDENTS.IN Page - 33 -
Fundamentals of CMOS VLSI 10EC56

.IN
Figure 23-a,b,c: Graphical Derivation of DC Characteristics.
The characteristics given in figure 23a is the vi characteristics of the NMOS and PMOS
characteristics (plot of Id vs. Vds). The figure 23b shows the values of drain current of PMOS

TS
transistor is taken to the positive side the current axis. This is done by taking the absolute value
of the current. By superimposing both characteristics it leads to figure 23c. the actual
characteristics may be now determined by the points of common Vgs intersection as s hown in
figure 23d.
D EN
TU
TS
CI

Figure 23d: CMOS Inverter Dc Characteristics.


Figure 23d shows five regions namely region A, B, C, D & E. also we have shown a dotted curve
which is the current that is drawn by the inverter.

CITSTUDENTS.IN Page - 34 -
Fundamentals of CMOS VLSI 10EC56

Region A:
The output in this region is high because the P device is OFF and n device is ON. In region A,
NMOS is cutoff region and PMOS is on, therefore output is logic high. We can analyze the
inverter when it is in region B. the analysis is given below:
Region B:
The equivalent circuit of the inverter when it is region B is given below.

.IN
TS
Figure 24: Equivalent circuit in Region B.
EN
In this region PMOS will be in linear region and NMOS is in saturation region.
The expression for the NMOS current is
D
TU

The expression for the PMOS current is


TS

The expression for the voltage Vo can be written as


CI

CITSTUDENTS.IN Page - 35 -
Fundamentals of CMOS VLSI 10EC56

Region C:
The equivalent circuit of CMOS inverter when it is in region C is given here. Both n and p
transistors are in saturation region, we can equate both the currents and we can obtain the
expression for the midpoint voltage or switching point voltage of a inverter. The corresponding
equations are as follows:

.IN
TS
Figure 25: Equivalent circuit in Region C.
EN
The corresponding equations are as follows:
D
TU

By equating both the currents, we can obtain the expression for the switching point voltage as,
TS
CI

CITSTUDENTS.IN Page - 36 -
Fundamentals of CMOS VLSI 10EC56

Region D: The equivalent circuit for region D is given in the figure below.

.IN
Figure 26: equivalent circuit in region D.
We can apply the same analysis what we did for region B and C and we can obtain the

TS
expression for output voltage.
Region E:
The output in this region is zero because the P device is OFF and n device is ON.
EN
Influence of βn / βp on the VTC characteristics:
D
TU
TS
CI

Figure 27: Effect of βn/βp ratio change on the DC characteristics of CMOS inverter.

CITSTUDENTS.IN Page - 37 -
Fundamentals of CMOS VLSI 10EC56

The characteristics shifts left if the ratio of βn/βp is greater than 1(say 10). The curve shifts right
if the ratio of βn/βp is lesser than 1(say 0.1). This is decided by the switching point equation of
region C. the equation is repeated here the reference again.

Noise Margin:
Noise margin is a parameter related to input output characteristics. It determines the allowable
noise voltage on the input so that the output is not affected. We will specify it in terms of two

.IN
things:
LOW noise margin

HIGH noise margin

TS
LOW noise margin: is defined as the difference in magnitude between the maximum Low
output voltage of the driving gate and the maximum input Low voltage recognized by the driven
gate.
EN
NML=|VILmax – VOLmax|
HIGH noise margin: is defined difference in magnitude between minimum High output voltage
of the driving gate and minimum input High voltage recognized by the receiving gate.
D
NMH=|Vohmin – VIHmin|
TU
TS
CI

Figure 28: noise margin definitions.

CITSTUDENTS.IN Page - 38 -
Fundamentals of CMOS VLSI 10EC56

Figure shows how exactly we can find the noise margin for the input and output. We can also
find the noise margin of a CMOS inverter. The following figure gives the idea of calculating the
noise margin.

.IN
TS
EN
Figure 29: CMOS inverter noise margins.
D
1.11 Static Load MOS inverters:
In the figure given below we have shown a resistive load and current source load inverter.
TU

Usually resistive load inverters are not preferred because of the power consumption and area
issues.
TS
CI

Figure 30: static load inverter.

CITSTUDENTS.IN Page - 39 -
Fundamentals of CMOS VLSI 10EC56

1.12 Pseudo-NMOS inverter:


This circuit uses the load device which is p device and is made to turn on always by connecting
the gate terminal to the ground.

.IN
TS
Figure 31: Pseudo-NMOS inverter.
EN
Power consumption is High compared to CMOS inverter particularly when NMOS device is ON
because the p load device is always ON.
D
1.13 Saturated load inverter:
The load device is an nMOS transistor in the saturated load inverter. This type of inverter was
TU

used in nMOS technologies prior to the availability of nMOS depletion loads.


TS
CI

Figure 32: Saturated load inverter

CITSTUDENTS.IN Page - 40 -
Fundamentals of CMOS VLSI 10EC56

1.14 Transmission gates:


It‘s a parallel combination of pmos and nmos transistor with the gates connected to a
complementary input. After looking into various issues of pass transistors we will come back to
the TGs again.

.IN
Figure 33: Transmission gate

TS
1.15 Pass transistors:
We have n and p pass transistors.
D EN
TU

Figure 18: n and p pass transistors.


The disadvantage with the pass transistors is that, they will not be able to transfer the logic levels
TS

properly. The following table gives that explanation in detail.


CI

If Vdd (5 volts) is to be transferred using nMOS the output will be (Vdd-Vtn). POOR 1 or
Weak Logic 1

CITSTUDENTS.IN Page - 41 -
Fundamentals of CMOS VLSI 10EC56

If Gnd(0 volts) is to be transferred using nMOS the output will be Gnd. GOOD 0 or Strong
Logic 0
If Vdd (5 volts) is to be transferred using pMOS the output will be Vdd. GOOD 1 or Strong
Logic 1
If Gnd(0 volts) is to be transferred using pMOS the output will be Vtp. POOR 0 or Weak Logic
0.

1.16 Transmission gates (TGs):

.IN
It‘s a parallel combination of pmos and nmos transistor with the gates connected to a
complementary input. The disadvantages weak 0 and weak 1 can be overcome by using a TG
instead of pass transistors.

TS
Working of transmission gate can be explained better with the following equation. When _=‟0‟
n and p device off, Vin=0 or 1, Vo=‟Z‟ When _=‟1‟ n and p device on, Vin=0 or 1, Vo=0 or
EN
1 , where „Z‟ is high impedance. One more important advantage of TGs is that the reduction in
the resistance because two transistors will come in parallel and it is shown in the graph. The
graph shows the resistance of n and p pass transistors, and resistance of TG which is lesser than
D
the other two.
TU
TS
CI

Figure 19: Graph of resistance vs. input for pass transistors and TG.

CITSTUDENTS.IN Page - 42 -
Fundamentals of CMOS VLSI 10EC56

1.17 Tristate Inverter:


By cascading a transmission gate with an inverter the tristate inverter circuit can be obtained.
The working can be explained with the help of the circuit.

.IN
TS
Figure 20: Tristate Inverter EN
The two circuits are the same only difference is the way they are written. When CL is zero the
output of the inverter is in tristate condition. When CL is high the output is Z is the inversion of
the input A
D
TU
TS
CI

CITSTUDENTS.IN Page - 43 -
Fundamentals of CMOS VLSI 10EC56

Recommended questions:

1. Write a note on integration era.


2. What do you mean MOS.
3. Bring out the difference between enhancement mode and depletion mode MOS transistors.
4. Explain the types of MOS transistors.
5. What do you mean by fabrication.

.IN
6. Explain nMOS fabrication process.
7. Explain CMOS fabrication process.
8. Explain BiCMOS technology.

TS
9. What is the different between CMOS and BiCMOS technology.
10. Write a short note on production of E-beam.
11. Write MOS device design equation for all the region of operations.
EN
12. List the region of operations of MOS transistors.
13. Explain CMOS inverter with all the region of operations.
14. Write a note on static load MOS inverter and differential inverter with neat diagram.
D
15. Explain transmission gate.
16. Write a note on tristate inverter.
TU
TS
CI

CITSTUDENTS.IN Page - 44 -
Fundamentals of CMOS VLSI 10EC56

Unit-2

Circuit Design Processes


MOS layers, stick diagrams, Design rules and layout- lambda-based design and other
rules. Examples, layout diagrams, symbolic diagram, tutorial exercises.

Basic physical design of simple logic gates.

.IN
TS
Recommended readings:
EN
1. Douglas A. Pucknell & Kamran Eshraghian, “Basic VLSI Design” PHI 3rd Edition (original
Edition – 1994), 2005.
D
2. Neil H. E. Weste and K. Eshragian,” Principles of CMOS VLSI Design: A Systems
Perspective,” 2nd edition, Pearson Education (Asia) Pvt. Ltd., 2000. History of VLSI
TU

3. CMOS VLSI DESIGN—A circuits and systems perpective. 3rd edition N.H.Weste and David
TS

Harris. Addison-wesley.
CI

CITSTUDENTS.IN Page - 45 -
Fundamentals of CMOS VLSI 10EC56

2.1 Introduction:
In this chapter we are going to study how to get the schematic into stick diagrams or layouts.
MOS circuits are formed on four basic layers:
> N-diffusion
> P-diffusion
> Polysilicon
> Metal
These layers are isolated by one another by thick or thin silicon dioxide insulating layers.

.IN
Thin oxide mask region includes n-diffusion / p-diffusion and transistor channel.
2.2 Stick diagrams:
Stick diagrams may be used to convey layer information through the use of a color code. For example: n-

TS
diffusion--green poly--red blue-- metal yellow--implant black--contact areas.
Encodings for NMOS process:
D EN
TU
TS
CI

Figure 1: NMOS encodings.


Figure shows the way of representing different layers in stick diagram notation and mask layout using
nmos style.

CITSTUDENTS.IN Page - 46 -
Fundamentals of CMOS VLSI 10EC56

Figure l shows when a n-transistor is formed: a transistor is formed when a green line (n+ diffusion)
crosses a red line (poly) completely. Figure also shows how a depletion mode transistor is represented in
the stick format.

2.2.1 Encodings for CMOS process:

.IN
TS
D EN
TU
TS
CI

Figure 2: CMOS encodings.


Figure 2 shows when a n-transistor is formed: a transistor is formed when a green line (n+ diffusion)
crosses a red line (poly) completely.
Figure 2 also shows when a p-transistor is formed: a transistor is formed when a yellow line (p+
diffusion) crosses a red line (poly) completely.

2.2.2 Encoding for BJT and MOSFETs:

CITSTUDENTS.IN Page - 47 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure 3: Bi CMOS encodings.
There are several layers in an nMOS chip:
EN
_ a p-type substrate
_ paths of n-type diffusion
D
_ a thin layer of silicon dioxide
_ paths of polycrystalline silicon
TU

_ a thick layer of silicon dioxide


_ paths of metal (usually aluminum)
_ a further thick layer of silicon dioxide
TS

With contact cuts through the silicon dioxide where connections are required. The three layers
carrying paths can be considered as independent conductors that only interact where polysilicon crosses
diffusion to form a transistor. These tracks can be drawn as stick diagrams with _ diffusion in gr een _
polysilicon in red _ metal in blue using black to indicate contacts between layers and yellow to mark
CI

regions of implant in the channels of depletion mode transistors.


With CMOS there are two types of diffusion: n-type is drawn in green and p-type in brown.
These are on the same layers in the chip and must not meet. In fact, the method of fabrication required
that they be kept relatively far apart. Modern CMOS processes usually support more than one layer of
metal. Two are common and three or more are often available.
Actually, these conventions for colors are not universal; in particular, industrial (rather than
academic) systems tend to use red for diffusion and green for polysilicon. Moreover, a shortage of

CITSTUDENTS.IN Page - 48 -
Fundamentals of CMOS VLSI 10EC56

colored pens normally means that both types of diffusion in CMOS are colored green and the polarity
indicated by drawing a circle round p-type transistors or simply inferred from the context. Colorings for
multiple layers of metal are even less standard.
There are three ways that an nMOS inverter might be drawn:

.IN
TS
EN
Figure 4: nMOS depletion load inverter.
Figure4 shows schematic, stick diagram and corresponding layout of nMOS depletion load inverter
D
TU
TS
CI

Figure 5: CMOS inverter


Figure 5 shows the schematic, stick diagram and corresponding layout of CMOS inverter.

CITSTUDENTS.IN Page - 49 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure 6 shows the stick diagrams for nMOS NOR and NAND.
D EN
TU
TS

Figure 7: stick diagram of a given function f.


CI

CITSTUDENTS.IN Page - 50 -
Fundamentals of CMOS VLSI 10EC56

.IN
Figure 7 shows the stick diagram nMOS implementation of the function f= [(xy) +z]'.Figure 8 shows the
stick diagram CMOS NOR and NAND, where we can see that the p diffusion line never touched the n

TS
diffusion directly, it is always joined using a blue color metal line.

2.2.3NMOS and CMOS Design style:


In the NMOS style of representing the sticks for the circuit, we use only NMOS transistor, in
EN
CMOS we need to differentiate n and p transistor, that is usually by the color or in monochrome
diagrams we will have a demarcation line. Above the demarcation line are the p transistors and below the
demarcation are the n transistors. Following stick shows CMOS circuit example in monochrome where
D
we utilize the demarcation line.
TU
TS
CI

Figure 9: stick diagram of dynamic shift register in CMOS style.

CITSTUDENTS.IN Page - 51 -
Fundamentals of CMOS VLSI 10EC56

Figure 9 shows the stick diagram of dynamic shift register using CMOS style. Here the output of the T G
is connected as the input to the inverter and the same chain continues depending the number of bits.

2.3 Design Rules:


Design rules include width rules and spacing rules. Mead and Conway developed a set of
simplified scalable X -based design rules, which are valid for a range of fabrication technologies. In these
rules, the minimum feature size of a technology is characterized as 2 X. All width and spacing rules are
specified in terms of the parameter X. Suppose we have design rules that call for a minimum width of 2
X, and a minimum spacing of 3 X . If we select a 2 um technology (i.e., X = 1 um), the above rules are

.IN
translated to a minimum width of 2 um and a minimum spacing of 3 um. On the other hand, if a 1 um
technology (i.e., X = 0.5 um) is selected, then the same width and spacing rules are now specified as 1
um and 1.5 um, respectively.

TS
D EN
TU
TS
CI

Figure 10: Design rules for the diffusion layers and metal layers.
Figure 10 shows the design rule n diffusion, p diffusion, poly, metal1 and metal 2. The n and p diffusion
lines is having a minimum width of 2λ and a minimum spacing of 3λ.Similarly we are showing for other
layers.

CITSTUDENTS.IN Page - 52 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
EN
Figure 11: Design rules for transistors and gate over hang distance.
D
Figure shows the design rule for the transistor, and it also shows that the poly should extend for a
TU

minimum of 7k beyond the diffusion boundaries. (gate over hang distance)

What is Via?
TS

It is used to connect higher level metals from metal connection. The cross section and layout view given
figure 13 explain via in a better way.
CI

CITSTUDENTS.IN Page - 53 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure 12: cross section showing the contact cut and via
Figure shows the design rules for contact cuts and Vias. The design rule for contact is minimum2λx2λ
EN
and same is applicable for a Via.
D
TU
TS
CI

Figure 13: Design rules for contact cuts and vias


2.3.1 Buried contact: The contact cut is made down each layer to be joined and it is shown in figure 14.

CITSTUDENTS.IN Page - 54 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure 14: Buried contact.
2.3.2 Butting contact: The layers are butted together in such a way the two contact cuts become
contiguous. We can better under the butting contact from figure 15.
D EN
TU
TS

Figure 15: Butting contact.


CI

2.4 CMOS LAMBDA BASED DESIGN RULES:


Till now we have studied the design rules wrt only NMOS, what are the rules to be followed if we have
the both p and n transistor on the same chip will be made clear with the diagram. Figure 16 shows the
rules to be followed in CMOS well processes to accommodate both n and p transistors.

CITSTUDENTS.IN Page - 55 -
Fundamentals of CMOS VLSI 10EC56

.IN
Figure 16: CMOS design rules.

TS
2.4.1 Orbit 2μm CMOS process:
In this process all the spacing between each layers and dimensions will be in terms micrometer. The 2^m
here represents the feature size. All the design rules whatever we have seen will not have lambda instead
EN
it will have the actual dimension in micrometer.
In one way lambda based design rules are better compared micrometer based design rules, that is lambda
based rules are feature size independent.
Figure 17 shows the design rule for BiCMOS process using orbit 2um process.
D
TU
TS
CI

Figure 17: BiCMOS design rules.


The following is the example stick and layout for 2way selector with enable (2:1 MUX).

CITSTUDENTS.IN Page - 56 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure 18: Two way selector stick and layout
D EN
TU
TS
CI

CITSTUDENTS.IN Page - 57 -
Fundamentals of CMOS VLSI 10EC56

2.5 BASIC PHYSICAL DESIGN AN OVERVIEW

The VLSI design flow for any IC design is as follows


1 .Specification (problem definition)
2. Schematic (gate level design) (equivalence check)
3. Layout (equivalence check)

.IN
4. Floor Planning
5 .Routing, Placement
6. On to Silicon

TS
When the devices are represented using these layers, we call it physical design. The
design is carried out using the design tool, which requires to follow certain rules. Physical
structure is required to study the impact of moving from circuit to layout. When we draw the
EN
layout from the schematic, we are taking the first step towards the physical de sign. Physical
design is an important step towards fabrication. Layout is representation of a schematic into
layered diagram. This diagram reveals the different layers like ndiff, polysilicon etc that go into
D
formation of the device. At every stage of the physical design simulations are carried out to
verify whether the design is as per requirement. Soon after the layout design the DRC check is
TU

used to verify minimum dimensions and spacing of the layers. Once the layout is done, a layout
versus schematic check carried out before proceeding further. There are different tools available
for drawing the layout and simulating it.
TS

The simplest way to begin a layout representation is to draw the stick diagram. But as the
complexity increases it is not possible to draw the stick diagrams. For beginners it easy to draw
CI

the stick diagram and then proceed with the layout for the basic digital gates. We will have a
look at some of the things we should know before starting the layout. In the schematic
representation lines drawn between device terminals represent interconnections and any no
planar situation can be handled by crossing over. But in layout designs a little more concern
about the physical interconnection of different layers. By simply drawing one layer above the
other it not possible to make interconnections, because of the different characters of each layer.
Contacts have to be made whenever such interconnection is required. The power and the ground

CITSTUDENTS.IN Page - 58 -
Fundamentals of CMOS VLSI 10EC56

connections are made using the metal and the common gate connection using the polysilicon.
The metal and the diffusion layers are connected using contacts. The substrate contacts are made
for same source and substrate voltage. Which are not implied in the schematic. These layouts are
governed by DRC's and have to be atleast of the minimum size depending on the technology
used. The crossing over of layers is another aspect which is of concern and is addressed next.

1. Poly crossing diffusion makes a transistor

2. Metal of the same kind crossing causes a short.

.IN
3. Poly crossing a metal causes no interaction unless a contact is made.
Different design tricks need to be used to avoid unknown creations. Like a combination of
metal1 and metal 2 can be used to avoid short. Usually metal 2 is used for the global vdd and vss

TS
lines and metal1 for local connections.

2.6 SCHEMATIC AND LAYOUT OF BASIC GATES

1. CMOS INVERTER/NOT GATE SCHEMATIC


D EN
TU
TS

Figure 19: Inverter.

TOWARDS THE LAYOUT


CI

CITSTUDENTS.IN Page - 59 -
Fundamentals of CMOS VLSI 10EC56

Figure 20: Stick diagram of inverter.


The diagram shown here is the stick diagram for the CMOS inverter. It consists of a Pmos and a Nmos
connected to get the inverted output. When the input is low, Pmos (yellow) is on and pulls the output to vdd; hence
it is called pull up device. When Vin =1, Nmos (green) is on it pulls Vout to Vss, hence Nmos is a pull down device.
The red lines are the poly silicon lines connecting the gates and the blue lines are the metal lines for VDD (up) and
VSS (down).The layout of the cmos inverter is shown below. La yout also gives the minimum dimensions of
different layers, along with the logical connections and main thing about layouts is that can be simulated and
checked for errors which cannot be done with only stick diagrams.

.IN
TS
D EN
TU
TS

Figure 21: Layout of inverter.


CI

The layout shown above is that of a CMOS inverter. It consists of a pdiff (yellow colour) forming the pmos
at the junction of the diffusion and the polysilicon (red colour) shown hatched ndiff (green) forming the nmos(area
hatched).The different layers drawn are checked for their dimensions using the DRC rule check of the tool used for
drawing. Only after the DRC (design rule check) is passed the design can proceed further. Further the design
undergoes Layout Vs Schematic checks and finally the parasitic can be extracted.

CITSTUDENTS.IN Page - 60 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure 22: Schematic diagrams of nand and nor gate

We can see that the nand gate consists of two pmos in parallel which forms the pull up logic and two nmos
EN
in series forming the pull down logic. It is the complementary for the nor gate. We get inverted logic from CMOS
structures. The series and parallel connections are for getting the right logic output. The pull up and the pull down
devices must be placed to get high and low outputs when required.
D
TU
TS
CI

Figure 23: Stick diagrams of nand gate.

CITSTUDENTS.IN Page - 61 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure 24: Layout of nand gate.
D EN
TU
TS
CI

Figure 25: Stick diagram of nor gate.

CITSTUDENTS.IN Page - 62 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure 26: Layout of nor gate.
EN
2.7 TRANSMISSION GATE
D
TU
TS
CI

Figure 27: Symbol and schematic of transmission gate


Layout considerations of transmission gate. It consists of drains and the sources of the P&N
devices paralleled. Transmission gate can replace the pass transistors and has the advantage of
giving both a good one and a good zero.

CITSTUDENTS.IN Page - 63 -
Fundamentals of CMOS VLSI 10EC56

.IN
Figure 28: layout of transmission gate.

TS
D EN
Figure 29: TG with nmos switches.
TU

2.8 CMOS STANDARD CELL DESIGN


Geometric regularity is very important to maintain some common electrical characteristics between the
cells in the library. The common physical limitation is to fix the height and vary the width according to the required
TS

function. The Wp and Wn are fixed considering power dissipation, propagation delay, area and noise immunity. The
best thing to do is to fix a required objective function and then fix Wn and Wp to obtain the required objective
Usually in CMOS Wn is made equal to Wp. In the process of designing these gates techniques may be employed to
automatically generate the gates of common size. Later optimization can be carried out to achieve a specific feature.
CI

Gate array layout and sea of gate layout are constructed using the above techniques.

The gate arrays may be customized by having routing channels in between array of gates. The gate array
and the sea of gates have some special layout considerations. The gate arrays use fixed image of the under layers
i.e. the diffusion and poly are fixed and metal are programmable.

The wiring layers are discretionary and providing the personalization of the array. The rows of transistors
are fixed and the routing channels are provided in between them. Hence the design issue involves size of transistors,
connectivity of poly and the number of routing channels required. Sea of gates in this style continuous rows of n and

CITSTUDENTS.IN Page - 64 -
Fundamentals of CMOS VLSI 10EC56

p diffusion run across the master chip and are arranged without regard to the routing channel. Finally the routing is
done across unused transistors saving space.

2.9 GENERAL LAYOUT GUIDELINES


1. The electrical gate design must be completed by checking the following
a. Right power and ground supplies
b. Noise at the gate input
c. Faulty connections and transistors
d. Improper ratios
c. Incorrect clocking and charge sharing

.IN
2. VDD and the VSS lines run at the top and the bottom of the design
3. Vertical poysilicon for each gate input
4. Order polysilicon gate signals for maximal connection between transistors

TS
5. The connectivity requires to place nmos close to VSS and pmos close to VDD
6. Connection to complete the logic must be made using poly, metal and even metal2

The design must always proceeds towards optimization. Here optimization is at transistor level rather then
gate level. Since the density of transistors is large, we could obtain smaller and faster layout by designing logic
EN
blocks of 1000 transistors instead of considering a single at a time and then putting them together. Density
improvement can also be made by considering optimization of the other factors in the layout.

The factors are


D
l. Efficient routing space usage. They can be placed over the cells or even in multiple layers.
TU

2. Source drain connections must be merged better.


3. White (blank) spaces must be minimum
4. The devices must be of optimum sizes.

5. Transperent routing can be provided for cell to cell interconnection, this reduces global wiring problems
TS

2.10 LAYOUT OPTIMIZATION FOR PERFORMANCE


l. Vary the size of the transistor according to its position in series. The transistor closest to the
output is the smallest. The transistor nearest to the VSS line is the largest. This helps in
CI

increasing the performance by 30 %. A three input nand gate with the varying size is shown next.

CITSTUDENTS.IN Page - 65 -
Fundamentals of CMOS VLSI 10EC56

.IN
Figure 30: Layout optimization with varying diffusion areas.

TS
2. Less optimized gates could occur even in the case of parallel connected transistors. This is
usually seen in parallel inverters, nor & nand. When drains are connected in parallel, we must try
and reduce the number of drains in parallel i.e. wherever possible we must try and connect drains
EN
in series at least at the output. This arrangement could reduce the capacitance at the output
enabling good voltage levels. One example is as shown next.
D
TU
TS
CI

Figure 30: Layout of nor gate showing series and parallel drains.

CITSTUDENTS.IN Page - 66 -
Fundamentals of CMOS VLSI 10EC56

Recommended questions:
1. What do you mean by MOS layers.
2. Define stick diagram.
3. Explain design rules and layout.
4. Explain lambda-based design rules and layout diagram with an example.
5. Explain physical design flow for a simple logic gates.
6. Explain with an example the design flow for basic gates.

.IN
TS
D EN
TU
TS
CI

CITSTUDENTS.IN Page - 67 -
Fundamentals of CMOS VLSI 10EC56

UNIT- 3

CMOS LOGIC STRUCTURES

CMOS complementary logic, BiCMOS logic, Pseudo-nMOS logic, Dynamic


CMOS logic, clocked CMOS logic, Pass transistor logic, CMOS domino logic cascaded
voltage switch logic (CVSL).

.IN
TS
Recommended readings: EN
1. Douglas A. Pucknell & Kamran Eshraghian, “Basic VLSI Design” PHI 3rd Edition (original
Edition – 1994), 2005.
D
TU

2. Neil H. E. Weste and K. Eshragian,” Principles of CMOS VLSI Design: A System


Perspective,” 2nd edition, Pearson Education (Asia) Pvt. Ltd., 2000. History of VLSI

3. CMOS VLSI DESIGN—A circuits and systems perpective. 3rd edition N.H.Weste and David
TS

Harris. Addison-wesley.
CI

CITSTUDENTS.IN Page - 68 -
Fundamentals of CMOS VLSI 10EC56

3.1 Introduction:
The various applications that require logic structures have different optimizations. Some
of the circuit needs fast response, some slow but very precise response; others may need large
functionality in a small space and so on. The CMOS logic structures can be implemented in
alternate ways to get specific optimization. These optimizations are specific because of the
tradeoff between the n numbers of design parameters.

3.2 CMOS COMPLEMENTARY LOGIC

.IN
CMOS logic structures of nand & nor has been studied in previous unit. They were
ratioed logic i.e. they have fixed ratio of sizes for the n and the p gates. It is possible to have ratio
less logic by varying the ratio of sizes which is useful in gate arrays and sea of gates. Variable

TS
ratios allow us to vary the threshold and speed .If all the gates are of the same size the circuit is
likely to function more correctly. Apart from this the supply voltage can be increased to get
better noise immunity. The increase in voltage must be done within a safety margin of the source
EN
-drain break down. Supply voltage can be decreased for reduced power dissipation and also meet
the constraints of the supply voltage. Sometimes even power down with low power dissipation is
required. For all these needs an on chip voltage regulator is required which may call for
D
additional space requirement. A CMOS requires a nblock and a pblock for completion of the
logic. That is for a n input logic 2n gates are required. The variations to this circuit can include
TU

the following techniques reduction of noise margins and reducing the function determining
transistors to one polarity.
TS

3.3 BICMOS Logic


The CMOS logic structures have low output drive capability. If bipolar transistors are used at the
output the capability can be enhanced. Bipolar transistors are current controlled devices and
CI

produces larger output current then the CMOS transistors. This combined logic is called
BICMOS logic. We can have the bipolar transistors both for pull up and pull down or only for
pull up as shown in the figures below. The figure next shows a CMOS nand gate with NPN
transistors at both levels. The Nl & N2 supply current to the base of the NPN2 transistor when
the output is high and hence the it can pull it down with larger speed. When the output is low N3
clamps the base current to NPN2, Pl & P2 supply the base current to NPNl

CITSTUDENTS.IN Page - 69 -
Fundamentals of CMOS VLSI 10EC56

.IN
TS
.
Figure 1: Nand with two NPN drivers EN
This design shown previously is basically used for speed enhancing in highly automated
designs like gate arrays. Since the area occupied by the Bipolar transistors is more and if the aim
in the design is to match the pull up and pull down speeds then we can have a transistor only in
the pull up circuit because p devices are slower as shown in the figure next. The usage of
D
BiCMOS must be done only after a trade off is made between the cost, performance etc.
TU
TS
CI

Figure 2: Nand with one NPN in pull up.

CITSTUDENTS.IN Page - 70 -
Fundamentals of CMOS VLSI 10EC56

3.4 PSEUDO NMOS LOGIC


This logic structure consists of the pull up circuit being replaced by a single pull up pmos whose gate is
permanently grounded. This actually means that pmos is all the time on and that now for a n input logic we have
only n+1 gates. This technology is equivalent to the depletion mode type and preceded the CMOS technology and
hence the name pseudo. The two sections of the device are now called as load and driver. The Gn/Gp
(Gdriver/Gload) has to be selected such that sufficient gain is achieved to get consistent pull up and pull down
levels. This involes having ratioed transistor sizes so that correct operation is obtained. However if minimum size
drivers are being used then the gain of the load has to be reduced to get adequate noise margin.

.IN
There are certain drawbacks of the design which is highlighted next
1. The gate capacitance of CMOS logic is two unit gates but for pseudo logic it is only one gate unit.
2. Since number of transistors per input is reduced area is reduced drastically.
The disadvantage is that since the pMOS is always on, static power dissipation occurs whenever

TS
the nmos is on. Hence the conclusion is that in order to use pseudo logic a tradeoff between size
& load or power dissipation has to be made.
D EN
TU
TS
CI

Figure 3: Pseudo Nmos

CITSTUDENTS.IN Page - 71 -
Fundamentals of CMOS VLSI 10EC56

3.4.1 OTHER VARIATIONS OF PSEUDO NMOS


1. Multi drain logic
One way of implementing pseudo nmos is to use multi drain logic. It represents a merged
transistor kind of implementation. The gates are combined in an open drain manner, which is
useful in some automated circuits. Figure 4.

.IN
TS
Figure 4: Multi drain logic.
3.4.2 GANGED LOGIC
D EN
TU
TS
CI

The inputs are separately connected but the output is connected to a common terminal. The logic
depends on the pull up and pull down ratio. If pmos is able to overcome nmos it behaves as nand
else nor.

CITSTUDENTS.IN Page - 72 -
Fundamentals of CMOS VLSI 10EC56

3.5 DYNAMIC CMOS LOGIC:

.IN
TS
Figure 5: Dynamic CMOS logic
EN
This logic looks into enhancing the speed of the pull up device by precharging the output
node to vdd. Hence we need to split the working of the device into precharge and evaluate stage
D
for which we need a clock. Hence it is called as dynamic logic. The output node is precharged to
vdd by the pmos and is discharged conditionally through the nmos. Alternatively you can also
TU

have a p block and precharge the n transistor to vss. When the clock is low the precharge phase
occurs. The path to Vss is closed by the nmos i.e. the ground switch. The pull up time is
improved because of the active pmos which is already precharged. But the pull down time
TS

increases because of the ground switch.

There are a few problems associated with the design, like


1. Inputs have to change during the precharge stage and must be stable during the evaluate. If
CI

this condition cannot occur then charge redistribution corrupts the output node.
2. A simple single dynamic logic cannot be cascaded. During the evaluate phase the first gate
will conditionally discharge but by the time the second gate evaluates, there is going to be a
finite delay. By then the first gate may precharge.

CITSTUDENTS.IN Page - 73 -
Fundamentals of CMOS VLSI 10EC56

3.6 CLOCKED CMOS LOGIC (C2MOS)

.IN
TS
EN
Figure 6: C2mos logic.
3.7 CMOS DOMINO LOGIC
D
The disadvantage associated with the dynamic CMOS is over come in this logic. In this
TU

we are able to cascade logic blocks with the help of a single clock. The precharge and the
evaluate phases retained as they were. The change required is to add a buffer at t he end of each
stage. This logic works in the following manner. When the clk=0, ie during the precharge stage
TS

the output of the dynamic logic is high and the output of the buffer is low. Since the subsequent
stages are fed from the buffer they are all off in the precharge stage. When the gate is evaluated
in the next phase, the output conditionally goes low and the output of the buffer goes high. The
CI

subsequent gates make a transition from high to low.

CITSTUDENTS.IN Page - 74 -
Fundamentals of CMOS VLSI 10EC56

.IN
Figure 7: Cmos domino logic.
Hence in one clock cycle the cascaded logic makes only one transition from 1 to 0 and

TS
buffer makes a transition from 0 to 1.In effect we can say that the cascaded logic falls like a line
of dominos, and hence the name. The advantage is that any number of logic blocks can be
cascaded provided the sequence can be evaluated in a single clock cycle. Single clock can be
EN
used to precharge and evaluate all the logic in a block. The limitation is that each stage must be
buffered and only non- inverted structures are possible.
A further fine tuning to the domino logic can also be done. Cascaded logic can now
D
consist of alternate p and n blocks and avoid the domino buffer. When clk=0,ie during the
precharge stage, the first stage (with n logic) is precharged high and the second a p logic is
TU

precharged low and the third stage is high. Since the second stage is low, the n transistor is off.
Hence domino connections can be made.
The advantages are we can use smaller gates, achieve higher speed and get a smooth operation.
TS

Care must be taken to ensure design is correct.


CI

CITSTUDENTS.IN Page - 75 -
Fundamentals of CMOS VLSI 10EC56

3.7.1 NP DOMINO LOGIC (ZIPPER CMOS)

.IN
TS
Figure 8: NP domino logic.
3.8 CASCADED VOLTAGE SWITCH LOGIC EN
It is a differential kind of logic giving both true and complementary signal outputs. The
switch logic is used to connect a combinational logic block to a high or a low output. There are
static and dynamic variants .The dynamic variants use a clock. The st atic version (all the figures
D
to shown next) is slower because the pulls up devices have to overcome the pull down devices.
Hence the clocked versions with a latching sense amplifier came up. These switch logic are
TU

called sample set differential logic.


3.8.1 STATIC CVSL
TS
CI

Figure 9: Static CVSL

CITSTUDENTS.IN Page - 76 -
Fundamentals of CMOS VLSI 10EC56

3.8.2 DYNAMIC CVSL

.IN
TS
Figure 10: Dynamic CVSL EN
3.8.3 DYNAMIC SSDL CVSL
D
TU
TS
CI

Figure 11: Dynamic SSDLCVSL.

CITSTUDENTS.IN Page - 77 -
Fundamentals of CMOS VLSI 10EC56

3.9 PASS TRANSISTOR LOGIC


Switches and switch logic can be formed from simple n or p transistors and from the
complementary switch i.e. the transmission gate. The complex transmission gate came into
picture because of the undesirable threshold effects of the simple pass transistors. Transmissio n
gate gives good non degraded logic levels. But this good package came at the cost of larger area
and complementary signals required to drive the gates

.IN
TS
D EN
Figure 12: Some properties of pass transistor.
TU

3.10 CMOS Technology Logic Circuit Structures


Many different logic circuits utilizing CMOS technology have been invented and used in various
applications. These can be divided into three types or families of circuits:
TS

1. Complementary Logic
Standard CMOS
Clocked CMOS (C2MOS)
CI

BICMOS (CMOS logic with Bipolar driver)


2. Ratio Circuit Logic
Pseudo-NMOS
Saturated NMOS Load
Saturated PMOS Load
Depletion NMOS Load (E/D)
Source Follower Pull-up Logic (SFPL)

CITSTUDENTS.IN Page - 78 -
Fundamentals of CMOS VLSI 10EC56

3. Dynamic Logic:
CMOS Domino Logic
NP Domino Logic (also called Zipper CMOS)
NOR A Logic
Cascade voltage Switch Logic (CVSL)
Sample-Set Differential Logic (SSDL)
Pass-Transistor Logic

.IN
The large number of implementations shown so far may lead to confusion as to what to use
where. Here are some inputs
1. Complementary CMOS

TS
The best option, because of the less dc power dissipation, noise immuned and fast. The logic is
highly automated. Avoid in large fan outs as it leads to excessive levels of logic.
EN
2. BICMOS
It can be used in high speed applications with large fan-out. The economics must be justified.
PSUEDO –NMOS
Mostly useful in large fan in NOR gates like ROMS, PLA and CLA adders. The DC power can
D
be reduced to 0 in case of power down situations
TU

Clocked CMOS
Useful in hot electron susceptible processes.
CMOS domino logic
TS

Used mostly in high speed low power application. Care must take of charge redistribution.
Precharge robs the speed advantage.
CVSL
CI

This is basically useful in fast cascaded logic .The size; design complexity and reduced noise
immunity make the design not so popular.
Hybrid designs are also being tried for getting the maximum advantage of each of them
into one.

CITSTUDENTS.IN Page - 79 -
Fundamentals of CMOS VLSI 10EC56

Recommended Questions:
1. Explain difference between BiCMOS and CMOS complementary logic.
2. Write a note on Pseudo-nMOS logic.
3. Write a note on dynamic CMOS logic and Clocked CMOS logic.
4. Explain pass transistor logic.
5. Explain CMOS domino logic with neat diagram.
6. Explain cascaded voltage switch logic.

.IN
TS
D EN
TU
TS
CI

CITSTUDENTS.IN Page- 80
Fundamentals of CMOS VLSI 10EC56

Unit-4

Basic circuit concepts


Sheet resistance, area capacitances, capacitances calculations. The delay unit, inverter delays,
driving capacitive loads, propagation delays, wiring capacitances.

Scaling of MOS circuits

.IN
Scaling models and factors, limits on scaling, limits due to current density and noise.

TS
Recommended readings:
EN
1. Douglas A. Pucknell & Kamran Eshraghian, “Basic VLSI Design” PHI 3rd Edition (original
D
Edition – 1994), 2005.
TU

2. Neil H. E. Weste and K. Eshragian,” Principles of CMOS VLSI Design: A Systems

Perspective,” 2nd edition, Pearson Education (Asia) Pvt. Ltd., 2000. History of VLSI
TS

3. CMOS VLSI DESIGN—A circuits and systems perpective. 3rd edition N.H.Weste and David

Harris. Addison-wesley.
CI

CITSTUDENTS.IN Page- 81
Fundamentals of CMOS VLSI 10EC56

4.1 INTRODUCTION
We have already seen that MOS structures are formed by the super imposition of a
number conducting, insulating and transistor forming material. Now each of these layers have
their own characteristics like capacitance and resistances. These fundamental components are
required to estimate the performance of the system. These layers also have inductance
characteristics that are important for I/O behavior but are usually neglected for on chip devices.

.IN
The issues of prominence are
1. Resistance, capacitance and inductance calculations.
2. Delay estimations

TS
3. Determination of conductor size for power and clock distribution
4. Power consumption
5. Charge sharing EN
6. Design margin
7. Reliability
8. Effects and extent of scaling
D
4.2 RESISTANCE ESTIMATION
The concept of sheet resistance is being used to know the resistive behavior of the layers
TU

that go into formation of the MOS device. Let us consider a uniform slab of conducting material
of the following characteristics.
Resistivity-ρ
TS

Width - W
Thickness - t
Length between faces – L as shown next
CI

CITSTUDENTS.IN Page- 82
Fundamentals of CMOS VLSI 10EC56

Figure 1: A slab of semiconductor.


We know that the resistance is given by RAB= ρ L/A Ω. The area of the slab considered
above is given by A=Wt. Therefore RAB= ρ L/Wt Ω. If the slab is considered as a square then
L=W. therefore RAB= ρ /t which is called as sheet resistance represented by Rs. The unit of
sheet resistance is ohm per square. It is to be noted that Rs is independent of the area of the
slab. Hence we can conclude that a 1um per side square has the same resistance as that of 1cm
per side square of the same material. The resistances of the different materials that go into
making of the MOS device depend on the resistivity and the thickness of the material. For a

.IN
diffusion layer the depth defines the thickness and the impurity defines the resistivity. The table
of values for a 5u technology is listed below.5u technology means minimu m line width is 5u and
λ= 2.5u.The diffusion mentioned in the table is n diffusion, p diffusion values are 2.5 times of

TS
that of n. The table of standard sheet resistance value follows.
D EN
TU
TS
CI

SHEET RESISTANCE OF MOS TRANSISTORS

CITSTUDENTS.IN Page- 83
Fundamentals of CMOS VLSI 10EC56

.IN
Figure 2: Min sized inverter.

TS
D EN
TU

Figure 3: Nmos depletion inverter.

Pull up to pull down ratio = 4.In this case when the nmos is on, both the devices are on
simultaneously, Hence there is an on resistance Ron = 40+10 =50k. It is this resistance that leads
TS

the static power consumption which is the disadvantage of nmos depletion mode devices
CI

Figure 4: CMOS inverter.

CITSTUDENTS.IN Page- 84
Fundamentals of CMOS VLSI 10EC56

Since both the devices are not on simultaneously there is no static power dissipation The
resistance of non rectangular shapes is a little tedious to estimate. Hence it is easier to convert the
irregular shape into regular rectangular or square blocks and then estimate the resistance. For
example

.IN
TS
Figure 5: Irregular rectangular shapes.
EN
CONTACT AND VIA RESISTANCE
The contacts and the vias also have resistances that depend on the contacted materials and
D
the area of contact. As the contact sizes are reduced for scaling ,the associated resistance
increases. The resistances are reduced by making ohmic contacts which are also called loss less
TU

contacts. Currently the values of resistances vary from .25ohms to a few tens of ohms.
SILICIDES
The connecting lines that run from one circuit to the other have to be optimized. For this
TS

reason the width is reduced considerably. With the reduction is width the sheet resistance
increases, increasing the RC delay component. With poly silicon the sheet resistance values vary
from 15 to 100 ohm. This actually effects the extent of scaling down process. Polysilicon is
CI

being replaced with silicide. Silicide is obtained by depositing metal on polysilicon and then
sintering it. Silicides give a sheet resistance of 2 to 4 ohm. The reduced sheet resistance makes
silicides a very attractive replacement for poly silicon. But the extra processing steps is an offset
to the advantage.

CITSTUDENTS.IN Page- 85
Fundamentals of CMOS VLSI 10EC56

A Problem
A particular layer of MOS circuit has a resistivity ρ of 1 ohm –cm. The section is 55um long,
5um wide and 1 um thick. Calculate the resistance and also find Rs
R= RsxL/W, Rs= ρ/t
Rs=1x10-2/1x10-6=104ohm
R= 104x55x10-6/5x106=110k
CAPACITANCE ESTIMATION
Parasitics capacitances are associated with the MOS device due to different layers that go into its

.IN
formation. Interconnection capacitance can also be formed by the metal, diffusion and
polysilicon (these are often called as runners) in addition with the transistor and conductor
resistance. All these capacitances actually define the switching speed of the MOS device.

TS
Understanding the source of parasitics and their variation becomes a very essential part of the
design specially when system performance is measured in terms of the speed. The various
capacitances that are associated with the CMOS device are
EN
1. Gate capacitance - due to other inputs connected to output of the device
2. Diffusion capacitance - Drain regions connected to the output
3. Routing capacitance- due to connections between output and other inputs
D
The fabrication process illustrates that the conducting layers are apparently separated from the
TU

substrate and other layers by the insulating layer leading to the formation of parallel capacitors.
Since the silicon dioxide is the insulator knowing its thickness we can calculate the capacitance
TS
CI

The gate to channel capacitance formed due to the sio2 separation is the most profound of
the mentioned three types. It is directly connected to the input and the output. The other
capacitance like the metal, poly can be evaluated against the substrate. The gate capacitance is
therefore standardized so as to enable to move from one technology to the other conveniently.

The standard unit is denoted by ロCg. It represents the capacitance between gate to channel with

CITSTUDENTS.IN Page- 86
Fundamentals of CMOS VLSI 10EC56

W=L=min feature size. Here is a figure showing the different capacitances that add up to give
the total gate capacitance
Cgd, Cgs = gate to channel capacitance lumped at the source and drain
Csb, Cdb = source and drain diffusion capacitance to substrate
Cgb = gate to bulk capacitance
Total gate capacitance Cg = Cgd+Cgs+Cgb
Since the standard gate capacitance has been defined, the other capacitances like polysilicon,
metal, diffusion can be expressed in terms of the same standard units so that the total capacitance

.IN
can be obtained by simply adding all the values. In order to express in standard values the
following steps must be followed
1. Calculate the areas of area under consideration relative to that of standard gate i.e.4λ2.

TS
(standard gate varies according to the technology)
2. Multiply the obtained area by relative capacitance values tabulated.
3. This gives the value of the capacitance in the standard unit of capacitance ロ Cg.
EN
Table 1: Relative value of Cg
D
TU
TS
CI

CITSTUDENTS.IN Page- 87
Fundamentals of CMOS VLSI 10EC56

For a Su technology the area of the minimum .ized tran.istor is Su Su=-Sum2 ie


:.=2.Su. hcnce.area of minimum .izec.l t ran i. tor in lambda is 2:; :. = .2.Th refore
for 2u or l._u or any other technology the aren of a minimum sized tran.i.t r in
lambda is "-· Let. . olve a few pr blcm. to get to know the things be tter.

4)

.IN
Figure 6 :Multilayered structure 2

TS
TIP figure above h w the dimen ion and the intemction of different layer ·. for
evaluating the total capacitance re. ulling ·o.
Thre capacitance to b evaluated metal Cm.poly ilicon p and ga te capacitance g
EN
Area of metal = I00x 3=300:;2
Rel ative area= 300/4=75
D
Cm=75Xrela tive cap=75X0.075=5.625D Cg
Polysilicon capacitance Cp
TU

Area of poly=(4x4+Ix 2+2X2)=22:;2


Rel ative area = 22: 2/4:; 2=5.5

Cp=5.5Xrelative cap=5.5x.1=0.55 D Cg
TS

Gate capacitance Cg= IDCg becau e it is a min size gate

Ct=Cm+Cp+Cg=5.625+0.55+I=7.2 D Cg
CI

CITSTUDENTS.IN Page- 88
Fundamentals of CMOS VLSI 10EC56

50 4:. 50

31

2J.

.IN
Cin
T

TS
Figure 7 :Mos structure
EN
The input capacitance i s made of three componen t metal capacitance Cm , poly
capacita nce Cp, gate capacitance Cg i.e Cin= Cm+Cg+Cp
Rel ative area of metal =(50x3)X2/4=300/4=75
Cm=75x0.075=5.6251J Cg
D
Rel ative area of pol y = (4x4+2x 1 +2x2)/4 =2214 =5.5
TU

Cp=5.5XO. l =0.55 IJ Cg
Cg=l IJ Cg
Cin=7.175 IJ Cg
TS

Cout = Cd+Cperi. Assuming Cperi to be negl igible.


Cout = Cd.
Relative area of d iffu ion=51 x2/4= I 0214=25.5
CI

Cd=25.5x0.25=6.25 IJ Cg.
The relati ve va l ues a re for the Sum technol ogy
DELAY The concept of sheet resi stance and tandard unit capacitance ca n be used to
calcul ate the delay. If we consider that a o ne feature ize poly is ch arged by one
featu re si ze d iffusion then the delay i s Time consta nt 1 == Rs (n/p cha nnel)x I IJ Cg
sees. Thi s can be evaluated for any tech nology. The va lue of IJ Cg w ill vary wi th
d ifferent technologie because of the varia tion in the minimum feature size.

CITSTUDENTS.IN Page- 89
Fundamentals of CMOS VLSI 10EC56

4.3 INVERTER DELAYS


We have seen that the inverter is associated with pull up and pull down resistance values.
Specially in nmos inverters. Hence the delay associated with the inverter will depend on whether

.IN
it is being turned off or on. If we consider two inverters cascaded then the total delay will remain
constant irrespective of the transitions. Nmos and CMOS inverter delays are shown next.
NMOS INVERTER

TS
EN
Figure 8: Cascaded nmos inverters.
D
TU

4.4 CMOS INVERTER:


TS
CI

Figure 9: Cascaded CMOS inverter.

CITSTUDENTS.IN Page- 90
Fundamentals of CMOS VLSI 10EC56

.IN
4.5 FORMAL ESTIMATION OF DELAY
The inverter either charges or discharges the load capacitance CL. We could also estimate the
delay by estimating the rise time and fall time theoretically.

TS
D EN
TU
TS

Figure 10: Rise time estimation.


CI

CITSTUDENTS.IN Page- 91
Fundamentals of CMOS VLSI 10EC56

4.6 DRIVING LARGE CAPACITIVE LOAD


The problem of driving large capacitive loads arises when signals must travel outside the
chip. Usually it so happens that the capacitance outside the chip are higher. To reduce the delay
these loads must be driven by low resistance. If we are using a cascade of inverter as drivers the
pull and pull down resistances must be reduced. Low résistance means low L: W ratio. To reduce
the ratio, W must be increased. Since L cannot be reduced to lesser than minimum we end up
having a device which occupies a larger area. Larger area means the input capacitance increases

.IN
and slows down the process more. The solution to this is to have N cascaded inverters with their
sizes increasing, having the largest to drive the load capacitance. Therefore if we have 3
inverters,1st is smallest and third is biggest as shown next.

TS
D EN
Figure 11: Cascaded inverters with varying widths.
TU
TS
CI

CITSTUDENTS.IN Page- 92
Fundamentals of CMOS VLSI 10EC56

.IN
TS
4.7 SUPER BUFFER
EN
The asymmetry of the inverters used to solve delay problems is clearly undesirable, this also
leads to more delay problems, super buffer are a better solution. We have a inverting and non
D
inverting variants of the super buffer. Such arrangements when used for 5u technology showed
that they were capable of driving 2pf capacitance with 2nsec rise time. The figure shown next is
TU

the inverting variant.


TS
CI

Figure 12: Inverting buffer.

CITSTUDENTS.IN Page- 93
Fundamentals of CMOS VLSI 10EC56

.IN
TS
Figure 13: NonInverting buffer.

4.8 BICMOS DRIVERS


D EN
TU
TS
CI

CITSTUDENTS.IN Page- 94
Fundamentals of CMOS VLSI 10EC56

.IN
TS
EN
By taking certain care during fabrication reasonably good bipolar devices can be produced with
large hfe, gm ,ß and small Rc. Therefore bipolar devices used in buffers and logic circuits give
D
the designers a lot of scpoe and freedom .This is coming without having to do any changes wit h
the CMOS circuit.
TU

4.9 PROPAGATION DELAY


TS
CI

CITSTUDENTS.IN Page- 95
Fundamentals of CMOS VLSI 10EC56

.IN
4.10 DESIGN OF LONG POLYSILICONS
The following points must be considered before going in for long wire.

TS
1. The designer is also discouraged from designing long diffusion lines also because the
capacitance is much larger.
2. When it inevitable and long poly lines have to used the best way to reduce delay is use bu ffers
EN
in between. Buffers also reduce the noise sensitivity.
4.11 OTHER SOURCES OF CAPACITANCE
Wiring capacitance
D
1. Fringing field
TU

2. Interlayer capacitance
3. Peripheral capacitance
TS
CI

CITSTUDENTS.IN Page- 96
Fundamentals of CMOS VLSI 10EC56

The capacitance are profound when the devices are shrun k in sizes a nd hence must
be con idered. Now the total diffusion capacita nce is Ctotal = Carea + Cperi
In order to reduce the ide wall effect , the designer con ider to u e i alatio n region
of alternate impurity.
CHOICE OF LA YEAS

I.Vdd and V s l ines mu t be distri buted on meta l lines except for orne exception
2.Long lengths of poly mu t be avoided becau e they have large R ,it i not uitable
for routing Vdd or Vs lines.

.IN
3.Since t he re i tance effect of the tran i tor are much l arger, hence wiring effect
due to voltage divider are not that profound
Capacitance mu t be accuratel y ca lcu lated for fa t igna l l i nes usually tho e u ing
h igh R materia l. Diff u ion area must be carefully handl ed because t hey have l arger

TS
capacitance to substrate.
With all the a bove inputs it is better to model wires as small capacito r which will
give electrica l guidelines for com munication ci rcu i ts.
PROBLEMS
EN
l.A pa rticular section of t he layout incl udes a 3; wide metal pat h which crosse a 211
polysilicon path at right angles. A uming that the layer are eperated by a 0.5 thick
sio2,find the capacita nce between the two.
D
Capacitance = = 0 = in ND
TU

Let the technology be Sum,=2.5u m.


Area= 7.5umX5um=37.5u m
C=4X8.854Xl0-12 x37.5/ 0.5 =2656pF
TS

The value of C in tandard units i


Rel ati ve area 6 112 /42 =1.5
C = 1.5x0.075=0.1125!J Cg
CI

2 nd part of the problem


The poly ilicon turns across a 4. diffusion l ayer, f ind the gate to channel capacita nce.
Area = 2 :\X 4:\ =82 Rela ti ve area= 8 :\2 I 4 112 =2
Relati ve capaci tance for 5u = I
Tota l ga te capacitance = 2!J Cg
Gate to c hannel capacitance>metal

CITSTUDENTS.IN Page- 97
Fundamentals of CMOS VLSI 10EC56

2. The two nmo tra nsi tors are ca caded to drive a load capacitance of 1 6!J Cg a
show n in figure ,Ca1c u late the pair dela y. What are the ratios of each tra nsistors. f
stray and wiring capaci ta nce i to be con idered the n each inverter will have an
additi ona l capacitance at the output of 4 !J Cg .Find the delay.

v-=-r

.IN
10
"'

04
I CL

r I
TS
Figure 40
EN
Lpu= I 6. Wpu=2 ;; Zpu=8
Lpd= 2. Wpd =2 ;\ Zpd=1
D
Ra ti o of inverter 1 = 8: I
Lpu = 2. Wpu =2 ;\ Zpu
TU

=1
Lpd =2 ;\ Wpd =8. Zpd=114
Ra ti o of inverter 2 = I/1/4=4
TS

Delay without strays


1 = =R xl !J Cg
CI

Let the input transiti on from 1 to 0


Delay I= 8R X!J Cg=8 = Delay 2=4Rs(!J Cg +1 6 !J Cg)=68 = Total delay= 76 =
Delay w i th trays
Delay I = 8R X(!J Cg+ 4!J Cg) = 40 = Delay 2= 4R X(!J Cg+ 4!J Cg +I 6 !J Cg)=84 =
Total delay = 40+84= I 24 =
If = = 0. I ns for 5u i e the del ays are 7.6n a nd 1 2.4n

CITSTUDENTS.IN Page- 98
Fundamentals of CMOS VLSI 10EC56

4.12 SCA LING OF MOS DEVICES

The VLSI technology is i n the proces of evol ution lead i ng to red u cti on of the feature
s i ze a nd line width . Thi proces is called cal ing down. The reduction in sizes ha
generally lead to be tter performance of the device . There are ce rtain limits on caling
and it becomes i mportant to st udy the effect of scaling. The effect of scal ing mu t be
studied for certain parameter that effect the performance.
The parameters a re as tated below

.IN
J.Minimum feature ize
2.Num ber of ga tes on one chip
3.Power eli sipation

TS
4.Maximu m operational frequency
5.Die ize
6.Production cost .
EN
These areal o call ed as figures of merit
Ma ny of the mentio ned factor can be improved by hrinking the ize of tran i tors,
interconnects, sepa ration between devices a nd also by adjusti ng the voltage and
D
doping l evels. Therefore it becomes essential for the desi gners to implement caling
a nd unde rsta nd it effect on the performance
TU

The re are three types of scaling models u eel


J.Con ta nt el ectric field ca l ing model
2.Con ta nt vol tage caling model
TS

3.Combined voltage a nd f ield model


The three models make u e of two ca ling factor 1/B a nd 1/a . 1/B is chose n a the
caling factor for Veld, gate ox ide thickne D. 1/ a is cho en as the ca l ing factor for
a ll the linea r dimen ions l i ke l ength, width etc. the figure nex t bows the di rnen ions
CI

a nd their cal ing factor


The foll owi ng a re some simpl e derivation for ca ling down the device pa rame ter
l.Gate area Ag
Ag= L x W. Since L & Ware scaled down by 1/ a. Ag is ca led dow n by 1/ 6?
2.Gate capacitance per unit area
Co= = o/D, permittivity of sio2 ca nnot be scaled he nce Co ca n be sca led 1/l/B=B

CITSTUDENTS.IN Page- 99
Fundamentals of CMOS VLSI 10EC56

3.Gate capacitance Cg
Cg=CoxA=CoxLxW. Therefore Cg can be ca led by Bx1/ ax 11 a= B/ a2
4.Parasitic capacitance
Cx =Axld, where Ax i the area of t he depletion arou nd the dra in or ou rce. d i the
depletion width .Ax is ca led down by I/a2 and d is cal ed by 1 /a. Hence Cx i
caled by
l /a2 1lla= 11a

.IN
S.Carrier density in the channel Qon
Qon=Co.Yg
Co is caled by B and V gs i caled by 1 I B,hence Qo i scaled by Bx liB = l.

TS
Channel resistance Ro
Ron = UW x 1 /QoxL, L i mobil ity of charge carrier . Ro is cal ed by I /<ill I ax I= I
Gate delay Td
Tel is propot1iona l to Ro a nd Cg
EN
Tel is ca l ed by l x B!&.? = B/a2
Maximum operating freque ncy fo
D
fo=1 /td,therefore it is scaled by 1 / B/a2 = a2/B
TU

Saturation current
Ids = Co tW(Ygs-Yt)/2L, Co cale by Band
voltages by 1/ B, Id s i calecl by B /82= 1/B
TS

Current Density
J=Id /A hence J i scaled by l /B/l /a2 = a? /B
CI

CITSTUDENTS.IN Page- 100


Fundamentals of CMOS VLSI 10EC56

1.\\'hat is Scaling?
Proportional adjust ment of the d imen ions of a n electronic device while
main ta ining the elect rica l propertie of the dev i ce, re ult in a dev i ce ei ther larger o r
smaller tha n the u n -sea led devi ce. Then Which way do we scale the devices for V LS I?
BIG and S LOW ... or SMALL and FAST ? What do we gain?

2.Wh y Scaling?...

Sca le the devices a nd wi res dow n, Make the chips 'fatter' - function a l i ty intel ligence,
memory- and - faster, Make more chips per wafer - increa ed y ield, Make the end use r

.IN
Happy by gi ving more for less a nd therefore, make MORE MONEY!!

3.FoM for Scaling


Impact of ea ting is cha racterized i n terms of eve ra l indi cators:

TS
o Mini mum feat ure i ze

o Num ber of gates on one chip

o Power dissipa tion


EN
o Max i mum operatio na l f requency

o Die size
D
o Production cost
TU

Man y of the FoMs ca n be i mproved by shrinking the dimensions of tra nsistors a nd


interconnections. Sh rinking the sepa ration between fea tures - tra n sistors and wires
Adjusting doping levels and su pply voltages.
TS

3.1Technology Scaling

Goals of scaling the d imensi ons by 30%:


CI

Red uce gate del ay by 30% (increase opera ting freq uency by 43%)

Double tra nsistor density

Red uce e nergy per transi tion by 65% (50% power savin g @ 43% increase in f requency)

Die si ze used to increase by 14% per ge neration

Technol ogy genera ti on spa ns 2-3 years

CITSTUDENTS.IN Page- 101


Fundam entals of CMOS VLSI 10EC56

Figure I to Fi gure 5 illustrates the technology sca l i ng i n terms of minimum feature size,
tra nsistor count, prapogation delay, power dissipation a nd density a nd technology
generation .

2
10

.IN
-.
c:
0 1
'- 10
-
- E
Q)
N

TS
(/)
Q) 0
'-
::J
10
co Q)
u. EN
E
::J
·1
E 10
c:
D
10
·2
----------L L J -----------L----
1 960 1 970 1 980 1990 2000 201 0
TU

Year
Fi gure- !:Technolog y Scaling ( I )
TS

0.5 urn technology


1000
0. 35 um
<i) • Processor Transistors 0.25 um

100
0 Merrory Transistors ....... . . . . . 0.18urn
/
CI

/
E
:;;;J
0 .¥
/
I
(.) 10
,- 1-- -a ·
-
g
...-....

Ill •• II
t-
"'
/
/
·-
0
......... - - -.·
0 0

0
8
0
0 8

0.1

1990 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000

Year

Figu re-2:Tech nology Scal in g (2)


Fundam entals of CMOS VLSI 10EC56

10
2
r----------r---------- -------- ----------,---------

.IN
gate delay (ns)

TS
2
10- -------- -------- ---------- -------- --------
1960 1970 1980
EN 1990 2000 2010
Propagation Delay
Fi gure-3:Technol ogy Sca lin g (3)
D
. I I I I I I I I I I
TU

<f- /(0
100 1- 1000
-·---·-··
1
I
c
0
'l:l
10 f- _§
100
• • I
• ·-
TS

«:
0.. ..E
_,
I f- IJ

IJ

IP -
ci: 0.1 f- ll IJ -
D
• MPU
CI

c DSP IJ
0.01 I I I I I I I I I I I I I I

80 85 90 95 lO
Scali ng Factor K
Year ( normal ized by 4 f.lln design ru le
(a) Power dissi pation vs. year. (b) Power density vs. sca l ing factor.

Figure-4:Technol ogy Sca lin g (4)

CITSTUDENTS.IN Page- 103


Fundam entals of CMOS VLSI 10EC56

Technology Generations

cs r:t;. ()6 12

3 4

1 2 3 5

,!IU
-1 1 4 II

.IN
n-n

4 &

1 2 3 4 8

TS
7t:
-1

EN
Fi gure-5:Technol ogy ge ne rati on

4. International Technology Roadmap for Semiconductors (ITRS)


D
Ta ble I lists the parameters for va tious technologies as per ITRS.
TU

Year of
lntroduc11on 1999 2000 2001 2004 2008 2011 2014

Technology node
(nm)
180 130 90 60 40 30
TS

SupplyM 1.5-1.8 1.5-1.8 1.2-1.5 0.9-1.2 0.6-0.9 0.5-0.6 0.3-0.6

Wiring levels 6-7 6-7 7 8 9 9-10 10


CI

Max frequency 14.9


[GHz],Local-Global 1.2 1.6-1.4 2.1-1.6 3.5·2 7.1-2.5 11-3 -3.6
Max JLP power (W] 90 106 130 160 171 171 186
Bat. power (W] 1.4 1.7 2.0 2.4 2.1 2.3 2.5
Node years: 2007/65nm, 2010/45nm, 2013133nm. 2016/23nm

Ta ble I: ITRS

CITSTUDENTS.IN Page- 104


Fundam entals of CMOS VLSI 10EC56

S.Scaling Models
0 Full Scal i ng (Consta n t El ectrica l Field)

Ideal model- dimensions and vol tage cale together by the sa me cale factor

0 Fixed Vol tage Scal i ng

Most com mon model unti l recentl y - onl y the dimensions sca le, vol tages remain constant

0 Genera l ScaJing

.IN
Most real istic for today's si tuation- vol tages and dimen ions sca le with differen t factor

6.Scaling Factors for Device Parameters

TS
Devi ce scalin g modeled in terms of generic scal i ng factors:
1/a and liP
• liP: sca ling factor for suppl y voltage Voo and gate ox ide thickness D

• 1 /a: li near dimen ion both hori zontal and vertical dimen ion
EN
Wh y is the sca l ing factor for gate ox ide th ickness d ifferent f rom other linea r horizonta l
and vertica l d i mensions? Consider the cross section of the device as in Figure 6,various
para meters deri ved a re as fol lows.
D
TU

I
I
I
,:
- I. I
a•
Si02

.
TS

I
•••••••••••1

-------------- N+
CI

P-

Figure-6:Techn ol ogy ge nera t i on

CITSTUDENTS.IN Page- 105


Fundam entals of CMOS VLSI 10EC56

• Gate area A n
"
A g = L*W

Where L: Channel length a nd W: Channel width and both are ca led by l la


Thu Ag is ca led up by l la2

• Gate capaci tance per uni t area Co or Cox

Cox = EoxiD
Whe re £ox is permittivit y of gate ox ide(thin-ox)= £ins£0 a nd D i s the ga te ox ide thickness

.IN
ca led by liP 1
Thu C0, i caled up by () = fJ

TS
• Gate capacitance Cg Cg =Co* L *W

Thu Cg i caled up by P* II a?=PI


EN a2

• Para itic capaci tance Cx

Cx is proportional to Axfd
D
where d i the depletion width a round ource or drai n and caled by I I a

Ax i s the a rea of the depletion region around ource or drain, sca led by ( I I a2
TU

).
Thu Cx i ca led up by { l l( l la) }* (II a2 ) = I I a.

• Canier density in cha nnel Q0n


TS

Qon =Co * Ygs


where Qon i s the average charge per unit area in the 'on ' sta te.
C0 i caled by p and Ygs i ca led by I I p
CI

Thu Q0n i s caled by I

• Channel Re ista nce Ron

L
R =-*---
on W Qon * Jl

Where )..1. = channel ca rrier mobi l ity a nd assumed con tant

CITSTUDENTS.IN Page- 106


Fundam entals of CMOS VLSI 10EC56

Thu Ran i caled by I.

• Gate delay Td

Td is proportiona l to Ron*Cg

Td i s scaled by

• Maximum operating frequency fa

.IN
TS
fa is i n ver el y proportional to delay Td a nd i scaled by

EN
• Saturation current Idss

I = Co f.l * W * (V -V\2
D
dss L gs t J
2
TU

Both Vgs a nd V 1 are caled by ( 1 / ). Therefore, ldss is sca led by


TS

Cu rren t densi ty 1
J I dss
CuJTent de nsit y, =A w here A i s cross sectional area of the
Channel in the "on" state w hich i scaled by ( I/ a?).
So, J is caled by
CI

CITSTUDENTS.IN Page- 107


Fundam entals of CMOS VLSI 10EC56

• Swi tching energy per gate Eg


1 2
= - C g VDD
2

So Eg is scaled by

.IN
• Power dissipation per gate Pg

pg = pgs + pgd

TS
Pg comprises of two components: sta tic component Pgs and dynamic compo ne nt Pgd:

EN
Where, the ta tic power componen t i given by:
And the d yn amic component by: Pgd = Eg fo
2
Since Yoo sca le by ( I/) and Ron cale by I, Pgs sca l e by ( 1/ ).
D
2)
Since Eg cale by ( Ita?) a nd fo by (a2 /), Pgd a l o ca l e by ( 1 / . Therefore, Pg
TU

2
sca les by ( 1/ ).

• Power dissipation per unit area Pa


TS

P [;,) a'
P= g = =-
' A, ( 1) {J'
CI

• Power- speed product PT

6.1 Scaling Factors ...Summary


Ya1iou s device pa rameters for dif ferent sca l ing mod el s are l isted in Ta ble 2 below.

CITSTUDENTS.IN Page- 108


Fundam entals of CMOS VLSI 10EC56

Table 2: Device pa rameters for scaling models


NOTE: for Con ta n t E:=a.; for Consta n t V:= I
Genera l Consta nt E Con tant V
(Combined V
Para mete rs Description
a nd
Dimension)
Voo Su pply vol tage liP 1/a 1
L Channel l ength 1/a 1/a 1/a
w Channel wid th 1/a 1/a 1/a
D Gate oxid e thi ckness liP 1/a 1

.IN
Ag Gate area 1/ az l/a2 llaz
Gate capacitance per p a 1
Co (or Cox) uni t a rea
Cg Gate capacitance Pla2 1/a l/a2

TS
Cx Par itic capacitance 1/a 1/a 1/a
Qon Carrie r d ensity ,t 11 1
Ron Channel resistance EN '1 'I 1
lds Satu ration cu rrent liP l/a 1

General Consta nt E Consta nt V


(Combined V
D
Parameters Descri ption
a nd
Dimensi on)
TU

Conductor cross 2 l/a2 l/a2


1/a
Ac section a rea
J Current density a2 I a a2
Vg Logic 11evel 11 1la 1
TS

Eg Switching energy
2
1I a3 2
11a 1/a
Power dissipation per 1/ 2 1/a
2 1
Pg ate
CI

N Gates per unit a rea a2 a2 a2


Power dissipa tion per a2 1 2 1 a2
Pa unit a rea
Gate dela y I a2 1la 2
Td l/a
Max. operating a2 I a a2
fo frequency
Power SJ>eed product 11a2 2
PT 1I a3 1/a

CITSTUDENTS.IN Page- 109


Fundam entals of CMOS VLSI 10EC56

7.Implications of Scaling

0 Im proved Performance

0 Im proved Cost

0 In terconnect Woes

0 Power Woe

.IN
0 Prod u ctivity Challen ges

0 Ph ysi cal Li n1jts

TS
7.1Cost Improvement
Moore's Law i stiJI going strong as illustra ted in Fi gure 7.
Un s EN
/ 0

/ 1

/
/ 0.1

MO 1
"'
1011
D
/ 0.0I
'-..
/ "-.....
TU

0.000
/ 0.0000

10" 0.000001
,
1.-/ 0.000000
'68 70 '72 '74 76 '7!'80 '82 '84 '86 "'
·aa '90 '!12 '94 '96 '911 ·oo·o2F
TS

Fi g u re-7:Technol ogy ge neration


CI

CITSTUDENTS.IN Page- 110


Fundam entals of CMOS VLSI 10EC56

7.2:Interconnect Woes
• Sca led transi stors are stead i l y i mproving in delay, but scaled wires are hold i ng
con tant or getting wor e.
• SIA made a gloomy foreca t in 1 997
Del ay would reach minim um at 250 - 1 80 nm , then get
worse because of wires
• But . . .
• For short wi res, such as those inside a logi c ga te, the wi re RC del ay is negli gi ble.
• However, the long wi res present a considerable ch al l enge.
• Sca led tran i tor a re teadi l y i mproving in del ay, but sca led wire a re hold i ng
constant or getti ng wor e.

.IN
• SIA made a gloomy forecast i n 1 997
Del ay would reach minim u m at 250- 1 80 nm, then get
wor e because of wires
• But . . .

TS
• For hort wire , uch a tho e in ide a logic ga te, t he wire RC del ay i neg l i gible.
• However, the long wi res present a considerable ch al l enge.
Figu re 8 illu tt·a te del ay V . ge neration in nm for d ifferent materi al .
EN
45
-*" Gat• O.loy
40
: I
11- Sum of Delays., AI& SI01

,... Sum of De-lays. Cu & Low ..:

30
// ..... lntorconnoct O.l•y.AI & SiO,
-+- lnterconneet Delay, Cu & low ..-
/j
D
Delay
(ps)
25
l Gste • • AI & 510,J j AI
cu
3.0)• fl-Gm
20

15
--"'" _ ---
... ...
7l I• wiC..
&Low-.:
J.
S!Oz
LOWK"
AI & CU
... - 4.0
<2.0
.8,, Thick
TU

AI& CuLine 43!' Long


/ _"..5"
10
k:: -< ..-- v"'
.J..--'r"" p--- --,

650 500 350 250 180 130 100


Generation (nm)
TS

Fi gure-8:Technology generation
CI

CITSTUDENTS.IN Page- 111


Fundam entals of CMOS VLSI 10EC56

7.3 Reachable Radius

• We can ' t end a si gna l aero a l arge fast chip in one cycle a n y more

• But the microarchitect can plan around this as shown in Figure 9.

Just as off-ch i p memory l a ten cies were tolerated

Chip size

.IN
Scaling of
reachable radius

TS
EN
Figure-9:Technol ogy ge nera tion
D
7.4 Dynamic Power
• Intel VP Patrick Gel sin ge r (ISSCC 2001)
TU

- If scaling continues a t present pace, by 2005, hi g h speed processors wou ld


have powe r densi t y of nuclear reactor, by 20 1 0, a rocket nozzle, a nd by
201 5, urface of un.
TS

"Bu ine a usual will not work in the future."

• Attention to power i increa ing(Fi gu re 10)


CI

CITSTUDENTS.IN Page- 112


Fundam entals of CMOS VLSI 10EC56

100000

10000

f 1000

...
Q)
100

0 10
£L

0.1

.IN
1971 1974 1978 1985 1992 2000 2004 2008
Year

Figure- I O:Technology genera tion

TS
7.5 Static Power
• Y oo decreases

Save dynamic power


EN
Protect thin ga te ox ide a nd short channel

No point in high va l ue becau e of ve locity saturation.


D
• Y 1 mu t decrea e to m a jntain device performance
TU

• But thi cau ses exponenti a l increa e in OFF leakage

A Major future challenge(Fi gure I I)


1000 -----------------------------.
TS

100

--...
3: 10
CI

Q)

0
a.. 0.1
==

0.01

0.001 -f-----..,-----,.-----.,------,.-----1
1960 1970 1980 1990 2000 2010

Moore(03)

Figure- I I :Techn ology genera tion

CITSTUDENTS.IN Page- 113


Fundamentals of CMOS VLSI 10EC56

7.6 Productivity
• Tra nsi tor count is increa ing f a ter tha n desi gner producti vity (ga tes I week)

Bi gger de ign tea m

• Up to 500 for a hi gh-end microprocessor

More ex pensi ve de i gn co t

Pressure to raise producti vity

.IN
• Rely on sy nthesis, IP block

Need for good en gineering ma n agers

TS
7.7 Physical Limits

o Will Moore' Law run out of steam ?


EN
• Can ' t bui ld tran i stors small er than a n atom .. .

o Many rea o n have bee n predicted for end of calin g


D
Dy nami c power

• Sub-threshold leakage, tunneling


TU

• Short channel effects

• Fabri ca ti on costs
TS

• Electro-mi grati on

• Interconnect del ay
CI

o Rumors of demi e have been exaggerated

8. Limitations of Scaling

Effects, as a resul t of scalin g down- w hi ch eventua ll y becom e severe enough to prevent


f urther mini aturi zation.
o Sub trate doping

o Depl etion width


!
'
' o Limit of min i aturiza ti on
Fundamentals of CMOS VLSI 10EC56

o Lim i ts of interconnect and contact resistance

o Lim i ts due to sub threshold currents

o Lim i t on logi c level a nd uppl y voltage due to noi e

o Lim i ts due to curren t densi ty

8.1 Substrate doping


o Substrate doping

.IN
o Built-i n U unction ) potenti al VB depends on substra te doping level- can be
neglected as lon g as V 8 is sm aJI compared to V oo.

o A s length of a MOS tra n istor i s reduced, the depleti on regi on width - caled

TS
dow n to prevent ource a nd dra i n depletion region f rom mee ting.

o the depletion region w idth d for the junctions is d


EN =
Vfiq ?si
Ny I
?oV
.

o £ si rel ati ve permitti vi ty of il icon

o £ 0 permitti vity of free s pace(8.85* 10- 1 4 F/cm)


D
o V effecti ve voltage across the junction Ya + Vb

o q electron cha rge


TU

o Ns doping level of substra te

o Ya max imum value Vdd-ap plied vol tage


TS

0 v b built i n poten tial anB = KT ln[ NB N/) ]


q n; n;
CI

8.2 Depletion width


• N 8 is increased to reduce d , but this increases threshol d voltage Y 1 -against
trends for scalin g dow n.
19 3
• M ax imum val ue of N 8 (1.3* I 0 cm - , at higher values, max imum el ectri c field
a pplied to gate is insu fficient and no c hannel is formed.

• N s main ta ined at sati factory level in the cha nnel region to reduce the above
problem.
!

• Emax max imum el ectri c field i nduced i n the junction. E = 2V


max d
Fundamentals of CMOS VLSI 10EC56

lfN B mrea ed by a Y a =0 Yb 1ncrea ed by In a and d decreased by

• El ectric field acros the depleti on reg10 n 1 increa ed by


{I;W
1/
----;;-
• Reach a criti ca l level Ecrit w ith increa ing N B

Where d = ;si ;o ( £ . )

.IN
C fll

q NB

Figure 1 2 , Fi gure 1 3 a nd Figure 1 4 shows the rel a ti on between ub tra te concentration


V depleti on width , Electric field a nd tra n sit time.

TS
Figure 15 demon trate the interconnect length V propaga ti on del ay a nd Figure 1 6
ox ide thickne s Y . therma l noi e.

d versus N n lor Vlrom 0 lo 50 V


EN
1
10
D
10 °
TU
TS
CI

7 1
10 1
1'\ 10 ' 10 10'
1
Substrato concontr !lion /em

Fi gure- 1 2:Technol ogy genera ti on

CITSTUDENTS.IN Page- 116


Fundamentals of CMOS VLSI 10EC56

c..,.t
e\'8r.WS N 8 lor v. from 0 /0 5 0 v

.IN
TS
Sub.. tra1e concentratiOn tcrn3
EN
Fi gure- 1 3:Techn ol ogy ge neration

8.3 Limits of m iniatu rization


D
• m i nimum si ze of tra nsi stor; proce tech and physics of the device


TU

Reduct ion of geometry: a lignment accuracy and re olut ion

• Size of transistor measured in term of channel length L

L=2d (to preve nt pu h through)



TS

L determined by N 8 a nd Veld

Minimum transit time for an elect ron to travel f rom ource to dra in is

JLE
CI

V d rift

L 2d
t=--=-
vdriji ;.£

• 5 max imum carrier drift velocity is a pprox. Vsat, rega rd less of uppl y voltage

CITSTUDENTS.IN Page- 117


Fundamentals of CMOS VLSI 10EC56

5V

.IN
?. V
1 v

TS
(a)

Figure- 1 4:Technology generation


EN
8.4 Limits of interconnect and contact resistance
D
Short di ·tance interconnect - conductor length caled by 1 /a and re tance i
increa ed by a
TU

For constant f eld scaling, 1 is scaled by 1 / a so that IR drop remains constant as


a result of scaling.-driving capability/noise margin.
TS
CI

Figur·c-15:Tcchnology gcnct·a tion

CITSTUDENTS.IN Page- 118


Fundamentals of CMOS VLSI 10EC56

8.5 Limits due to subthreshold currents


• M ajor concern in sca ling dev ices.
• I sub is d i rectly praportina l ex p (Vgs- Yt ) q/KT
• A s vol tages are caled dow n, ratio of V gs-Vt to KT wi ll red uce- o tha t thre hold
current increases.
• Therefore caling V g a nd Yt together wi th Vdd .
• M aximum electric field across a depletion region i s

.IN
8.6 Limits on supply v oltage due to noise

TS
Decrea eel inter-fea ture paci ng and greater witching peed -re ul t in noi e problem
D EN
TU

o 0•2 0 ..,. oa oe 'o .2 ·


TS

a) Ox.UO 1h o cknoa.• (1 .,.-") I n '''""

Figure-1 6:Technol ogy generation


CI

9. Observations - Device scaling


o Gate capaci t an ce per micron i s nearl y independent of proce
o But ON resi tan ce * micron improves w ith process
o Gates get fa ter with ca ling (good)
o Dynam ic power goes down with ca ling (good)
o Current den ity goe up with ca ling (bad)
o Velocit y sa turation makes lateral sca l ing unsustainable

CITSTUDENTS.IN Page- 119


Fundamentals of CMOS VLSI 10EC56

9.1 Observations - Interconnect scaling


o Capacitance per mi cron is remainin g consta nt
o Abou t 0.2 tF/ mm
o Roughl y l/ lO of gate ca paci ta nce
o Local wire are gettin g fa ter
o ot qui te trackin g tra nsistor improvement
o But not a m ajor probl em

.IN
o Gl obal w ire a re getting l ower
o o l onger possibl e to cross chip in o ne cycle
10. Summar y

TS
• Scaling all ows peopl e to build more com pl ex machines
- Th at run faster too
• It doe not to fir t order cha nge the difficulty of m odule de ign
EN
-Module wi re w ill get wor e, but onl y l owl y
-Yo u don' t think to rethink your wires in yo ur ad der, memory
D
Or even your super- calar proce sor core
TU

• It doe let you design m ore modules


• Con tinued caling of uniproce sor performance i getting h ard
-Machine using global re ource run into wire limi ta tion
TS

-Machines will have to become more ex plicitl y pa rall el


CI

CITSTUDENTS.IN Page- 120


Fundamentals of CMOS VLSI 10EC56

Recommended questions:
1. Explain sheet resistance with neat diagram.
2. Write a note on area capacitance.
3. With neat diagram explain delay unit.
4. Explain propagation delay and wiring capacitance.
5. Explain scaling models and factors for MOS transistors.
6. What are the limits due to current density and noise.

.IN
TS
D EN
TU
TS
CI

CITSTUDENTS.IN Page- 121


Fundamentals of CMOS VLSI 10EC56

Part-B

Unit-5

CMOS subsystem design


Architectural issues, switch logic, gate logic, design examples-combinational logic,

.IN
clocked circuits. Other system considerations.

Clocking strategies

TS
Recommended readings:
EN
1. Douglas A. Pucknell & Kamran Eshraghian, “Basic VLSI Design” PHI 3rd Edition (original
D
Edition – 1994), 2005.
TU

2. Neil H. E. Weste and K. Eshragian,” Principles of CMOS VLSI Design: A System

Perspective,” 2nd edition, Pearson Education (Asia) Pvt. Ltd., 2000. History of VLSI.
TS

3. CMOS VLSI DESIGN—A circuits and systems perpective. 3rd edition N.H.Weste and David

Harris. Addison-wesley.
CI

CITSTUDENTS.IN Page- 122


Fundamentals of CMOS VLSI 10EC56

5.1.Wha t i a System"?
·y ll'm i" a . et of interacting or interdependent cntitiefom1ing ami integrate
whole. Comm n chara ·teri- tics of a ·ystem arc
o ystem;; ha\'c wruc/llrt' - dctined hy parts and their composition
o . ystcm:ha\'c lu•llm·ior - inYolves inputs. procc.sing and output' (of material.
information or energy)
o ):-.tem-. ha\'C illft'rcomu·ctil·ity the \ariou· part ·of the y:-.tem runctional awe ll
as tructural relationships be tween each other

l.lDecom po ition of a Sy rem: Proc or

.IN
0

MOOULf

TS
_ ...._ .....
D EN " .. ...._
TU

V L I De ign Flow
• The electronics indll'\11)' has achieved a phenomennl growth -mainly due to the
TS

mpid advance\ in integration technologic. large !'>Calc -.ystCill!'> design-in short due
to L I.
• Number application' of integrated circuit-. in high-perfonnancc omputing.
telecommunications. and consumer e lectronics ha-. b •en rising teadil
CI

• Current leading-edge technology trend -expected to cominuc with very important


implication-. on LSI anc.l :-.ystcm" design.
The design pr ·css.at variom. levels. i C\' lutionary in nature.
• Y-Chart (lit t i ntroduced bD. Gajski) a' hown in FigLu 'I illu tratc'l til' de ign
Jlow for mm.. t logic chips. u'>ing dc.,ign activities.
Three dil"fcrcnt axes (domain) which rc cmolc the lcllcr Y.
• Three major domains. namely
Behavioral domain
tructuml domain

CITSTUDENTS.IN Page- 123


Fundamentals of CMOS VLSI 10EC56

G eometrica l doma in
• Desi gn flow start from the al gorithm that describe the behavior
of ta rget chip.

.IN
TS
D EN
Geometrical Layout
Domain
TU

Figure I . Typica l VLS I de i gn flow in three d oma ins(Y-cha rt)


TS

VLSI design flow, taking in to account the variou s repre entation , or a b traction of
design are
Behavioural ,logic,circuit and mask l ayout.

Verification of de ign plays v ery i mportant role i n every te p du ring process.


CI

Two a pproache for de i gn flow a hown i n Fi gure 2 are


Top-clow n
Bottom-up

Top-down de ign flow- excellent de i gn proce s con trol


ln real it y, both top-down a nd bottom-up a pproache have to be combined.
Figu re 3 expla ins the typi ca l full cu tom de ign flow.

CITSTUDENTS.IN Page- 124


Fundamentals of CMOS VLSI 10EC56

Design Flow

.IN
0 0

TS
D EN
TU
TS

Bottom-up
CI

Figure 2. Typi ca l YLSI de i gn flow

CITSTUDENTS.IN Page- 125


Fundamentals of CMOS VLSI 10EC56

.IN
TS
.
f:l-' 1r
D EN 0!! :ll l
TU
TS
CI

Fi gure 3. Typi ca l ASIC/Custom desi gn fl ow

CITSTUDENTS.IN Page- 126


Fundamentals of CMOS VLSI 10EC56

5.2 tructured De ign A pproach

o ign me thodologie and tructurl.!d approache de'eloped ' ith complex


ha rd''are and softwm'C.
• Rcg:mJJcs'\ of the actual ,jzc of the project. ha-.ic principles oft mclllr"'d dcsign-
impro\'c the prospecot f '\ucccss.
Ciaical techniquefor reducing the complexity of JC dc ign arc:
Hicru rchy
Regularity
Modulari t y
Loca l it

.IN
TS
Hierarchy: 01 I '"'lr x.l c 1Q U r·t chnl1u kwol '""'<.livl dh m I i'llo ub-
modulfo• •1ndlht-n r II thoper:1t1on on I hoe; sub-modult'< urill th
cornple)dl y or IIsn lltr art ..cor n g It:!.
EN
Regul arity:

Modul arity: Th vanou. functl n. I locks which m k UJ' It 13 r syst


well-denned function nd I nterf ace .
D
Locality: . ·1. The con pt or loc llit •
llllt::rnll.lel allreut 4.lb' at th
toe,
r@ th.1 conn-.dl on" • rA tly b...t·.v ..-n borl
TU

avoidi ng lono-dll ance connection l!> lllUCh a!> po:, t "·

-
TS

..
CI

-
!=E)-·
:=EJ-- ..

Figure 4-S t ruct ured De i gn Approach -Hierarchy

CITSTUDENTS.IN Page- 127


Fundamentals of CMOS VLSI 10EC56

s.3 Regularity

2-input MUX

.IN
OFF

TS
EN
FigureS-.. tru cturcd D sign Anpr ach - R egul ari t y
De.ign of army .t ructures co1u.t mg of identica l cell .--uch as parallel
multiplication army.
D
Exist al all levels of abstract ion:
tran -tior level- uniformlyjzed.
TU

logic level- identical g;,a te stn1clurcs


2:I MUX, 0-F/F- in verters and tti sla te buffers
• Library-well defi ned and well -chamc!erizcd ba ic build ing block.
• Modularity: enables parallel iz.ation and nllows plug-and-ph1y
Locality: [•Hemal of each module unimportant to exterior moduleand internal
TS

detail remai n a! local level.

Figure 4 and Figure 5 illu tratethese deign approache ' with an example.
CI

5.4 Arch i. tectural i su es

• De ign lime increase exponenti ally with increased complexity


• Defi ne the req u irements
• Partition the overall architec ture into ' Ubsy tcm' .
• Con ider the communica tion path
• Draw the floor plan
• Aim for regularit y and modularity
• convert each cell into layout
• Carry out DRC check and simulate the performance

CITSTUDENTS.IN Page- 128


Fundamentals of CMOS VLSI 10EC56

5.5 .\10 FET a a wi tch

nMOS transistor:
G
(gate)
Closed (conduc tinu) when
Gate= I (Vdd , 5V
I Open (non-conducting) when
D IL S =
Gmc 0 (ground, OV)
(drain) (source)

.IN
n

TS
when

• We can view MOS t ran i tor a electtically controlled wi tche


EN
• Voltage at gate control path from source to drain

for nMO witch. ourcc 1 lypicaHy licJ lo gr unJ and i' u cd lo prrfl-dmn
D
ignal :
TU

)ul

'1.\.'ht!n GaLl! = I, Out = U.10\ :'

when r:Lll! = I), Uu• = / • high 'i mp.:tl.J n.:..: I


TS

or ptvtO witch, sou rce i typicJI Iy 4 icd to dd. u cd to pull . igna l. up:
CI

wh·n G.at U. L( d )
(1
wtJ '"11 fJatc- u1 - Z l high im('K.xJ•.mL:c

Ou t

CITSTUDENTS.IN Page- 129


Fundamentals of CMOS VLSI 10EC56

5.5.1 Parallel connection of witche ..

"""r = ( . i ,\. B = I

X
.-\. +

.IN
Y = I i f A orB= 0

:r: +B

TS
y

5. .2 eri connection of \Vitche -..


EN
1
D
TU

-
TS

· -4 Y = I . . I' .an I -U
CI

n -4 I
y

CITSTUDENTS.IN Page- 130


Fundamentals of CMOS VLSI 10EC56

5.5.3 erie a nd parallel connection of witche ..

nMOS: 1 =ON

pMOS: O =ON

Ser: both must be ON


Para/let. either can be ON

• •

.IN
I I I

gl
92 EII
0

0
bf
0

0
by b
(I) ()Of OFF ON

TS
• • I

01
g2 Eb
0

0
EN b
0

bf
0
b bT

ON OFF OFF O"f

• I I
' '
gl --lQf-tp
b
0 0
y o 8• b
1 0

7 b
D
lei o:f ON ON ON

• •
TU

I a

0 o [}• · 8
I

gl --l¢1-1/Z 0 0 0 'LJ
b b b b b

cfl CN ON CN
TS

5.6 Circuit Familie : Restori ng logi c


Cl\10 · l1 VERTER
CI

A y

A-(>- y
V DO
0

GND

CITSTUDENTS.IN Page- 131


Fundamentals of CMOS VLSI 10EC56

V DO
A y

1 0 A y
GND

.IN
A y

Av-Y
TS
0 1

I 0 GND
EN
5.6.1 ND gate De ign..
D
"' a t ,. ign
• t an-.i'l lf tn:c w iJJ pr 'auc "I ' value-. f J gi'-· funcli n
TU

p-t)
n -typc tr ul-.t -.tnr lrcc w ilJ pt >Vide " " value:-. uf h.lt!lC funuaon
TS

'Truth "I •bl" c I• D•:


CI

I
)I I
l I
L1

CITSTUDENTS.IN Page- 132


Fundamentals of CMOS VLSI 10EC56

Pu....- =A + I:J
N un-;:; A · B

., ---
iii... y

.IN
<=>

TS
A .....---- -t
EN
A B y
D
0 0 1

0 1
D-
D-
TU

1 0

1 1
TS

A B y
CI

0 0 1
0 1 1
A=-O-+-------'-----1
1 0
8=1
----'-----------1
1 1

CITSTUDENTS.IN Page- 133


Fundamentals of CMOS VLSI 10EC56

A B y

0 0 1
A=1 +--- --t
0 1 1

1 0 1
8=0
---'------;
1 1 0

.IN
TS
D EN
TU
TS

5.6.2OR gate De ign..


( R (;at D
p-lyp .. l r.m,j,aor lli> . will rn Vld. It I" \ ..tluof · lsi. function
CI

11-l.. p • l ntn\ i I r lr • .. wall pr vic.J .. "0" \.JILl., of lu "ic un<.·tion

• IUlh abJ -nmp:

CITSTUDENTS.IN Page- 134


Fundamentals of CMOS VLSI 10EC56

AB
0
00 1
o QJ
(L 0
10 0

.IN
I1 0
Vllt.l

TS
.,_
EN
y

<=>
D
TU

4-input CMOS NOR gate


TS

A
B
CI

c
D
y

CITSTUDENTS.IN Page- 135


Fundamentals of CMOS VLSI 10EC56

5.6.3 CMO Propcrtic

Complementary CMOS logic gates


nMOS pu/klown network
pMOS pull-up netw CMOS
Properties ork
a.k.a. static CMOS ,steady state

.IN
is reached to 0 or 1.(no de path
from Vdd to gnd)

Pull-u p OFF Pull-up 0

TS
Pull-down OFF Z (float ) I

Pull-do''n 0 0 X (crowbar)
EN
pMOS
pull-up
D
network
TU

output

nMOS
pull-down
network
TS

• Complemen tary C1 0. g:llcs a l way" produce 0 or I


• Ex: NA • o gate
CI

• cries n MO : Y=O \\'hen both inpuL-. are I


• Tim Y= I when either input i 0
• Requires paral l el pMO

CITSTUDENTS.IN Page- 136


Fundamentals of CMOS VLSI 10EC56

• Pull-up network is complement of pull-down


• PJrallcl -> series. sc tics -> parallel
• Output sign::l ,trcngt h i. i ndependent of input-level rcsto1ing
• Re loring l ogic. Ouput ignal strength i either Va-. (output. high) or Vol· (output
low).
• Ratio le·s logic :om pu t i gnal ·trength i independent of pMOS de ice ·ize to
nMOS size rat io.
• significant curren t only during the transi t ion from one $late lo another and- he nce
power is conscr\'cd..
• R ise and 1111 transition limes arc of the same order,
• Vcry high levels of integr;.Hion•

.IN
• High performance.

5.6.4 Complex gnte ..

I• =- AB +CD iU pruv1tl• J'

TS
. If'-"' w ill prm ide 0\. Ptrl"c \:

0'" of tunclion : is F. F = AB +
EN D = AB + D

u tO t mn-.p..cor n ed high tme i nput.... ... u •., le,ir.Jhl for all m ptll \ ari1.ble-.. to
be htgh tmc:. JUt " abuvc
D
TU
TS
CI

CITSTUDENTS.IN Page- 137


Fundamentals of CMOS VLSI 10EC56

Likewi . t, Pa. will prnvicle I \,

Apply DcMnrgan\ Theorem:

F = AB ·CD=A+ B, ·( D)

.IN
c-4

TS
...an 11 . o usc K - m p.:
EN
I
D
TU

l 1
TS

I 1 I

I u
CI

I I

CITSTUDENTS.IN Page- 138


Fundamentals of CMOS VLSI 10EC56

AB
r-"1
n

u
D tn.:t..: = AB +CD
[ (I I o I) u]

.IN
.....n .

TS
.A.B
\. ' /
EN,
bt.) I
0./
D
I I I
·C ·D +B· . HD
CD - --
(C + 0) B (C D)
TU

=( +B) ·(' 0)
.., I

l2
""''
I
/
TS
CI

CITSTUDENTS.IN Page- 139


Fundamentals of CMOS VLSI 10EC56

5.6.5 mplex gate AOI..


Compound gates c an do any Inverting
unction

Y = ALB + CLD (AND-AND-OR-I VER T. AOI22)

:e
(a)
)1-C
)I- 0 -- -- - • B
A

(b)
-101- C
-j 1- D

A --401'--- B C --401'--- 0 _ C-
.. A 1- DB

.IN
1j8
(c) (d)

B>y
TS
EN (f)

(e)
D
TU

unit inverter AOI21 AOI22 Complex AOI


Y=A Y = A B+C Y = A 8+ Y=A'( B + C} + D E
0

A{>o- Y iQ>v iB>y E


A
y
TS

B
c
CI

gA. = 6f3 gA = 6f3 9A. = 5f3


9e'" 613 99 "' 613 9a "' 813
9c= 5'3 9c = 6f3 9c = 813
p 7/3 9b: 6f3 9{) 8/3
p..12/3 9£"' 813
p = 16f3

CITSTUDENTS.IN Page- 140


Fundamentals of CMOS VLSI 10EC56

5.6.6 ircui t Familie : Restori ng logic " 10 · lm·erter- tick diagra m

1:1
. . ,su
_. vdd
bstrate connec tio n


n Va ut

---- -- - _...,--0 emarcatio n line

.IN
1:1

.. /P-well connection
..... GND

TS
5.6.7 Re toring logic 10Yarian t : n 10 Inverter- tick d iagram
EN
ydd
D
TU

v
TS

GND
Schematic
CI

Stick diagram
• Basic inverter circu it: load replaced by depl etion mode tran i stor
• With no current drawn from output, the cun·ent lets for both transistor mu t
be arne.
• For the depletion mode tran istor, ga te i connected to the source o it i
always on and onl y the characteristic curve V gs=O i relevan t.

CITSTUDENTS.IN Page- 141


Fundamentals of CMOS VLSI 10EC56

• Depleti on mode is ca ll ed pull-up a nd the enhance ment mode device pull-


d ow n.
• Obtain the tra nsfer charac teristics.
• As Yi n exceeds the p.d. threshold vol tage current begins to flow, Yout thus
d ecreases a nd further increase will cause p.d transistor to come out of
a turati on a nd become re i ti ve.
• p.u transistor is initiall y resisti ve as the p. d is turned on.
• Point at w hi ch Yout =Yi n is denoted a Y inv
• Ca n be shifted by variation of the ratio of pull-up to pull-dow n resista nces
-Zp.u I Zp.d

.IN
Z- ratio of cha nnel length to width for each transistor

For 8:1 nMOS Inverter

TS
Z p.u. = L p.u. I W p.u =8
R p.u = Z p. u. * Rs =80K
si mil a rl y
EN
R p.d = Z p.d * Rs = I OK
Power dissipati on (on) Pd = Y 2 IRp.u + R p.d =0.28mY
Input ca pacita nce= I Cg
D
For 4:1 nMOS Inverter
TU

Z p.u. = L p.u. I W p.u =4


R p.u = Z p.u. * Rs =40K
simil arly
R p.d = Z p.d * Rs =5K
Power dissipation(on ) Pd = V 2 IRp.u + R p.d =0.56mY
TS

Input ca pacita nce= 2Cg

5.6.8Re toring logic · MO ariant : Bi NIO Inverter- tick diagram


CI

CITSTUDENTS.IN Page- 142


Fundamentals of CMOS VLSI 10EC56

• A known deficiency of MOS technology i it l i mited l oad dri vi n g capa bi lities


(due to limited CUITent sourcing and si nking a bilities of pMOS a nd nMOS
transistor . )
• Outpu t logic level s good-close to rail voltages
• Hi gh input impeda nce
• Low output impeda n ce
• H i gh d1i ve ca pabi l i ty but occupie a rel ati vel y sm a l l area.
• H i gh n oise ma rgi n
• Bipol ar tra n istor have
• h igher gain

.IN
• bette r noi e cha racteristics
• bette r hi gh f reque ncy cha rac teristics
• BiCMOS gates ca n be a n effi cient way of speeding up V LSI circ uits
• CMOS fa btica tion process ca n be ex tended for BiCMOS

TS
• Exa mpl e Appli ca ti o ns
CMOS- Logic
BiCMOS- I/0 and dri ver circuit
ECL- criti cal hi gh speed parts of the system
D EN
5.6.9 Circuit Familie : Re toring looic 110 A D gate

...
TU

--
TS

Demarcation line
A A c
8
- ·- - - - _ /-
CI

..., G ND

CITSTUDENTS.IN Page- 143


Fundamentals of CMOS VLSI 10EC56

5.6.10 Re toring logic i\110 ' ariant :_n10 AND gate

.IN
TS
Stick diagr11m
Schematic
EN
5.6.11 Re toring logic ClVlO Variant : Bi :\10 A D gate
D
dd
B
TU

A
. Voi.J.
B
vcut
TS
CI

CITSTUDENTS.IN Page- 144


Fundamentals of CMOS VLSI 10EC56

• For nM and-gate. th"' ratio between pull-up and llln or all pul l-down - must
bc-U.
• and-gate area requirement nrc considerabl y greater than orTesponding
nMO inverter
• nM and-gme del ay i ·equa l to num ber or input time - i nverter delay.
• Hence nM . and-gates arc used very rarely
• CM and-gate has no ;;uch rC'\Iriction-.
• BiCMOS gate i · more complex and ha ·larger ran-out

.IN
5.7. i rcuit Fa milie : witch logic: P· Tra n i tor
\\ hy' 1110 "\ 1tch-.:'c tnnJ!I Jl.l'' .1 lngt I " \\ i thuut a rhrl.!...tmlu \ Uh.tgc ( T )
d1 op.

TS
' h ·n: T = 0.7 J.OV (i.e..
\n >
chn:"'lw l d H,h,•g wi ll vary
Gj
EN
ucput voltaQl' = 4.3 to 4.0V.
V11> uVuu - V-r
H't'dl,\, "1"
I) \
D
The 11M t r-.tn'i"t r will 't p c ndu ting if · < 'I· ,l V·1 = 0.7 .
TU

1- v
S -+ --+ '"
TS

u --) 5 IV---?·.'
CI

suun:e gc.,c::frurn 0 -7 • V g( ·fro rn 5 -t UV.

h n · > -t.JV. th n Vu .. < T. .. "-\'\1jrch st p condu t illg.


== 5 • 0.7 == 4.JV or dd - V .
· Lran i lr 1r·

CITSTUDENTS.IN Page- 145


Fundamentals of CMOS VLSI 10EC56

..., =- .7

"" = "' - 5

-5V < .7 V 5 > .7V

.IN
\lhc.:n I u I< IVT 1;1. mu t.:ontluc.:ting
a ov
6

TS
V U V-1 '? So w hen IVGs l < 1·0.7VI. Yo will go
D D .,
EN from 5V0.7V.

5.7.l , witch logic: Pa s Tran istor

g g =0 Input
g= 1
Output
D
_l_ So-- d o strong 0
$ r ..d
g = 1
TU

g = 1
s d 1 degraded 1

g g =0 Input Output
9 = 0
TS

_j_ s d 0 degraded o
sd
g = 1
g = 0
CI

5.7.1 wi tch logic: Pa. Tran i tor-n IO in scril'.

\ .\' .. v
I I I
_j L
n\· • - v u 4.3\' nv 4 1\' 0\t 4.3\ U\ Vdt.l • \'1
{).7\'
3V

CITSTUDENTS.IN Page- 146


Fundamentals of CMOS VLSI 10EC56

.IN
TS
EN
5.7.2 : witch logic: Tran mi ion gate
J I ' " ..J h.. t a -..1 n 1:-! •• I ' . n .:.a' [ " n ( ,.. p..t.-.. -.. l ?
D
TU

\Vhcu l = J.
B = 'rono I if ;\ = I;
TS

B = ·t on . if =0
CI

\Vhcn l== 0. n n c..·u[u.luc ting

CITSTUDENTS.IN Page- 147


Fundamentals of CMOS VLSI 10EC56

Pass transistors produce degraded outputs


Transmiss;on gates pass both 0 and 1 well

lrpl QJtpJt

.IN
9=0, 9J= 1 9=1, 9J=0
g j_
<r--- b str01]0
aOb

TS
T g=1, 9J=0 9=1, 9J=0
9J a--o---.o--- b
EN 1---o---»--- str01] 1

g g g
b a4-b a-Q-b
D
9:> 9:> 9J
TU

5.8 tructured De ignT· ri tate


• Tristate buffer J)roduce Z when not enabled

y
TS

EN A

0 0
CI

0 ]

1 0
EN
1 1
A -c>-v
CITSTUDENTS.IN Page- 148
Fundamentals of CMOS VLSI 10EC56

Tri tate buffer produces Z when not enabled


EN
E. y

0
A

0 z A -t?-v
EN
0 I z
1 0 0

1 I 1

.IN
TS
5.8.1 truct u red De. ign-Nonre tori ng Tri tate

• Transmission gate acts as tristate buffer


EN
- Only two transistors
EN
- But nonrestoring _l_
• Noise on A is passed on to Y
A 0y
D
+ No Vt drop T
TU

- Requires inverted clock EN


5.8.3 tructured Design-Tri tate Inverter
• Trist:;;at:Q invQrt:Qr pr oducs n ;:fs.t:orgd out: put:
TS

VloJates conduction complement rule


- Because we want a Z output
CI

A
EN
y
EN

CITSTUDENTS.IN Page- 149


Fundamentals of CMOS VLSI 10EC56

• Tristate inverter produces restored output


- Violates conduction complement rule
- Because we want a Z output

.IN
A A

TS
y
D EN
TU
TS
CI

CITSTUDENTS.IN Page- 150


Fundamentals of CMOS VLSI 10EC56

5.8.4 tructu1·ed De ign-l\lultiplexer

• 2:1 multiplexer choo e between two input

Dl DO y

0 X 0 0 s
0 X 1 1

.IN
DO
1 0 X 0
D1
Ifl If I

TS
u X u

0 X 1
EN
1 0 X
D
1 1 X
TU

5.8. 5 Structured De ign-lVIux De ign.. Gate-Level

y = s +SDO (too rmny transistors)


TS

• How many tran i tor: are needed?


CI

• How many tran i tors are needed? 20

CITSTUDENTS.IN Page- 151


Fundamentals of CMOS VLSI 10EC56

5.8.6 tructured De ign-l\tf ux De ign-Tran mi ion Gate


• onre ·taring mux u t wo tran mi ion gate
Only 4 tran i tor

s
_L
DO
y

.IN
01
T
s

TS
Invertingtux
• I nverti ng multipJex r
EN
e compound AO 22
- Or pair f tri.ta te in vet1ers
• on i n verting multiplexer add an inverter
D
TU

s
TS

o-Y
CI

D1 :,J

CITSTUDENTS.IN Page- 152


Fundamentals of CMOS VLSI 10EC56

5.8.7 De ign-4:I lu lti plexe r·


• 4: I mux ch oscs one r 4 input 'i using t w selec ts
Two levels I' 2:I muxcs
Or four tri ·talc

.IN
Sl S1

[))

01

TS
y y
D EN
5.9 tructured De ign-D Latch
• \ hen CLK = I. lat ch i,tron f )(l r£'111
TU

0 llows through Lo Q like a buffer


• When CLK = 0, the latch i. npaque
- Q holdit.;; old value independent f D
• a.k.a. tran ·par('Jll lmch r /ew!l-sensitil·e latch
TS

CLK C LK
CI

0
-
a
ei'it cr i cd
" "e-t rie":o:."""e'rn----- -/
o
ip-llop i. a hi-. table elcm
nt

CITSTUDENTS.IN Page- 153


Fundamentals of CMOS VLSI 10EC56

a Latch o Register
stores dat a when stores data when
clock is low clock rises

Clk Clk

.IN
D J D J
Q _j Q

TS
5.9.1 D Latch De ign
• Multiplexer choose. D or old Q
EN
QJ(
QK
j_
D
D
T
TU

aJ<
TS

5.9..2 D Latch Operation


CI

CLK = 1 CLK = 0

CITSTUDENTS.IN Page- 154


Fundamentals of CMOS VLSI 10EC56

tructurcd De ign-Latch De ign


cp

D a
• In verting buller T
Rc Iorine" ¢
• o backdriving 0
Fixe ·either
Output noi.e sen.i t i vity D a
Or d i iTu ion input T

.IN
ln \'crtcd Lll put 0

5.9.3 tructurcd D ign-D Flip-flop


• When CLK rise$, 0 i. copied to Q
• At all oth r time ·. Q hold it ·value

TS
• a. k.a. positil·e nlgl'-triggered flip-flop.ma.wer-<tlart' flip-flop

aJ<
EN
CLK

offia 0

a
D
TU

• truct urcd De ign-0 Flip-nop Dc ign

• Built from ma ·tcr and ·lave 0 latchc ·


TS
CI

CLK
0 a

0 a

CITSTUDENTS.IN Page- 155


Fundamentals of CMOS VLSI 10EC56

5.9.4 D Flip-flop Operation

CLK = 0

.IN
D-o ....o----.-----1 Q

TS
GLK"" 1

CLK
EN
D
D
Q
TU

5.9.- Race ondition


• Back-t -ba k f1 P a n malfun ti n fr m I k ke\J
cc nd flip-fl p lire lat
TS

fir.t ll i p-ll p hang and apturc its r ult


Ca l l d !told-timefailurl' r race condition
CI

a.K1 J

a..K1 QJ<2 QJ<2

01 Q1 _, '-----
D

CITSTUDENTS.IN Page- 156


Fundamentals of CMOS VLSI 10EC56

Recommended questions:

1. Explain 4X4 cross bar switch operation. Mention the salient features of subsystem design
process.
2. Explain the restoring logic in detail.
3. How to implement the switch logic for 4 way mux? Explain.
4. Describe switch and CMOS logic implementation for 2 input XOR gate.
5. Design a parity generator and draw the stick diagram for one basic cell.

.IN
TS
D EN
TU
TS
CI

CITSTUDENTS.IN Page- 157


Fundamentals of CMOS VLSI 10EC56

Unit-6

CMOS subsystem design processes


General considerations, process illustration, ALU subsystem, adders, multipliers.

.IN
Recommended readings:

TS
1. Douglas A. Pucknell & Kamran Eshraghian, “Basic VLSI Design” PHI 3rd Edition (original

Edition – 1994), 2005. EN


2. Neil H. E. Weste and K. Eshragian,” Principles of CMOS VLSI Design: A System

Perspective,” 2nd edition, Pearson Education (Asia) Pvt. Ltd., 2000. History of VLSI
D
3. CMOS VLSI DESIGN—A circuits and systems perpective. 3rd edition N.H.Weste and David
TU

Harris. Addison-wesley.
TS
CI

CITSTUDENTS.IN Page- 158


Fundamentals of CMOS VLSI 10EC56

6.1 General Considerations


Lower unit cost

Higher reliability

Lower power dissipation, lower weight and lower volume

Better performance

Enhanced repeatability

Possibility of reduced design/development periods

.IN
6.1.1 Some Problems
1. How to design complex systems in a reasonable time & with reasonable effort.
2. The nature of architectures best suited to take full advantage of VLSI and the

TS
technology
3. The testability of large/complex systems once implemented on silicon
EN
6.1.2 Some Solution
Problem 1 & 3 are greatly reduced if two aspects of standard practices are accepted.
1. a) Top-down design approach with adequate CAD tools to do the job
b) Partitioning the system sensibly
D
c) Aiming for simple interconnections
TU

d) High regularity within subsystem


e) Generate and then verify each section of the design
2. Devote significant portion of total chip area to test and diagnostic facility
TS

3. Select architectures that allow design objectives and high regularity in realization
6.2 Illustration of design processes
1. Structured design begins with the concept of hierarchy
CI

2. It is possible to divide any complex function into less complex sub functions that is up
to leaf cells
3. Process is known as top-down design
4. As a systems complexity increases, its organization changes as different factors become
relevant to its creation
5. Coupling can be used as a measure of how much submodels interact
6. It is crucial that components interacting with high frequency be physically proximate, since
one may pay severe penalties for long, high-bandwidth interconnects
CITSTUDENTS.IN Page- 159
Fundamentals of CMOS VLSI 10EC56

7. Concurrency should be exploited – it is desirable that all gates on the chip do useful work
most of the time
8. Because technology changes so fast, the adaptation to a new process must occur in a short
time.
Hence representing a design several approaches are possible. They are:
• Conventional circuit symbols
• Logic symbols
• Stick diagram

.IN
• Any mixture of logic symbols and stick diagram that is convenient at a stage
• Mask layouts
• Architectural block diagrams and floor plans

TS
6.3 General arrangements of a 4 – bit arithmetic processor
The basic architecture of digital processor structure is as shown below in figure
EN
6.1. Here the design of data path is only considered.
D
TU
TS
CI

CITSTUDENTS.IN Page- 160


Fundamentals of CMOS VLSI 10EC56

Alternatively, the two data ports may be combined as a single bidirectional port if storage
facilities exist in the datapath. Control over the functions to be performed is effected by
control signals as show n.

Data path
Data in "' Basic arithmetic Data out ...
• •• , logical & shift operat ions r

Temporary storage of
operands

.IN
Control
Figu re 6.2: Communication strategy for the data path
Datapath can be decomposed into blocks showing the main subunits as in
figu re 3. In doing so it is useful to anticipate a possi ble floor pl an to show the pl anned

TS
relative decomposi tion of the subuni ts on the chi p and hence on the mask layouts.
D EN
Diraction Select& Operation Shift
TU

Control Control Contro Control


Figure 6.3: Su bunits and basic interconnection for datapath
Nature of the bus architecture linking the subunits is djscussed below. Some of
the possibilities are:
TS

One bu a rchitecture:

4-bi ALU
CI

bus

Fi gu re 6.4: One bus architecture


Sequence:
J . J st operand from registers to ALU. Operand is stored there.
2. 2"d operand from register to ALU and added.
3. Result is passed through shi fter and stored in the register

CITSTUDENTS.IN Page- 161


Fundamentals of CMOS VLSI 10EC56

Two bu architecture:

Registers

Figure 6.5: Two bus architecture


Sequence:
l. Two operands (A & B) are sent from register(s) to ALU & are operated upon,

.IN
resultS in ALU.
2. Result is passed through the shifter & stored in registers.

Th ree bu architecture:

TS
D EN
Figure 6.6: Three bus architecture
Sequence:
TU

Two operands (A & B) are sent from registers, operated upon, and shifted result
(S) returned to another register, all in same clock period.
In pursuing this design exercise, it was decided to implement the structure with a
2 - bus architecture. A tentative floor plan of the proposed design which includes some
TS

fonn of interface to the parent system data bus is shown in figure 6.7.

BUS 1

Input I
CI

4 -bit ALU 4 - bit


Output
Register Shifter

Bus2
A
,..
>" System Bus
Figure 6.7: Tentative floor plan for 4- bit datapath

CITSTUDENTS.IN Page- 162


Fundamentals of CMOS VLSI 10EC56

The proposed processor will be seen to comprise a register array in which 4-bit
numbers can be stored, either from an 110 port or from the output of the ALU via a
shif ter. Numbers from the register array can be fed in pairs to the ALU to be added (or
subtracted) and the resu lt can be sh ifted or not The data coru1ections between the 110
port, ALU, and shifter must be in the form of 4-bi t buses. Also, each of the blocks must
be suitably connected to control lines so that its function may be defi ned for an y of a
range of possible operations.
Duri ng the design process, and in particular when defi ning the interconnection
strategy and designing the stick diagrams, care must be taken in allocating the layers to
the various data or control paths. Points to be noted:

.IN
../ Metal can cross poly or diffusion
../ Poly crossing di ffusion form a transistor
../ Whenever lines touch on the same level an in terconnection is formed

TS
../ Simple contacts can be used to join diffusion or poly to metal.
../ Buried contacts or a butting contacts can be used to join diff usion and poly
../ Some processes use 2"d metal
EN
../ 151 and 2"d metal layers may be joined u sing a via
../ Each layer has particular electrical properti es which must be taken i nto account
../ For CMOS layouts, p-and n-diffusion wires m ust not directly joi n each other
D
../ or may they cross either a p-well or ann-well boundary
TU

De ign of a 4-bit hifter


Any general purpose n-bit shifter should be able to shift incoming data by up to
n - 1 place in a right-shi ft or left-shift di rection. Fur1her specifyi ng that all shif ts should
be on an end-around basis, so that an y bit shifted out at one end of a data word will be
TS

shif ted in at the other end of the word, then the problem of right shift or left shift is
greatly eased. It can be a nal yzed that for a 4-bit word, that a 1-bit shift right i s equivalent
to a 3-bit shift left and a 2-bi t shift right is equivalent to a 2-bit left etc. Hence, the design
of either shift right or left can be done. Here the design is of shift right by 0, 1, 2, or 3
places. The shifter must have:
CI

• input from a four l ine parallel data bu s


• four ou tput li nes for the s hifted data
• means of transferring input data to outpu t lines with any shift from 0 to 3 bits

Consider a direct MOS switch i mplementation of a 4 X 4 crossbar switches show n in


figure 6.8. The arrangement is ge neral and may be expanded to accommodate n- bit
inputs/outputs. In this arrangement any input can be connected to any or all the outputs.
Furthermore, 1 6 control signals (swoo - sw1 s), one for each transistor switch, must be
provided to drive the crossbar sw itch, and such complexity is highl y undesi rable.

CITSTUDENTS.IN Page- 163


Fundamentals of CMOS VLSI 10EC56

In 0 In 1 In 2 In 3

Figure 6.8: 4 X 4 crossbar switch

.IN
An adaptation of this arrangement recognizes the fact that we couple the switch
gates together in groups of four and also form four separate groups corresponding to
shifts of zero, one, two and three bits. The resulting arrangement is known as a barrel
shifter and a 4 X 4 barrel shifter circuit diagram is as shown i n the figure 6.9.
--+--+--+-.......-

TS
D EN -:O..WII'II
TU

Figure 6.9: 4 X 4 barrel shifter


The interbus swi tches have their gate inputs connected in a staircase fashi on in
groups of four and there are now four shift control inputs which must be mutually
TS

exclusive in the active state. CMOS transmission gates may be used in place of the
simple pass transistor switches if appropriate. Barrel shifter connects the input lines
representing a word to a group of output lines with the required shift detennined by its
control inputs (shO, sh I , sh2, sh3). Control inputs also determine the direction of the shi ft.
If input word has n - bits and shifts from 0 to n- l bit positions are to be implemented.
CI

To ummarie the de ign tep

Set out the specifications


-i Partition the archi tecture into su bsystems
Set a tentative floor plan
Determi ne the interconnects
Choose layers for the bus & control lines
Conceive a regular architecture
Develop stick diagram

CITSTUDENTS.IN Page- 164


Fundamentals of CMOS VLSI 10EC56

Produce mask layouts for standard cell


Ca.cadc & replicate standard cells a. requ i red to complete the de.ign

6.4 De ign of a n A LL" u b y tem


H aving de ·igned Lhc hifter. ' c ·haJI de ign • nether ub ystem of Lhe 4-bit da ta
pat h. An appropriate choice i ·AL a: ·hown in the figure 6.10 below.

.IN
TS
Figure 6.I0: 4-bit data path for proccs or

The heart of the AL is a 4-bit adder circ uit. A 4-bit adder must take . u m of'' o
_.-bi t numberand there i. a n as. umption that all +bit quanti tie. arc prescmcd in parallel
[! rm and Lhat the hifter circuit is designed to a ccpt and shift a 4-bi t parallel sum from
EN
t he A LU. The ·um is to be ·tored in parallel at the ou tput of the adder fr mhere it i ·
fed through thshifter and back to th ·register array. Therefore, a single 4-bi t data bus i ·
needed from the adder to the .hifter and another 4-bit bu. is required from the . hifted
output back to the regi ter array. Hence. for an adder two 4-bit parallel numbers arc fed
on two 4-bit buse . The clock ignal i al o required to the adder. during ' hich the input
D
arc given and u rn i·genera ted. The ·hi ftcr is un locked but mu t be connected to four
shift con Lrollines.
TU

Design of a + bit ndd r:


' ary a dd1cr.IS n.s sh own 111 tabl c 6 I
Th c trulIl tabl eofbm
Input Output
c ...• c..
TS

A._ s .. s ..
0 0 0 0 0
0 I 0 I 0
CI

I 0 0 I 0
I I 0 0 I

0 0 I I 0
0 I I 0 I
I 0 I 0 I
I I I I I

CITSTUDENTS.IN Page- 165


Fundamentals of CMOS VLSI 10EC56

A seen from the table any column k there will be three i nput namely A , BL a
pre ent inpu t number and CL.J a the previous carry. h can al o be seen that there arc two
output urn s.. and carry c...
From the table one form of the equation i :
um ._ = HLCL.t' + Ht'CL.a
Nw carry C1.= A1.. Bl + H.c 1
Where
Hal f um H1. = Ao.'BL + A1.. B,.'

Ad der eleme nt req ui rr men t


Table 6.1 reveal that the adder requirement may be tated ns:

.IN
If A1.. = 81.. then 1.. = C1..1
Else 1.. = Ct.•'
And for the carry C1..
If A1.. = 81.. then C1. = A1.. = 81..
Else c.. = c..,.

TS
Thu the tandard adder element for 1-bit i a hown i n the figure 6.1 1.
Carry in C 1
EN
Sums.

Cany
D
Figure 6.1 1 : Adder element
TU

6.4.l lm plemen ti ng .\ Lll function "ith a n add er:

AnAL must be able to add and : ubtract t\ o bi nary number.. pcrfom1 logical
operation: such as And.Or and Equali ty Ex-or) functions. Subtract ion can be performed
by taking 2' complement of the negative number and perfonn the f u rther addition. It i.
TS

de irable to keep the architecture a imple ns po ible. and aJ o ee that the adder
perfonn the logical operation al o. Hence let u examine the po ibi lity.

The adder equation arc:


CI

um :C....t. + H.. ·c....,


1: = H
Nev. carry C1.. = A1.. B1. + H1. C1..1
Where
Hal f um H1. = Ao.'B1. + A1.. 81.'
Let u con ·ider the um output. i f the previou ·carry i at logical 0, then
S1.. = H .,. I + H.,'. 0
SL = H, s.. ·-An Ex-or operation
No' . if Ct.J i. logically I. then
L = H .;. 0 + HL'. I

CITSTUDENTS.IN Page- 166


Fundamentals of CMOS VLSI 10EC56

Sk = Hk' - An Ex-Nor operation

Nex t, consider the carry outp.ut of each element, first C 1 is held at logical 0, then
0 = A;:B C;
- An And operatiCo;:n= A;:B; Now if Ck.1 is at logical
1, then
= A;:B;:+ Hk . 1 C;
On sol ving C;:= A;: + B;: - An Or operation
The adder element implementi ng both the arithmetic a nd logical functions can be
implemented as shown in the figure 6.12.
ck-t

.IN
sk
r"t-BIkI

TS
ck
Bat
Figu re 6.1 2: 1 -bi t adder element
The a bove can be cascaded to form 4-bit ALU.

A f u rthe r con ideration of ad d er


EN
G eneration:
D
This princi ple of generation al lows the system to take adva ntage of the
occurrences "a ;=b;:". In both cases (ak= I or a ;:=O) the carry bit will be know n.
TU

Propa gation:

If we are able to localize a chain of bits ak ak+J ...at+p and bk bk+J ...bk+p for which ak
not equ al to bk fork in [k,k+p], then the output carry bit of this chai n will be equal to the
input carry bit of the chai n.
TS

These remarks constitu te the principle of generation and propagation used to


speed the addi tion of two numbers.

All adders which use this pri nciple calculate i n a fi rst stage.
CI

Pk= ak XOR
gk = ak b;:

CITSTUDENTS.IN Page- 167


Fundamentals of CMOS VLSI 10EC56

.IN
6A.2lnnche ter can·) -cha in

This im plementation can be very pcrformant (20 trnn. istors) depending on the
way the XOR fu nction i built. The carry propagation of the carry i controlled by the

TS
output of the XOR gate. The generation of the carry is directly made by t he funct ion at
the bonom. When both i nput signa ls are I. then the inverse output carry i0.
D EN
TU

Fui re-6.12: An adder with propagation ·ignal controlling the pas -gate

In the schematic of Figure 6.I-·the carry pa e through a complelc tran mi ion


gate. I f t he carry path i precharged to VOD. the tran. mi.. ion gate i. t hen reduced 1 a
TS

simple i lOS tra nsi tor. I n the arne way the PMOS u-ansistors of the carry generation is
rcn ved. nc get a Manchester cell.
CI

CITSTUDENTS.IN Page- 168


Fundamentals of CMOS VLSI 10EC56

cany I__..._c.arry out


g--
clock_

.IN
Figure-6.1 3: The Ma nchester cell

The Manchester cell is very fast, but a large set of such cascaded cells would be
slow. This is due to the distributed RC effect and the body effect making the propagation

TS
time grow with the square of the number of cells. Practicall y, an inverter is added every
four cells, like in Figure 6.14.
D EN
TU

Figu re-6.14: The Manchester carry cell

Adder Enha ncement techniqu


TS

The operands of additi on are the addend and the augend. The addend is added to
the augend to form the sum. In most computers, the augmented operand (the augend) is
replaced by the sum, whereas the addend is unchanged. High speed adders are not onJ y
CI

for addition but also for subtraction, multiplication and division. The speed of a digi tal
processor depends heavily on the speed of adders. The adders add vectors of bits and the
pri ncipal problem is to speed- up the carry signal. A traditional and non optimized four
bit adder can be made by the use of the generic one-bit adder cell connected one to the
other. It is the ripple carry adder. In this case, the sum resulting at each stage need to wait
for the incoming carry signal to perform the sum operation. The carry propagation can be
speed-u p in two ways. The first -and most obvious- way is to use a faster logic ci rcu it
technology. The second wa y is to generate carries by means of forecasting logic that does
not rely on the carry signal being rippled from stage to stage of the adder.

CITSTUDENTS.IN Page- 169


Fundamentals of CMOS VLSI 10EC56

6.4.3 The 'arl.") - kip Addl'r

Depending on lhe po ilion at which a carry ignal ha been generated. the


pr pagation time can variable. In the be l case. ' hen there i · no carry generat ion, the
addition time ''ill only take into account the Lime to propagate the carry • ignal. Figure
6.15 i. an example illu. trating a carry signal generated twice. with the input carry being
equal to 0. I n thi case t hree imullaneou carry propagation. occur. The Ionge t i the
econd, w hich take 7 cell delay (i t tart. at the 4th position and end at the II th
po it ion). So Lhe addition time of these two number ''ith thi 16-bit. Ripple Carry Adder

.IN
is 7.k + k', where k i the delay cell and k' i the time needed to compute the lllh urn bit
u. ing the l ith carry-i n.

With a Ripple Carry Adder, if the inpu t bi ts Ai and Bi are different for all position

TS
i, then the carry signal is propagated at all positions (thus never generated), and the
addition is completed when the carry signal has propagated through the whole adder. In
this case, the Ripple Carry Adder is as slow as it is large. Actually, Ripple Carry Adders
are fast only for some configu rations of the input words, where carry signals are
generated at some positions.
EN
Carry Skip Adders take advantage both of the generation or the propagation of the
carry signal. They are divided i n to blocks, where a special circuit detects quickly if all the
bits to be added are different (Pi = 1 i n all the block). The signal produced by this circuit
D
wi ll be called block propagation signa l. If the carry is propagated at all positions i n the
block, then the carry signal entering into the block can directly bypass it and so be
TU

transmitted through a mu ltiplexer to the next block. As soon as the carry signal is
transmitted to a block, it starts to propagate through the block, as if it had been generated
at the begiruting of the block. Figure 6.16 shows the structure of a 24-bits Carry Skip
Adder, divided i nto 4 blocks.
TS

I
0
0 0
I
I
0
-]
_1_
I
0
0
I
I
0
0
1
0
10
I O
l 10)
Qj
I
O
1
0
1
0

D D DD iilllOO DD D DD IIilJO DD
D 0 00 0 0D 0 0 0
CI

Figure 6.15: Ex ample of Carry skip adder - ·

CITSTUDENTS.IN Page- 170


Fundamentals of CMOS VLSI 10EC56

A. I, 8.I ' Bi 1 8j Ai, Bl


I1: 8,...,23 la:12,...,17 i:6,7,...,1 1 j, 0,1,2...,5

.IN
,Bi i:0,1,...,5

TS
Figure-6. 16: Block diagram of a carry s1dp adder
D EN
TU
TS
CI

CITSTUDENTS.IN Page- 171


Fundamentals of CMOS VLSI 10EC56

Optimization of the carry kip adder

It becomes now obvious that there exist a trade-off between the speed and the size
of the blocks. In this part we analyze the division of the adder into blocks of equal size.
Let us denote kl the time needed by the carry signal to propagate through an adder cell,
and k2 the time it needs to skip over one block. Suppose the N-bit Carry Skip Adder is
divided into M blocks, and each block contains P adder cells. The actual addition time of
a Ri pple Carry Adder depends on the configuration of the input words. The completion
time may be small but it also may reach the worst case, when all adder cells propagate the
carry signal. In the same way, we must evaluate the worst carry propagation time for the
Carry Skip Adder. The worst case of carry propagation is depicted in Figu re 6.17.

.IN
TS
EN
Figure-6. 17: Worst case carry propagation for Carry Skip adder

The confi guration of the input words is such that a carry signal is generated at the
D
beginning of the first block. Then this carry signal is propagated by all the succeeding
adder cells bu t the last which generates another carry signal. 111 the first and the last block
the block propaga6on signal is equal to 0, so the entering carry signal is not transmitted
TU

to the next block. Consequently, in the first block, the last adder cells must walt for the
carry signal, whkh comes from the first cell of t11e first block. When going out of the first

block, the carry signal is distribu ted to the 2"d, 3rd and last block, where it propagates. In
TS

these blocks, the carry signals propagate almost simultaneously (we must account for the
m u ltiplexer delays). Any other situation leads to a better case. Suppose for instance that
the 2"d block does not propagate the can·y signal (its block propagation signal is equal to
zero), then it means that a carry signal is generated inside. This carry signal starts to
CI

propagate as soon as the inpu t bits are settled. In ot her words, at the begjnning of the
addition, there exist two sources for the carry signals. The paths of these carry signals are
shorter than the carry path of the worst case. Let us formalize that the total adder is made
of N adder cells. It contains M blocks of P adder cells.l11e total of adder cells is then

CITSTUDENTS.IN Page- 172


Fundamentals of CMOS VLSI 10EC56

_ =M.P

The time T needed by the carry signal to propagate through P adder cells is

The timeT needed by the carry .ignal to ·kip through M adder block

.IN
The problem to ol ve i to minimize the wor t case delay which i :

TS
6.4.4 ThCarry-cll ddr
EN
This type of adder i not a fast as the Carry Look Ahead (CLA ) pre ented in a
next section. However, de pite it bigger amount of hard' are needed. it ha. an intere ting
de. ign conrepl. The Carry cle t prin iple requ ire. two identical parallel adders that are
partitioned into four-bit group ·. Each group c nsist of t he same dc:ign a. that: hown o n
D
Figure 6.1 . The group generate. a group carry. In the carry select adder, two ·um arc
generated .imultancou ·ly. One urn as umes that the carry in i equal to one a!l the other
TU

a umcs t hat the carry in is equal to zero. o that the predicted group cany i u cd to
elect one of the two urn .

It can be cen t hat the group carrie logic increase rapidly \ hen more high- order
group are added to the total adder length. Thi complexity can be decreased, with a u
TS

b·equent in rea e in the delay, by partition i ng a long adder into sections, wi th four
group per se t ion, i milar to the CLA adde r.
CI

CITSTUDENTS.IN Page- 173


Fundamentals of CMOS VLSI 10EC56

AJ 83 A2 82

.IN
SJ S2 Sl so
Figure-6.18: The Carry Select adder

TS
Optimization of the ca rry elect. adder
• Computational time
T =K 1 n
• Dividing the adder in to blocks with 2 parallel paths
T = K1 n/2 + K2
EN
• For a n-bit adder of M-blocks and each block contai ns P adder cells in series
T = PK 1 + (M- 1) K2 ; n = M.P minimum value forT is when M=..f(K 1n I K 1 )
D
TU
TS
CI

CITSTUDENTS.IN Page- 174


Fundamentals of CMOS VLSI 10EC56

6.-'.5 The 'a rry Look-.\head Adder


The l imitation in the eq uential met hod of fonni ng carrie . especia ll y in t he
Ripple Carry adder ari e from pecifying c, a a pecilic function of c,.,. It i po sible to
exprc a carry as a function of all t he preceding lo' order carry by using the rccursivity
of the carry function. With the following cxprc sion a con iderable i ncrease i n peed can
be realized.
Ci = G, + Gi-2 PH + Gt-s P1-2 PH + •.. .•. + Ge Pt P1••Pi-t + Ce Pe Pt Pt••.P,.J

. ually the ize and complexi t y for a big adder using thi equation i not
affordable. That i w hy the equation i u ed in a modular way by making group of carry

.IN
(u ually four bit.). Such a unit generate then a group carry which give the right predicted
infonnation to the next block gi v i ng time to the ·urn unit · to perform their calculation.

TS
7t = PcPtP2P3
1t
'Y
Y= g3 + P3 + P3P2 g l + P3P 2P1 go

C4 = Y + J!.CQ
EN
Figurc-6.19: The Carry Generation unit pcrfonning the Carry group computation

Such unit can be implemented in various ways, according to the a llowed level of
D
abstraction. In a CMOS process, 17 transistors are able to guarantee the static function
(Figure 6.20). However thi s design requires a caref ul sizing of the transistors put in
TU

senes.

The same design is av ailable with less transistors in a dy namic logic design. The
sizi ng is still an important issue, but the number of transistors is reduced (Figure 6.21).
TS
CI

CITSTUDENTS.IN Page- 175


Fundamentals of CMOS VLSI 10EC56

lt>------93
r---- -
c 1 ... go+ po.co --1 lt>-- - -y---t-9z
,---------1
)p---T--+----if--91

o----+-+--+-9o

Po-f --+----lf--...._ -41

Pt -f---f----1......_---41

.IN
C4 = 1:3 + P3·[E 2 + P2·(1: I + PI·{I:O + Po.coJD
t ---J
-f----+- - -41
+------1
PJ4- - - - -f
f

TS
Figure-6.20: Stati c implementa tion of the 4-bi t carry lookahead chai n
D EN
TU

clod_._- --- --f l


TS

Figure-6.21: Dyn amic im plementation of the 4-- bit carry lookahead chain
Figu re 6.22 shows the implementa tion of 16-bit CLA adder.
CI

Fi gure-6.22: Implementa tion of a 16-bi t CLA adder

CITSTUDENTS.IN Page- 176


Fundamentals of CMOS VLSI 10EC56

.IN
TS
D EN
TU
TS
CI

CITSTUDENTS.IN Page- 177


Fundamentals of CMOS VLSI 10EC56

.IN
Figure-6.23: Serial-Parallel multiplier
6.5.1Braun Pa ra II<'Il ul tiplicr
The implc t parallel multiplier i. the Braun array. All the panial product A.bk

TS
are compu ted in parallel. and then collected through a cascade of Carry Save Adders. At
the bottom of the array. the output of the array is noted in Carry Save, so an additional
adder convcn it ( by the mean of carry propagation) into the cia ical notation (Figure
6.2-t). The comple tion Lime i limited by the depth of the carry ave array. ru1d by the
arry propagation in the adder. Note that this multiplier is only sui ted for positive
EN
operands. cgati c operand! may be multiplied usi ng a Baugh-Wooley multiplier.
D
TU
TS

Figure 6.2-t: A +bit Braun Army


CI

CITSTUDENTS.IN Page- 178


Fundamentals of CMOS VLSI 10EC56

6.5.2 Baugh-Wool ct\ lultipl icr


This technique has been developed i n order to de ign regular nlUit ipliers. uited
for 2' -complement numbers.

Let u con ·idcr 2 numbers A and B:

n-2
A = (3· . 3o) =_ a·z"-1 + L ai 21
0
n.2
B = Cb n.t bo) =.bn.t 21L J + Lbi 21

.IN
0

The product A.B i· given by the folio' ing equat ion:

TS
n-2 n-2 2 n-2
A B = a a.! b n.l 22Ja-2 + L.J L.J ib i 2i+j - a....l L.J
zn+i-1 - bn.l L.J.,.2n+i-L
0 0 0 0

We ec that ubtra tion cell mu t be used. Ln order to use only adder eelb. the
negative teml·may be rcriuen as:
EN
2 J\
- an. t Lbi zi+• = an.t (_z2•-2 + z • + L bi zi+n-t )
0 0
D
By thi way. A.B become :
TU

n-2 2
A B = a•.l bA-1 22A 2 +L Lai bj zi+j
0 0
TS
CI

CITSTUDENTS.IN Page- 179


Fundamentals of CMOS VLSI 10EC56

The ft naJ eq u ation is:

n.2 n.2
+L L ai.bj. 2i+j + (an.1 + bn-1). 2n.1
0 0

n.2 n.2
+"'bn. -1·a-;1·2i+n-1 + "'an-1 · b,

2i+n-1

.IN
0 0
A and B arc n-bits operand , o their product i a 2n-bit number. Con cqucntly,
the mo t ignificam weight i 2n-l, and the fir t tenn -2n.t is taken into account by
adding a I in the mo t ignificant cell of t he multiplier. The implernenta tion is hown in
figure 6.25.

TS
D EN
TU
TS

Figurc-6.25: A 4-bit Baugh-Wooley Multiplier

6.5.3 Booth A lgori thm


This algorithm is a powerful direct algorithm for signed-number multiplication. It
CI

generates a 2n-bit product and treat both po itive and negative numbers unifonnly. The
idea i to redu e the number of addi tion to perform. Booth algori thm allows in the be t
case n/2 additions whereru modi lied Booth algori t hm allow. alway. n/2 addition..

CITSTUDENTS.IN Page- 180


Fundamentals of CMOS VLSI 10EC56

Let us consider a string of k consecu tive 1s in a multiplier:


..., i +k, i+k-1, i+k-2 , ..., 1, i -1, ...
...' 0 , 1 , 1 ' ..., 1 , 0, ...

where there is k consecutive 1 s.

By using the following property of binary strings:

the k consecutive l. s can be replaced by the following string

.IN
..., i+k+l, i+k, i+k-1, i +k-2, ..., i+l, i ' i- 1 ' ...
..., 0 1 • 0 • 0 ,..., 0' -1 ' 0 ' ...
k-1 consecutive Os Addition Subtraction

TS
In fact, the modified Booth algorithm converts a signed nu mber from the standard
2's-complement radix i nto a number system where the digits are in the set {-1,0,1}. In
this number system, any number ma y be written in several forms, so the system is called
redundant
EN
The coding table for the modified Booth algorithm is given in Table 1. The
a lgorithm scans strings composed of three digits. Depending on the value of the stri ng, a
certain operation will be performed.
D
A possi ble implementation of the Booth encoder is given on Figure 6.26.
TU

Table-1: Modified Booth coding table

.-- BIT l\1is


21 20 2·1 OPERATION multiplied
TS

yi+l yi Yi.t by
0 0 0 add zero (no string) +0
0 0 1 add multipleic (end of string) +X
CI

0 1 0 add multiplic. (a string) +X


0 1 1 add twice the mul. (end of string) +2X
1 0 0 sub. twice them (beg. of string) -2X
0 1 sub. them. (-2X and +X) -X
1 0 sub . the m (beg. of string) -X
1 sub. zero (center of string) -0

CITSTUDENTS.IN Page- 181


Fundamentals of CMOS VLSI 10EC56

Figure-6.26: Booth en oder cell

.IN
To . ummarize t he operat ion:
._ Grouping multiplier bit · into pairs
• Orthogonal idea to the Booth rc oding
• Reduce · the nu m of partial produ t · to half
• If Booth receding not used -+ have to be able to multiply by 3 (hard:

TS
hift+add)
Applyi ng the grouping idea to Booth
Modified Booth Receding (Encoding)
• We already got rid of sequence. of l's
no mu l tiplication by 3
EN
Ju t negate. ·hift once or twice

6.5.-' \\'allace Tree


D
For thi·purpose, Walla e tree ' ere introduced. The addition t i me gro' • l i ke the
logarithm of the bi t number. The simplest Wallace t ree is the adder cell. More general l y,
TU

an n-inpu t Wallace tree i an n-input operator and log2(n) outputs. . uch that the value of
th .. output word i. equal to the number of "I" in the input word. The inpu t hiL and the
le. t ignificant bit of the output have the ame weight (Figure 6.27). An important
property of Walla " trees i that Lhey may be con tructed using adder cell·. Furthennore.
t he number of adder cells needed grows like the logarithm log2(n of the number n of
TS

input bit. . Consequently, Wallace tree. arc useful ' hcne er a large number of operands
arc to add, like in multipliers. ln a Braun or Baugh-Woolcy multiplier with a Ripple
Carry Adder. th" completion time of the multipl ication i · proportional to l\ ice Lhe
number n of bi t . If the collection of the partial product is made thr ugh WaJiacc tree .
CI

the time for getting the result in a carry save notation !.hould be proportional to log2(n).

ninputs ,0 ,0 ,.

Log (n) outpuL-.

Figurc-6.27: Wallace cells made of adders

CITSTUDENTS.IN Page- 182


Fundamentals of CMOS VLSI 10EC56

Figure 6.28 represents a 7-inputs adder. for each weight, W al lace trees are used until
there remai n on ly two bits of each weight, as to add them using a classical 2-inpu ts adder.
When taking into account the regu lari ty of the interconnection , Wallace trees are the
most i rregular.

.IN
TS
Figu re-6.28: A 7-i nputs Wallace tree
EN
To summarize the operation:
The Wallace tree has three steps:
r Mu l tiply (that is- AND) each bit of one of the arguments, by each bit of the other,
yield i ng n2 results.
D
r Reduce the num be r of parti a l products to two by layers of full a nd half adders.
,. G roup the wi res i n two num bers, and add the m wi th a conventional adder.
TU

The second phase works as follows.


:,. Take an y three wires with the same weight and i nput them into a full adder.
r The re u l t will be an output w ire of the ame weight and a n output wi re wi th a
higher weight for each three input wires.
:;... lf there are two wires of the sarne weight left, input them into a half adder.
TS

r If there is just one wire left, connect it to the nex t layer.


CI

CITSTUDENTS.IN Page- 183


Fundamentals of CMOS VLSI 10EC56

Recommended questions:

1. How to implement arithmetic and logic operation with a standard adder? Explain with
the help of logic expression.
2. Discuss the architectural issues to be followed in the design of VLSI subsystem.
3. Design 4:1 mux using transmission gates.
4. How can 4 bit ALU architecture be used to implement an adder?
5. Explain the design steps for a 4 bit adder.
6. Discuss Baugh Worley method used for 2‘s complement multiplication.

.IN
7. Discuss timing constraints for both flip-flop and latches.
8. Explain booth multiplier with example.
9. Explain basic form of 2 phase clock generator.

TS
D EN
TU
TS
CI

CITSTUDENTS.IN Page- 184


Fundamentals of CMOS VLSI 10EC56

Unit-7

Memory registers and clock

Timing considerations, memory elements, memory cell arrays.

.IN
TS
Recommended readings:
EN
1. Douglas A. Pucknell & Kamran Eshraghian, “Basic VLSI Design” PHI 3rd Edition (original

Edition – 1994), 2005.


D
2. Neil H. E. Weste and K. Eshragian,” Principles of CMOS VLSI Design: A System
TU

Perspective,” 2nd edition, Pearson Education (Asia) Pvt. Ltd., 2000. History of VLSI.

3. CMOS VLSI DESIGN—A circuits and systems perpective. 3rd edition N.H.Weste and David
TS

Harris. Addison-wesley.
CI

CITSTUDENTS.IN Page- 185


Fundamentals of CMOS VLSI 10EC56

.l . )' tem t iming con idcra tion :


• Two phase non-overlapping clock
• Qt lead 2
• Bit to be . torcd are written to rcgi tcr and sub y tcm on 1
• Bit. or data written arc a sumed to be settled before ¢2
• ¢2 ·ignalu.cd to rcfrc h data
• Delay as.unr-d to be lc than the intervaL between the leading edge of 1 &
• Bit. or data may be read on th nex t 1
• There must be at lea·t one clocked toragc clement in scric with every closed
loop signal path

.IN
7.2 • tornge I ){emory E lemen t :
The clcmcnlS that we will be studyi ng are:
• Dy na mic hift register
• 3T dynamic RAM cell

TS
• IT dynamic memory cell
• Pseudo ·tatic RAM I rcgi ter cell
• ..JT d ynamic & 6T ·tatic memory cell
• JK FF circuit
• D FF circuit
EN
Power di i pation
• static dissipation is vety smaU
D
• dy namic power is significant
• d issipation can be reduced by al ternate geometry
TU

Volatilit)'
• data storage time is limited to l msec or less
TS
CI

CITSTUDENTS.IN Page- 186


Fundamentals of CMOS VLSI 10EC56

7.2.13T dynamic R ·I cell:

ircui. t diagt·a m
Vol)

Bus

.IN
GND

WR RD

TS
Figure 7.1 : 3T Dynamic RAM Cell
Working
• RD = low. bit read from bu through Tl, WR =high, logi level on bu
sent to Cg ofT2, WR = IO\ again
EN
• Bit level i torcd in Cg ofT2, RD=WR=Iow
• Stored bit i read by RD = high. bu will be pulled to ground if a I wa
stored else 0 i fT2 non-conducti ng. bus' i ll remain h igh.
Di ipation
D
• Static d i ipation is nil
• pend!i on bus pull-up & on duration of RD signal & . wit hing
TU

frequency
\'olat ility
• Celli dynamic, data wi ll be there as long a. charge remain. on Cg of T2

7.2. IT d·namic memory c<'ll:


TS

'ircuit di:-tgram
CI

BL
Figure 7.2: IT Dynamic RAM Cell

CITSTUDENTS.IN Page- 187


Fundamentals of CMOS VLSI 10EC56

Worki n g
• Row select (RS) =high, during wri te from RIW line Cm is charged
• data is read from Cm by detecting the charge on Cm with RS =high
• cell arrangement is bi t complex.
• solution: ex tend the diff usion area comprising source of pass transistor,
but Cd<<< Cgchannel
• another sol ution : create signi t1cant capacitor using pol y plate over
d iffusion area.
• Cm is formed as a 3-plate structure
• wi th all this careful design is necessary to achieve consistent read ability
Di ipat ion

.IN
• no static power, but there must be an allowance for switching energy
during read/write
7.2.3P eudo tatic RA l / 1·t'gi ter cell:

TS
ircuit di agram
WR.ct>1 --1
EN 0/P

T
D
$z
TU

Figure 7.3: nMOS pseudo-static memory Cell

WR, 4>1 R D. IP t
TS
CI

0/P

Figu re 7.4: CMOS pseudo-static memory Cell

CITSTUDENTS.IN Page- 188


Fundamentals of CMOS VLSI 10EC56

Working
• dynamic RAM need to be refreshed periodically and hence not
convenient
• static RAM needs to be designed to hold dat a indefi nitely
• One way is connect 2 inverter stages with a feedback.
• say t2 to refresh the data every clock cycle
• bit is written on activati ng the WR line which occurs with <1>1 of the clock
• bit on Cg of inverter 1 will produce complemented outpu t at inverter 1 and
true at output of in verter 2
• at every <P2 , stored bit is refreshed through the gated feedback path
• stored bit is held till <1>2 of clock occurs at time less than the decay time of

.IN
stored bit
• to read RD along with_cpl is activated
Note:
• WR and RD rnust be rnutuaJiy exclusive
• c1>2 is used for refreshing, hence no data to be read.. if so charge sharing

TS
effect, leadi ng to destmction of stored bit
• cells must be stack a ble. both side-by-side & top to bottom
• alim for other bus lines to mn through the cell

.2.4 -lT d ynamic & 6T tatic mcnaory cell:


EN
Circuit di nA•·arn
D
TU
TS
CI

Figure 7 .4: Dynamic and sialic n1emory cells

CITSTUDENTS.IN Page- 189


Fundamentals of CMOS VLSI 10EC56

\Vorki ng
• uses 2 buses per bit to store bi t and bit'
• both buses are precharged to logic 1 before read or write operation.
• write operation
• read operation
\¥rite opera tion
• both bit & bit' buses are precharged to VDD with clock <1>1 via transistor
T5 & T6
• col umn select line is activated along with <1>2
• either bit or bit' line is discharged along the 110 li ne when carrying a

.IN
logic 0
• row & column select signals are activated at the same time => bit line
states are written in via T3 & T4, stored by T L & T2 as charge

TS
Read operation
• bit and bit' lines are again precharged to VDD via T5 & T6 during <j> 1
• if J has been stored, T2 ON & Tl OFF
EN
• bi t' line will be discharged to VSS via T2
• each cell of RAM array be of minimum stze & hence will be the
transistors
• implies incapable of sinking large charges quickl y
• RAM arrays usually employ some form of sense amplifier
D
• Tl, T2, T3 & T4 form as flip-flop circuit
• if sense line to be inactive, state of the bit line reflects the charge presen t
TU

on gate capadtance ofT1 & T3


• curren t flowing from VDD through an on transistor helps to maintain the
state of bit 1i nes
TS
CI

CITSTUDENTS.IN Page- 190


Fundamentals of CMOS VLSI 10EC56

Recommended questions:

1. Show the functioning of single transistor dynamic memory cell.

2. What are the system considerations?

3. What is structured design process?

4. Explain CMOS pseudo static D Flip flop.

5. Explain the working of 3TDRAM cell

.IN
TS
D EN
TU
TS
CI

CITSTUDENTS.IN Page- 191


Fundamentals of CMOS VLSI 10EC56

Unit-8

Testability

Performance parameters, layout issues I/O pads, real estate, system delays, ground rules for
design, test and testability.

.IN
TS
Recommended readings: EN
1. Douglas A. Pucknell & Kamran Eshraghian, “Basic VLSI Design” PHI 3rd Edition (original

Edition – 1994), 2005.


D
2. Neil H. E. Weste and K. Eshragian,” Principles of CMOS VLSI Design: A System
TU

Perspective,” 2nd edition, Pearson Education (Asia) Pvt. Ltd., 2000. History of VLSI

3. CMOS VLSI DESIGN—A circuits and systems perpective. 3rd edition N.H.Weste and David
TS

Harris. Addison-wesley.
CI

CITSTUDENTS.IN Page- 192


Fundamentals of CMOS VLSI 10EC56

8.1Definit ion:
D si. 11 for te rability (Of-T) refers 10 th . de ign 1 hnique that make 1c t gcncrnli n
and 1c t application o t-effcctivc.
• om t rminologi<.'\:
I n pu t / out pu t ( VO> pad
• Protccti n of ircuitl) on hip fr m damage
• Care to be taken in handling all M circuits
• Provide nc "s.ary buffc.!ring bct\\C"n the cn"ironmcnts n & OFF chip

.IN
• Provide for the nne Lion ot po'"er . upply
• Pads must be ah :tys pia 'd :tr und the p.!riphcral
1inimum hl!t of padin lu<k

TS
VDD c nncction pad
• G D<VS ) nne tion pad
• Input pad
• utput pad
• Bidirectional V pad
EN
De·igncr mu t be aware of:
• natun·of cir uitry

D
ratio/ ize of invenerslbuffcrs on "'hich ourput line. arc conne ted
• how input tine pas. thr ugh the pad circuit (pa.. tran i tor/trnn mi..ion gate)
TU

•"tcm d Ia)
Bu:
• c nvcnicnt c nccpt in di tributing data c ntrol through a !i.Y ·tern
• bidirc ti na t busc arc c tWc.!nicnt

TS

in d"'ign of dataparh
• pr bkm•-: capacitive I ad present
• l argest capacitance
• sufficient ti me mu t be al lowed to charge the total bu
CI

• clock q,, & Q2


Control paths. electors & decoder
I . select regi ters and open pa tran i tors to onnccl cell to bu
2. Data propagation d lay bus
3. Carry chain delay

CITSTUDENTS.IN Page- 193


Fundamentals of CMOS VLSI 10EC56

8.2 f ault and Fa ultlodclin g

A faul t model i a model of how a phy ical or parametric fau lt man.i fe t itself i n the
circuit Operation. Fault tc. L"> arc derived based on these models
Phy ical Fault are caused due to the folio' ing rca on :
, Defect in silicon :ubslratc
, Photolithographic defect
, Mask contami nation and :cratches
, Procc variations and abnormalitie
, Ox ide defect.
Phy ical fault cause Electrical a11d Logical fault

.IN
Logical Faull! arc:
, Si ngle/multiple tuck-at (most usl'd)
, CMOS st uck-open
, CMOS tuck-on
, A1 D I OR Bridgi ng fault

TS
Electrical fauhs arc due to short. open . transi tor tuck on. tuck open, cxce ive tcady
stat current:. resi.tive short"> and open.

8.3 De ign for Tetability EN


T' o key concepts
Observabi l i ty
Con t rollability
OFf often is a ociatcd with de ign modifications that provide improved accc s
D
to internal circu i t el•menL"> ·uch that the local i nternal . tate can be controlled
(controllabi lity) ancVor observed (obscrvability) more easily. The de ign modifications
can be strict ly phy. ical in nat ure (e.g., adding a physical probe point to a net) and/or add
TU

acti c circuit clement to facilitate controllabi lity/ob rvabil ity (e.g., in crting a
multiplexer into a net). While controllability and obscrvability improvements for internal
circuit clement definitely are importan t for test. they arc not the only type of OFf
TS

What oan we do to i noreaee teetability7

• inoreaee obeervavillty
¢ add more pine (71)
CI

¢ add email "probe" bue. eeleotively enable different valuee onto bue
¢ uee a haeh funotion to "oompreee" a eequenoe of valuee (e.g., the
valuee of a bue over n1any olook oyolee) into a email numver of bite
for later readout
¢ oheap readout of all etate Information

CITSTUDENTS.IN Page- 194


Fundamentals of CMOS VLSI 10EC56

• inore6e oontrollbility
¢ u ee muxee to ieolate eubmodulee and eelect eou rvee of teet data
e inpute
¢ provide e ey eetup of internztl ette
8.4 Te t i ng com binational logic

The solu t ion to the problem of testing a purely combinational logic block i. a
good.ct of pattern. dcte ting "all" the po.. iblc fa ulls.

The fir t idea to tc l an N input ci rcuit would be to apply an 7-bi t counter to the

.IN
inpu t (con trolla bi lity). then generate all the 2 com binations. and ob erve the ou t put
for checking (ob crva bil it y). Thi is called "exha u li ve te ting". a nd it i very efficient...
but onl y for fe, - i nput circui ts. Wh ' n the input nu mber increase. this technique becomes
very tim ' consumi ng.

TS
' I" 'L1 _. r' o' mr"'no
EN
I IJb H•illR :
ll L Pl,; _. 7 \Ill\'\.
.W f't ' l"i _. \0 HOI R..,
._, l' L ... !- (.,8 (;l,'lliU
D
8.5 en itized Path Tc ting
TU

Most of the ti me. in ex hau li ve te ting. many pa ttern , do not occur during the
a ppl ication of the circuit So in tead of spendi ng a huge amount of time searching for
fault everywhere. the pos i blc fa ul ts are fi rst enu merated and a set of appropriate vectors
arc then ge nerated. Thi i called " i ngle-path sen itization" and it i ba cd on "fault
TS

oriented tc Li ng".
The basic idea is to select a path f rom the site of a fa ul t, through a seq uence of gates
leading to an ou tput of the combinational logic under test. The process is composed of
three steps :
CI

• Ma nifestation : gate in pu ts, at the site of the fault, are specified as to generate the
opposi te value of the faul ty val ue (0 for SA J, I for SAO).
• Propagation : inputs of the other gates are determined so as to propagate the fault
signal along the specified path to the primary ou tpu t of the circuit. This is done by
setting these inpu ts to "1" for AND/NAND gates and "0" for OR/NOR gates.
• Con i tency : or justification. This fina l step helps finding the primary i nput
pattern that will realize all the necessary input values. This is done by traci ng
backward from the gate inpu ts to the primary i nputs of the logic in order to
receive the test patterns.

CITSTUDENTS.IN Page- 195


Fundamentals of CMOS VLSI 10EC56

SEN ITIZED PATH

FAULT ORIENTED TESTING

:MA IFE TATION


PROPAGATIO,
CONSISTENCY

.IN
Example!- SAl of l ine I (Ll) : the aim is to tind the vector(s) able to detect this fault .

TS
I'ROPA GATIO{S
.\l\.1> :1.5 = LX = I
OR : Lll o
CONSISHN Q'
EN .A ll. !: .!!
\') 0 0 0
V2 0 0 I
!'iOT :LII •OL9 a L7 • I V3 0 I 0
V4 0 I I
OR :L7 = I ll + C+ O = I
D
• 1\ Ianife tation: Ll = 0 , then i nput A = 0. ln a fault-free si tuation, the output F
cha nges with A if B,C and D are fLXed : for B,C and D fixed, Ll is SA I gives
TU

F = 0, for instance, even if A= 0 (F = I for fau lt-f ree).


• Propagation : Through t he AND-gate : L5 = L8 = I, this condition is necessary
for the propagation of the " L1 = 0 ". This leads to Ll 0 = 0. Through the
NOR-gate, and si nce Ll0 = 0, then Lll = 0, so the propagated manife tation can
TS

reach the primary output F. F is then read and compared with the fault-free value:
F= I.

• Con i tency: From the AND-gate : L5=1, and then L2=B=l. Also L8=1, and
then L7=1. Until now we found the values of A and B. When C and 0 are found,
CI

then the test vectors are generated, in the same manner, and ready to be a pplied to
detect Ll= SAL From the NOT-gate, Lll=O, so L9=L7=1 (coherency with
L8=L7). From the OR-gate L7=J , and since L6=L2=B=l, so B+C+D=L7=l , then
C and D can have either 1 or 0.

These three steps have led to four possible vectors detecting L1=SAL

CITSTUDENTS.IN Page- 196


Fundamentals of CMOS VLSI 10EC56

Ea mplc 2 - SA I of line (L8) : The ame combinational logic ha ing one internal line
SAl

..
U .l I •l . . l.IO •O
OR : I • I . o
+1.7. L8 L9 0
Rt CO '\ tR<i"t' 1 I t\:'\.()L I :
J,JI • I,J.IO •O

.IN
• Manifc t a t ion : L =0

TS
• Propagat ion: Through the AND-gate: L5 = Ll = I . then LI O = 0 Through the
OR-gate: we '"ru1lto have Ll I = 0, not to ma k LIO = 0.
• on i tcncy: From the AND-gate = =
0 leads to L7 0. From the OT-gatc
= = =
Lll 0 means L9 L7 I, L7 could not be set to I a nd 0 at the same t ime. Thi.
EN
incompatibility could not be re.ol ved in this case. and the fa ult "L8 SA I" remain.
undetectable.

8.6 0 - AIJ_!orit h m:
D
G iven a ircui t cornpri ing combinational logic. the algorithm ai rn to rind an
as·ignment of input alue that will allow detection of a panicular internal fault by
examining th output condition . ing thi algorithm the y tern can ei ther be aid a.
TU

good or fa ulty. The exi tence of a fault in t he faulty machine ' ill cause a di ·crcpancy
between its behavior and that of the good machine for ome particular value of input.
The D-algorithm provide a . ystcmatic mea n of as igning i nput value. for that particular
de. ign .o that the discrepancy is driven to an output where it may be ob. erved a nd thu.
detected. The algorithm is time-intensive and computing intensive for large circuit ·.
TS

Practical d e ign for test guidelines


CI

Practical guidel ines for testa bility should aim to faci litate test processes i n three
mai n ways:

• facilitate test generation


• facilitate test appl jcation
• avoid timing problems

These matters are discussed as below:

CITSTUDENTS.IN Page- 197


Fundamentals of CMOS VLSI 10EC56

8. l m pro'(' on t rollabil it) and Ob ·n·a bility

All "de.ign for test" method. en. urc that a de.ign ha enough obscrvabil ity and
comrollabiljty to provide for a complete and efficien t testing. When a node ha diffi ull
access from primary inputs or ou tputs (pads of lhe ci rcu i t), a very eflicient method is to
add internal pad ·acceding to this kind of node in order. for instance. to con t rol block 82
and observe block B l with a probe.

OlliS

.IN
TS
figure .I hnprove Controllability a nd Ob crvabili ty
EN
It is ea.o:;y to ob erve block B I by add i ng a pad just on its ou t put. without break i ng t
he link between theto blocks. The control of the block B2 mea ns to .et a 0 or a I to its
input. and al o to be transparent to the link B I-B2. The logic fun Lion of thi purpose are
a OR- gate, transpart!nt to a Lcro, and a NA D-gatc, transparent to a one. By this way
the control of 82 is po.sible aero. s the.e two gate..
D
Another implementat ion of thi cell i ba ed on pa -gate multiplexers
TU

performing the .ame function, but wi t h less tran. istors than with t he A I D and OR
gate. ( instead of 1 2).

1l1c • imple optimizat ion of observation and control is not enough to guaran tee a
full testabi lity of th • blocks B I and 82. This technique has to be completed with some
TS

other techniques of testing depending on the internal structu res of block. B I and 82.

e Multiplexer
CI

Thjs technique is an extension of the precedent, w hile mul tiplexers are used in
case of limitation of primary inputs and outputs.

In this case the major penalties are ex tra devices and propagation delays d ue to
mul tiplexers. Demu ltiplexers are also used to i mprove observability. Using multiplexers
and dem ultiplexers allows internal access of blocks separately from each other, which is
the basis of techniques based on partitioning or bypassing blocks to observe or control
separately other blocks.

CITSTUDENTS.IN Page- 198


Fundamentals of CMOS VLSI 10EC56

S£L£Cn !lhUt( '2

Figure .2: sc multiplexers

.IN
8.8 Pnrtition Lnrge ircuit

Panitioning large circuit. into , mal ler . ub-circui ts red uce. the te. t-gcncration
cffon. The test- generation effon for a general purpose ci rcuit of n gate L a..umed to be

TS
proportional to ·orncw herc between n_ and n3. I f the ci rcuit is panit ioncd into two sub-
circuits. then the amou nt of test generat ion cffon i. reduced correspondingly.
D EN
RRDtTT ·n "'TST
TU

rATHit1\l ln KR

Figure 8.3: Parti tion Large Circui t ·

Logical paniti ning of a circui t h uld be based on rc ogni zable u b-function.


TS

and can be achieved phy. ically by incorporati ng orne facilitic to i olatc and conLrOI
clock lines, reset Lines and power suppl y lines. The multiplexers can be massively used to
separate sub-circuits without changing the function of the global circuit
CI

Divide Long Counter Chain

Based on the same principle of par6tioning, the counters are sequential elements
that need a large nu mber of vectors to be fully tested. The partitioning of a long counter
corresponds to its djvision into sub-cou nters.

The full test of a 16-bit counter requires the application of 216 + 1 = 65537 clock
pulses. lf tills counter is djvided into two 8-bit cou nters, then each counter can be tested
separately, and the total test time is reduced 128 times (27). This is also useful if there are
subsequent requirements to set the counter to a particular count for tests associated with
other parts of the circuit: pre-loadi ng facilities.

CITSTUDENTS.IN Page- 199


Fundamentals of CMOS VLSI 10EC56

h-i==LD=C=lT=F=. :t
11
TEST TI ME: '> < TES'J'I:;R l'ElUOD

'T F.ST' TIT'vffi:2 x 2'x 'T'FSTT'R PETOD


_, l;.bit COUI'Cf ER ll-bit COUNT Ell

'J'UI'I '
0Uil'L1l'

.IN
Figure 8.4: Divide Long Counter Chains

Initialize equen tiaJ Logic

One of the most important problems in sequential logic testi ng occu rs at the time

TS
of power-on, where the first state is random if there were no initialization. In this case it
is i mpossible to start a test sequence correctly, because of memory effects of the
seq uential elements.

I IMTIALIZE SEQUENTIAL LOGIC: t


D EN
w: =-- .mr:.:w. . m:: w w w: w .::w:.:::.:
TU

H.CILJf ,\'T.F. TESTER OVERRTnTT\G


AT\1"> T>OW'RR -t.JT> CT.T.A RTN(:

Figure 8.5: Initialize Sequential Logic


TS

The solution is to provide flip-flops or latches with a set or reset i n put, and then to
use them so that the test seq uence would start with a known state.

Ideal l y, all memory elements should be able to be set to a known state, but
CI

practically this could be very surface consuming, also it is not always necessary to
initial ize all the sequentia l logi c. For example, a serial-in serial-out counter could have its
first flip-flop provided with an initialization, then after a few clock pulses the counter is
in a !mown state.

Overridi ng of the tester i s necessary some times, and requires the addition of gates
before a Set or a Reset so the tester can override the initialization state of the logic.

CITSTUDENTS.IN Page- 200


Fundamentals of CMOS VLSI 10EC56

8.9 A 'oid Anchronou Logic

Asynchronou · logic uses memory element· i n which state-tmnslltons arc


controlled by the sequence of change on the primary input ·. There is thu no way to
determine easily ' hen the next state will be established. This i again a problem of
timing and memory effect·.

Asynchronou logic i fa ter than yn hronou · logic. sin e the speed in


asynchronou logic i only limited by gate propagat ion de lays and i n terconnects. The
design of asy nchronous logic is then more difficult than synchronou · (clocked) logic and
mu ·t be carried ou t wit h due regards to the possibi lity of cri tical race · (circuit behavior

.IN
depending on two i n put · changing ·im u l tancously) and hazards occurrence of a
momcmary value oppo.itc to the expected value).

on-determini ·tic behavior in a ·ynchronou logic can cause problem. during


fault simulation. Ti me dependency of operat ion can make testing very difficult, si nce it i.

TS
en. i tive to tester ·ignal kew.

8.10 'oid Loical Redundancy

Logical redundancy exist. either to ma. k a .talic-hazard condition. or


EN
unin tent ionally (design bug). I n bot h case. , ' ith a logically redu ndant node it i. not
po.. ible to make a primary outpu t val ue dependen t on the value of the redu ndant node.
This mean. t hat certai n fau lt conditions on the node cannot be detected, such as a node
SA I of the function F.
D
TU

F • A n + AC:+ nC
= An + AI.
TS

TF.ST VF.CTOR FOR


SA..cJ FA!JI.T: IA
BCJ = l i i O J

c
CI

Figu re 8.6: Avoid Logical Redundancy

Another inconvenience of logical redundancy is the possibility for a non-


detectable fa ult on a redundant node to mask the detection of a fa ult normall y-detectable,
such a SAO of input C in the second exam ple, masked by a SA1 of a redundant node.

CITSTUDENTS.IN Page- 201


Fundamentals of CMOS VLSI 10EC56

8.11A \'oid DeJa) DcpNtdent Lo ic

Au tomatic tc 1 pauem generators ' ork in logic domains. the vie" delay
dependent logic a· redundant combi national logic. In this case the ATPC will ·ee a n
A I D of a signal ' ith its complement. and will therefore alway. compute a 0 on the
output of the AND-gate (in. tcad of a pulse). Adding an OR -gate after the A D-gatc
output pcm1it! to the ATPC to sub·t i tute a clock ignal directly.

.IN
TS
n; TbRS WOIN I.<)CIC I.I()MAIN
KI--.UUI\1>AN"I C:OMUif\(A nONAL LOGIC

Figure 8.7: Avoid Delay Dependent Logic


EN
8.12 c\' oid Clock a tin

When a clock signal i · gated wi t h any data signal. for example a load signal
comi ng from a tc. lcr. a ske' or any other hazard on that signal can cause an error on the
output of logic.
D
TU

CLOCK
LOAn --:_,r--,..,.
TS

Ct.OC"
U>AO L- r-------.u -----
l:
CI

:""""lG
OATA
Q

Figure 8.8: Avoid Clock Gati ng

This is also due to asynchronous type of logic. Clock signals should be distributed
in the circuit with respect to synchronous logic structure.

CITSTUDENTS.IN Page- 202


Fundamentals of CMOS VLSI 10EC56

8.13o· tingui h B twecn ignal and Clock

This is another liming situation to avoid, in which the tester could not be
synchronized if one clock or more arc dependent on asyn chronous delays (across D-input
of nip-tlops, for example).

.IN
TS
Figure 8.9: Di tingui h Between Signal and Clock

8.14 . \"oid elf Resetting Logic EN


The self re!>elling l ogic is more related to a.'>ynchronous logic, since a .rescl input
is independent of clock signal.

Before the delayed resel. the t.e_ ter read the et value and continues the nonnal
operation. If a reset has occurred before te ter observation, then the :read alue is
D
erroneous. The solution to this problem is to allow the tester to override by adding an
OR-gate, for example, with an inhibi lion i nput coming from the lester. By Lhis way the
right response is given loth l tcr at the right time.
TU
TS
CI

H li.SliT :\lA Y OCCUR


BEf ORE TilE TESTh H J 'H HJJTJOr-·. 1:-1rn
H i\.S OBSERYE O THE FOR TESTING
SF.T VA I.lJC

Figure 8.10: A void Self Resetting Logic


U e Bu ed Stru ctu re

This approach is related, by structure, to partitioning technique. It is very useful


for microprocessor-like circuits. Using this structure allows the external tester the access
of three buses, which go to many different modules.

CITSTUDENTS.IN Page- 203


Fundamentals of CMOS VLSI 10EC56

1'12..\"TJ: C"At\ ACC A..'ID lSOLA'lt: IW::


OI F FRIV.NT F.l r:l\m H:\ 'THE Ul

.IN
Figure .I I : sc Bused Structure

The te.ter can then disconnect any modu I from the busc. by putting its ou tput
into a high- impedance state. Te. t patterns can t hen be applied to each module separately.

TS
8.2 epa rate Ana loa nd Digit a l ircuit

Testi ng analog circuit requi res a complete ly different strategy than for digital
EN
circuit A I o the sharp edge of digital ·ignals can cau e cross-talk problem to the analog
lines, if they are cl se to each other.

1 SI::' ARAn : A:'IIAT.OG AND DI<:JTAL cmcu rs


zw ::w: w W- :mr::: . -==:: :m: _ w::::w;_ m w :m: m :m:..
D
..... AALOG Al\ALOG i
ATl'G :-- uu;rr AL 1:+ Tf.S1Elt
I
TU

r-- I
'
TS

:\lJC _.. IHU(; O Vl AALOIJ lNl'trlS 1'0.1( l'h..ST


· n,\ CRRI'lG Ol"T DTG TTAL Jl\PUTS FOR 'IEST

Figure 8.12: Separate Analog and Digi tal Circuits


CI

If it is necessary to route digi tal sign als near an a log lines, then the digi ta l lines
should be properly balanced and shielded. A lso, in the cases of circuits l ike Ana log-
Digi tal converters, it is better to bring out analog sign als for observation before
conversion. For Digital- Analog converters, digital signals are to be brou ght out also for
observation before conversion.

CITSTUDENTS.IN Page- 204


Fundamentals of CMOS VLSI 10EC56

8.3 Ad-Hoc OFT lthod


i Good de. ign practice learnt through experience arc u cd a guidcljnc :
• A void a ynchronou (un locked) feedback.
• Make nip-Oop. initializtlble.
• Avoid redundant gate . Avoid large fan-in gate .
• Provide te t control for difficult-to-control ignals.
• Avoid gated clock .
• Avoid delay dependant logic.

.IN
• Avoid paralleldri er .
• Avoid mono table and elf-resetting logic.
De ign Rcvies

TS
:I Manualanaly is
• Conducted by ex pen
0 Programm d analysi

EN
U.ing design auditing tool:
0 Programmed enforcement
• Mu:t usc certain de ign practices and cell t ypes.
D
bjcctive: Adherence to de ign guideline and te·tability improvement technique.ilh
little impa t on perfom1ance and area
TU

Disadvantages of ad-hoc OFT methods:


• Experts and tools not always available.
• Test generation is often manual with no guaran tee of high faul t coverage.
• Design iterations may be necessary.
TS

ca n De ign Technique

The set of design for testability guideli nes presented a bove is a set of ad hoc
methods to design random logic in respect with testability req u irements. The scan design
CI

techniques are a set of structured approaches to design (for testability) the sequential
circuits.

The major difficu l ty in testing seq uential circu its is determining the internal state
of the circuit Scan design techniques are directed at improving the controllability and
observabitity of the internal states of a sequential circuit. By this the problem of testing a
sequential circuit is red uced to that of testing a combi national circuit, si nce the internal
states of the circuit are under control.

CITSTUDENTS.IN Page- 205


Fundamentals of CMOS VLSI 10EC56

8.4 can Path

The goal of the :can path technique is to reconfigu re a sequentia l circuit, for the
pu rpose of te. ting. into a combinational circuit. Since a seq uential circui t is based on a
combinational circuit and .omc tornge element . the technique of can path con i t in
connecting together al l the storage elements to form a long erial hift register. Thu · the i
ntemal slate of the circu i t ca n be ob erved and controlled by hifting (:can ning) out the
contenot f the storage clement . The hift regi ter i then called a can path.

l\l'l,1

.IN
TS
D
VI·
OUT .._--t--rJ;.Jt-- c

EN
Figure 8.13: can Path

The torage element can ei ther be D. J -K. or R-S type of nip-Oop • but ·imple
lat he. ca nnot be u.ed in scan path. Ho' ever, t he struct ure of storage clement. i. :light ly
differcnl than cia.! . ical one.. Generally the selection of the input source i. achieved using
D
a mu l tiplexer on the data input con trol led by an ex temaJ mode signal. Thi multiplexer is
integra ted into the 0-flip-Oop. in our case: the D-nip-Oop i then called MD-fiip-Oop
(mul ti plexed-nip-flop).
TU

The sequential circuit containing a scan path has two modes of operation: a
normal mode and a test mode which confi gure the storage elements in the scan path.
TS

As an alyzed from figure 8.13, i n the nonnaJ mode, the storage elements are
connected to the combinational circuit, in the J oops of the global sequential circuit, which
is considered then as a finite state machine.

In the test mod e, the loops are broken and the storage elements are connected
CI

together as a serial shlft register (scan path), receiving the same clock signal. The inpu t of
the scan path is caiJed scan-in and the output scan-ou t Several scan paths can be
implemented in one same complex ci rcuit if it is necessary, though having several scan-in
inputs and scan-out outputs.

A large sequentia l circuit can be parti tioned i nto sub-ci rcui ts, contammg
combinational sub-circuits, associated with one scan path each. Efficiency of the test
pattern generation for a combi national sub-circuit is greatly improved by partitioning,
since its depth is reduced.

CITSTUDENTS.IN Page- 206


Fundamentals of CMOS VLSI 10EC56

Before applyi ng test patterns, the shift register itself has to be verified by shifting
in all ones i.e. ll J...l l , or zeros i.e. 000...00, and comparing.

The method of testing a circuit with the scan path is as follows:

I. Set test mode signal, flip-flops accept data from input scan-in
2. Verify the scan path by shifting in and out test data
3. Set the shift register to an ini tial state
4. Apply a test pattern to the primary inputs of the circuit
5. Set normal mode, the circuit settles and can monitor the primary outpulof the
circuit

.IN
6. Activate the circuit clock for one cycle
7. Return to test mode
8. Scan out the contents of the registers, si multaneously scan in the next pattern

8.5 Len-1 w nsit h·ity can de iAn t L )))

TS
'

01 L1
CK1
L2
S1
CK3
EN
CK2 - - - - - ..;

Fi gu re 8.1 4: Level sensitivity n. design


D
The levci-M!nsiti vepeel means that the sequen tial net" ork i designed so Lhat
' hen a n input change occu r•• the re pon c is independent of the component and ' iring
delays within Lhe network (Figure .14 ).
TU

The scan pa th a pc l is due to lhc u e of shift regi Ler l atchc (SRL) employed as
storage clement In Lhe te t mode they are connected as a long serial ·hidt register. Each
R L ha. a specific de.ign . imi l ar to a m:u tcr-slave FF. it is driven by 1\ o non-
over lapping clo k which can bt! controlled readily from Lhc primary input to the circuiL
TS

In put OJ i. then m1al data input to the RL: clock CKI and CK2 control the nonmtl
operation of the SRL whi le cloch CK3 and CK2 control c<m path movements throug h
the R L The RL output i · derived at L2 in both mode of operation. the mode
depending on which clocks arc acti vated.
CI

Advantages:
• Circui t operation i ind·pendent of dynamic characterist ic · of the logic ele ments
ATP genera tion i. .implificd
• El iminate haLard ·and race ·
Simplifies tc.t generation and fault simulation

CITSTUDENTS.IN Page- 207


Fundamentals of CMOS VLSI 10EC56

8.6Bou nd•u·y 'canTe t (B 'TI

Boundary Scan Test (SST) i. a technique involving can path and .elf-test ing
technique. to rc. olve the pr blem of te ting board. carrying VL I integrated circuit·
and/or urface mounted device (SMD).

Printed ci rcuit board (PCB) arc becoming cry den c and complex. e pecially
with SMD cir uit . th.t mo t te t equipment cannot guarantee good fault coverage.

BST {figure 8.1 5) consisin placing a scan path {shi ft register) adjacent to each
componen t pin and to interconnect the cells in order to form a chai n around the border of

.IN
the circuit. The BST circuit. contained on one board arc then connected together to fom1
a single path lhrough the board.

The boundary can path i provided wi th erial input and output pad and appropriate
clock pads which make it po ible to:

TS
• Te. t the interconnections between the various chip
• Deliver te t data to the chip on board for self-te ting
• Te t the chip themselve with internal sel f-te.t
D EN
ITST
TU

ACCESS
PORT
( 1'\P )
TS

Figure 8.15: Boundary Scan Test ( BST)

The advantages of Boundary scan technique. are as follow. :


CI

• No need for complex te ter in PCB te ting


• Tc t engineer ·' ork is ·implified and more efficient
• Time to pend on te t pattern generation and applicat ion i reduced
• Faull coverage i. greatly increa cd.

S. th r ca n teclmictue

Partial Scan Method

CITSTUDENTS.IN Page- 208


Fundamentals of CMOS VLSI 10EC56

• A subset of flip-flops is scanned.


• Objectives:
o Minimize area overhead and scan sequence length, yet
achieve required fault coverage
o Exclude selected flip-flops from scan:
•Improve performance
• Allow limited scan design rule violations
o Allow automation:

.IN
• In scan flip-flop selection
•In test generation
o Shorter scan sequences- reduce application time

TS
Random Acce Sca n .Method

• The scan function is implemented like a random-


EN
access memory (RAM)
All flip-flops form a RAM in scan mode
D
A subset of flip-flops can be included in the RAM if
partial scan is desired
TU

• In scan mode, any flip-flop can be read or written


TS

Procedure:
• Set test inputs to all test points
• Apply the master reset signal to initialize all memory elements
CI

• Set scan-in address & data, then apply the scan clock
• Repeat the above step u ntil all intemal test inputs are scanned
• Clock once for normal operation
• Check states of the output points
• Read the scan-out states of all memory elements by appl ying the address

CITSTUDENTS.IN Page- 209


Fundamentals of CMOS VLSI 10EC56

8.8 Built-in-'\elf tl?;,t


Objectives:
I. To reduce te t pattcm genenuion co t
2. To reduce volume of test dat a
3. To reduce te t t ime
Built-in elf Tc t. or BIST. i the technique of de igni ng additiona l hardware and
oft ware feat u re into integrated circuit to aJ io\ them to perfom1 self-test ing. i.e.. tc t ing
of t heir own operation (functionally. parametrically, or both) using their ow n circuits,
thereby reduci ng dependence on an ex ternal automated tc. t equipmen t {ATE).

.IN
BIST is a De ign-for-Testability ( OFf) techniq ue. be ause it make the elect rical
te·ting of a chip ca ·icr, faMcr, m rc efficient. and Jess co t ly. The concept of B l T is
applicable to just about a ny kind of circuiL .o i t. implemen!alion can vary a. widely a ·
the product diversity t hat it caters to. A an example. a common BIST approach for

TS
DRAM's includes the incorporation onto the chip of additionaJ circuits for pattern
generation, timi ng, mode selection, and go-/ no-go diagnostic tests.

Advan tages of im plementing BIST include:


EN
1) Lower cost of test, since the need for ex ternal electrical testing using a n ATE
will be reduced, if n ot elim.in ated
2) Better fa ult coverage, since s pecial test stmctures can be incorporated onto the
chips
3) Shorter test times if the BIST can be designed to test more structures in
D
paraJ lel
4) Easier customer su pport and
TU

5) Ca pabilit y to perform tests outside the production electricaJ testing


environmen t The last ad vantage menti oned can actually allow the consumers
themselves to test the chips prior to mounting or even after these are in the
appl ication boards.
TS

Disad vantages of im plementing BIST include:


1) Addi tional si licon area and fab processin g requ iremen ts for the BIST circuits
2) Red uced access ti mes
3) Additional pin (and possibl y bigger package si ze) requirements, since the
BIST circuitry need a way to interface wi th the ou tside world to be effecti ve and
CI

4) Possible issues with the correctness of BIST results, since the on-chi p testing
hard ware itself can fai l.
Techniques are:
• compact test: signa ture analysis
• linear feedback shift register
• BILBO
• self checking technique

CITSTUDENTS.IN Page- 210


Fundamentals of CMOS VLSI 10EC56

Compact Te t: Sign ature an a lysi

Signature anal ysis perfor ms pol ynomial di vision that is, di vision of the data ou t of
the device under test (OUT). This data is represented as a polynomial P(x) which is
di vided by a characteristic pol ynomia l C(x) to give the signature R(x), so that
R(x) = P(x)/C(x)
This is su mmarized as i n figure 8.16.

TGP Compaction
(Digita l OUT Signature Analysis

.IN
Tester)

TS
Figu re 8.16: BIST - signature analysis

8.9 Li near feedback hift t<cgi t r < LF R >:


EN
An LF R i. a hift regi. tcr that. ' hen clocked. advances the . ignal through the
register from one bit to the next mo t- ignificant bit. omc of the output arc combined in
exclusive-OR onfiguration to form a feedba k mechanism. A li near fccdba k shift
rcgi.ter can be fanned by pcrfonning exclusive-OR (Figure .16) on the outputs oft' o
D
or more of lhe flip-flop together and feeding those output back into the input of one of
the nip-flops.
TU

LFSR technique can be applied in a number of ways. in luding random number


generation, polynomial division for < ignature analysi . and n-bit counting. LFSR can be
eric or parallel. the difference being in the operating peed and in lhc area of silicon
occupied:Parallel LFSR being faster but larger than serial LFSR.
TS

iO il i2

DO
CI

Clock

QO QL Q2

Figure 8..16: Linear feedback shift register

CITSTUDENTS.IN Page- 211


Fundamentals of CMOS VLSI 10EC56

8.10 Built-in logic bl ck o b n·c r ( BI LBO):


BI LB i. a bui lt-in le. l generation ·chcmc wh ich usc. .ig nat u re analy i · in
conjunctjon with a can path. The major omponen t of a BI LBO i an LFSR ' ith a few
ga les (Figu re 8.17).

A BILB register ( built-in logic:- block obM-nl.'r) combi nes nonnal nipnop·
wi lh a few addi t ional gates to prov i de four differe nt fu nct ions. The example ci rcuit
sho''n i n the applel realiLc a four-bi t regi ster. However. the gcneraliLat ion to larger bit-
width. shou ld be obviou . wi th t h • XOR gates in t he L FSR feedback pat h cho en to
i rTaplcmcnt a good polynom ial for the given bi t-\ id t h.

.IN
When the A and B control inputs are both J , the circuit functions as a n onnal
paraJiel D-t ype register.

When bot h A and B i nputs are 0, the D-i npu ts are ignored (du e to the AND gate
connected to A ), but the tlipfl ops are connected as a shjft-regi ster via the NOR and XOR

TS
gates. The input to the ftrst fupflop is then selected v ia the multiplexer controlled by the
S input. If the S input is J , the multiplexer transmi ts the value of the external SI N shlft-in
input to the tirst tli ptlop, so that the BILBO register works as a normal shl ft-register. This
allows to i nitialize the register contents using a single signal wire, e.g. from an externa l
test con troller.
EN
If all of the A , B, and inputs are 0, the tli pflops are configured as a shift-
register, again, but the input bit to the first fli pflop is computed by the XOR gates in the
LFSR feedback path. This means that the register works as a sta ndard LFSR
pseudorandom pattern generator, useful to drive the logic connected to the Q ou tputs.
D
Note that the start value of the LFSR sequ ence can be set by shifting it in via the SIN
input.
TU

Finall y, if B and are 0 but A is 1, the flipflops are config ured as a shift-register,
but the input valu e of each flipflop is the XOR of the D-input and the Q-output of the
previous flipflop. This is exactly the configuration of a standard LFSR signature analysis
register.
TS

Because a BILBO register can be used as a pattern generator for the block it
drives, as well provide sign ature-anal ysis for the block it is driven by, a whole circuit can
be made self- testable with very low overhead and with only minimal perionnance
CI

degrad ation (two ex tra gates before the D i nputs of the fupflops).

CITSTUDENTS.IN Page- 212


Fundamentals of CMOS VLSI 10EC56

.IN
TS
lI < .. ..
I I I ll'lpl.fD'Jf"'...

II I "'--''l fl.(l ·
I I I ._..,l..oN
I I> ,.r..t "NII,f•DI
palldmaulpull
EN
Figure 8.17: BIST -BILBO

8.11"If-checking techniqu e':


It consists of logic block and checkers should then obey a set of rules in which
D
the logic block i 'strongly fault secure' and the checker· trongly code disjoint'.The code
usc in data encoding dependon the type of errors that may occur at the logic block
TU

output. In general three type. arc po ible:


• Simple error: one bit only affected at a Lime.
• Unidirectional error: multiple bits at I in tead of 0 (or 0 in Lead of 1)
TS

• Multiple error: multiple bit affected in any order.


elf-checking techniques are applied to circuiin which ·ccurity ts tmportant :o
that fault tolerance is of major interest. Such technique will occupy more area in ·ilicon
than clru· ical tcchniques such a: functional te.ting but provide very high test coverage.
CI

CITSTUDENTS.IN Page- 213


Fundamentals of CMOS VLSI 10EC56

Recommended questions:

1. Define testability.
2. With an example define performance parameters.
3. Briefly explain the layout issues while design a circuit in vlsi.
4. Explain I/O pads.
5. Explain types of I/O pads.
6. What do you mean by real estate in the field of vlsi design.

.IN
7. What do you mean by delays.
8. Explain system delays.
9. What is need of providing delays to the system.

TS
10. Write a short note on test and testability.
D EN
TU
TS
CI

CITSTUDENTS.IN Page- 214

Вам также может понравиться