Вы находитесь на странице: 1из 3

2017 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization for RF,

Microwave, and Terahertz Applications (NEMO)

An Overview of 3D Integrated Circuits


Vachan Kumar Azad Naeemi
Library Technology Benchmarking Electrical and Computer Engineering
Intel Corporation Georgia Institute of Technology
Hillsboro, OR, USA Atlanta, GA, USA
vachan.kumar@intel.com azad@ece.gatech.edu

Abstract — An overview of three-dimensional integrated most important roadblocks preventing the widespread adoption
circuits (3D ICs) is presented in this paper. The key potential of 3D integration. Finally, section V concludes the paper.
applications of 3D ICs that have the most impact in terms of
performance, power and area are highlighted, followed by a brief
overview of the different technology approaches to implement 3D
II. TYPICAL ARCHITECTURES FOR 3D INTEGRATION
ICs. Further, the key challenges to 3D integration are discussed The most commonly studied architectures for 3D ICs
here. are discussed here.
Keywords— 3D Integration, Through Silicon Via (TSV), A. Silicon interposer (2.5D)
interconnect, Via-first, Via-middle, Via-last, interposer, heat Although technically not a true 3D architecture, silicon
removal, IC test. interposer provides several advantages over conventional
packages. First, very fine pitch wires, similar to on-chip BEOL
I. INTRODUCTION interconnects can be patterned on silicon interposers. Second,
Riding high on the back of device scaling, the due to the matched coefficient of thermal expansion between
semiconductor industry has made tremendous improvements in the chip and silicon interposer, reliable fine pitch micro-bumps
the performance, power, and cost of integrated circuits (ICs) can be used as first level interconnect. As a result, silicon
over the last five decades [1]. For the first few decades after the interposers offer a significant bandwidth and energy
digital revolution in the late 1960s, the semiconductor industry improvement over conventional off-chip interconnects [12]
enjoyed a steady improvement in critical metrics like [13].
performance, power, and area, in line with Moore’s law [2].
However, as the device dimensions started shrinking and the B. Stacked Memory
demand for performance kept growing, traditional scaling was Multiple DRAM die are stacked on top of each other, with
not enough to keep up with Moore’s law [3]. Through one logic die at the bottom for control. The memory stack
numerous device and interconnect innovations like the significantly increases the capacity that can be fit in a given
transition to on-chip copper interconnects, strained silicon, area, whereas the logic die handles communication with other
High-k Metal Gate, FinFET, porous low-k dielectric [4] [5] [6] ICs on the interposer. The two prominent memory architectures
[7] [8], the semiconductor industry has somehow found a way for stacked memory are High Bandwidth Memory (HBM) and
to boost performance. The effort to improve chip performance Hybrid Memory Cube (HMC) from Micron [14] [15].
is critical to maximizing the frequency of operation of
individual ICs, and reducing their power consumption. C. Memory on Processor
However, the performance of individual ICs cannot be The ability to stack high density DRAM on top of the
evaluated in isolation, but rather as of part of the system. For processor is extremely valuable for several applications. By
example, as microprocessor operating frequencies keep minimizing the physical distance between the two
increasing, the demand for data from the main memory also communicating ICs, the losses in the chip-to-chip link can be
increases. If the chip-to-chip links feeding data into the minimized. Additionally, since Through Silicon Vias (TSVs)
processor do not keep up with the improving performance, then can be densely packed to provide a large bus width, the data-
they start to limit the overall system performance [9]. State of rate for operation can be reduced significantly compared to the
the art IO links are limited primarily by dielectric and resistive conventional IO links that typically operate at tens of GHz.
losses in transmission lines [10]. 3D integration provides an This, in turn simplifies the IO circuits considerably and
elegant solution to the off-chip interconnect problem by minimizes the issues that creep up at higher frequencies.
bringing the communicating ICs physically closer to each
other, while simultaneously reducing the area footprint, which
is critically important for handheld devices. Hence, 3D D. Logic on Logic
integration is considered to be a promising technology to The motivation for stacking logic on logic is to reduce the
alleviate the off-chip interconnect problem [11]. aggregate interconnect length in a large logic circuit. For
example, if a large 2D chip like a microprocessor is 2cm2cm
This paper is organized as follows: the typical architectures in dimensions, the overall interconnect length can be reduced
for 3D integration are presented in Section II, followed by a significantly if the microprocessor is partitioned into 4 blocks
discussion of the key technology approaches for implementing of 1cm1cm stacked on top of each other and connected with
3D integration in section III. Section IV briefly describes the

978-1-5090-4837-3/17/$31.00 ©2017 IEEE


2017 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization for RF,
Microwave, and Terahertz Applications (NEMO)

TSVs. However, the caveat here is that the communication TSV etch stops at the right depth without damaging or
between the 4 partitions should be limited. degrading the reliability of the lower BEOL layers/ILDs [16].
3) Via-Middle: This approach combines the best of both
E. Heterogeneous Integration worlds - TSVs are processed after FEOL processing, but
This is the ultimate holy grail of researchers seeking to before the BEOL processing. This prevents the TSVs
integrate multiple technologies stacked together in a single suffering through the high temperature FEOL processing, but
package. The dream is to one day combine logic, memory, RF, improves the TSV contact and BEOL/ILD reliability
sensor ICs built separately in the optimal technology within a compared to the via last process. This approach is popular
package. There are several advantages to such a heterogeneous with many companies in the industry [17].
3D IC including cost, form factor, performance, IO count
reduction, and lower power consumption. B. Face-to-face bonding with micropillars
This approach is very popular for 3D ICs with two ICs in
III. 3D IC TECHNOLOGIES the stack. The two ICs stacked together in a 3D IC can
Although 3D integration is a promising new technology communicate with each other through fine-pitch micro-pillars
with a significant number of potential applications, it is clear connecting the pads of one chip to the other. The integrated 3D
that 3D integration is not trivial to implement. There are IC communicates with the external world through TSVs
several technology options to choose from, some of which are through the bottom die. The advantage of this approach is that
highlighted in this section. the parasitics for the chip to chip link are minimized by using
micro-pillars, but the technology is useful mainly for two-stack
3D ICs.
A. Back-to-Back bonded 3D ICs
Through silicon via is the most important component C. Monolithic 3D ICs
required to enable 3D integration. TSVs are fabricated using
the Bosch process, which is a two-step process including Monolithic 3D ICs are theoretically one of the most
plasma etch and deposition of passivation layer, repeated attractive options available because they would allow for very
multiple times to etch deep via holes. The barrier material is short and fine-pitch vias, thus reducing the interconnect
then deposited followed by the electroplating copper to form parasitics considerably. Monolithic 3D ICs have the potential
the TSVs. In order to reduce the TSV height and hence to make seamless communication between the different layers
minimize parasitics, the wafer needs to be thinned down of the 3D IC a reality. However, the high temperature FEOL
significantly. Further, since the TSV fabrication is typically processing of the second Si layer is expected to melt the
limited to an aspect ratio of ~20, the minimum TSV diameter is BEOL processed for the first layer. Thus, the research in this
also determined by the TSV height. Thus, the TSV parasitics area is headed towards low temperature FEOL processing.
effectively have a quadratic dependence on the TSV height. Another approach to stack logic on logic is to manufacture
The following three approaches to fabricating back-to-back NMOS transistors on the bottom layer and PMOS on the top
bonded 3D ICs have been adopted by the industry: layer. However, the TSVs connecting NMOS and PMOS to
1) Via-First: The TSVs are fabricated before the wafer is form logic gates need to have extremely fine pitches in order
taken through any FEOL or BEOL process. Since the TSVs to minimize parasitic loading on logic gates.
are fabricated at the beginning, via holes can be etched and IV. KEY CHALLENGES FOR 3D INTEGRATION
filled from the front side, and wafer thinning can be done at
Given all the potential benefits of 3D integration, it is
the end to expose TSVs from the backside. The advantage is
essential to understand the key challenges that are holding the
that TSV processing will not inadvertantly damage any of the technology back from completely disrupting the semiconductor
devices or interconnects. However, the disadvantage of this industry. The most critical challenges to the widespread
approach is that the TSV has to be taken through the high adoption of 3D integration are discussed here.
temeprature processing steps for FEOL and BEOL processing.
The mismatch of Coefficient of Thermal Efficient (CTE) A. Heat Removal
between copper and silicon results in stress being introduced The performance of modern electronic chips is primarily
in the silicon substrate. As a result, transistor performance and limited by their power consumption, and the effectiveness of
reliability are significantly compromised. the cooling systems. 3D integration makes heat removal harder
2) Via-Last: The TSVs are fabricated at the end, after all because the hotspots on the die farther away from the heat sink
the FEOL and BEOL processing is completed. Since the are not easily accessible. Liquid cooling through microfluidic
FEOL and BEOL processing are done prior to TSV etching, channels is a promising new technology that can alleviate the
TSVs need to be etched from the back side after wafer problem, but its robustness and reliability are yet to be proven
thinning. This process is very attractive from a CTE in the industry [18].
standpoint, since the TSVs don’t have to endure high
temperature processing. However, the key challenges with this B. IC Testing and Yield
approach include designing robust and reliable TSV contacts Another crucial challenge that impedes the widespread
with lower level on-chip interconnect, and ensuring that the adoption of 3D ICs is the problem of testing them. Although it
2017 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization for RF,
Microwave, and Terahertz Applications (NEMO)

is desirable that only the Known Good Die (KGD) are [3] K. J. Kuhn, "Moore's Law Past 32nm: Future Challenges in Device
handpicked from wafer level testing and stacked with other Scaling," in International Workshop on Computational Electronics,
2009.
KGD to form different layers of a 3D IC, it is extremely
[4] P. C. Andricacos, C. Uzoh, J. O. Dukovic, J. Horkans and H. Deligianni,
expensive to assemble 3D ICs at the die level. On the other
"Damascene copper electroplating for chip interconnections," IBM
hand, wafer level assembly is cheaper but leads to a Journal of Research and Development, vol. 42, no. 5, 1998.
significant yield loss because a single malfunctioning die in [5] T. Ghani and e. al, "A 90nm high volume manufacturing logic
the stack renders the entire 3D IC useless. technology featuring novel 45nm gate length strained silicon CMOS
transistors," in IEEE International Electron Devices Meeting, 2003.
C. Reliability [6] K. Mistry and e. al, "A 45nm logic technology with high-k+ metal gate
Depending on the process used for TSV fabrication, the transistors, strained silicon, 9 Cu interconnect layers, 193nm dry
reliability of transistors or interconnects on the chip can be patterning,;100% Pb-free packaging," in IEEE International Electron
Devices Meeting, 2007.
severely impacted. If via-middle process is used, TSVs have
[7] D. Hisamoto, W.-C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo,
to go through high temperature BEOL processing, resulting in
E. Anderson, T.-J. King, J. Bokor and C. Hu, "FinFET-a self-aligned
a stress profile that could degrade transistor performance and double-gate MOSFET scalable to 20 nm," IEEE Transactions on
reliability over time. On the other hand, if via-last process is Electron Devices, vol. 47, no. 12, 2000.
used, TSV etch can potentially damage the already fabricated [8] S. Natarajan and e. al, "A 14nm logic technology featuring 2 nd-
BEOL wiring and the ILD layers. In order to minimize the generation FinFET, air-gapped interconnects, self-aligned double
impact of TSVs on transistor/interconnect performance and patterning;a 0.0588 um 2 SRAM cell size," in IEEE Electron Device
Meeting, 2014.
reliability, designers pay a heavy price with additional guard-
[9] B. M. Rogers, A. Krishna, G. B. Bell, K. Vu, X. Jiang and Y. Solihin,
bands like using larger Keep Out Zones (KOZ) for transistors "Scaling the bandwidth wall: challenges in and avenues for CMP
and creating routing blockages for more interconnect layers. It scaling," in ACM SIGARCH Computer Architecture News, 1998.
was shown in [,] that reliability of on-chip wires connecting [10] H. Cho, P. Kapur and K. C. Saraswat, "Power comparison between high-
I/Os to TSVs is critical to the operation of these 3D ICs, and it speed electrical;optical interconnects for interchip communication,"
could determine the upper bound on the performance of 3D Journal of Lightwave Technology, vol. 22, no. 9, 2004.
ICs. [11] J. U. Knickerbocker and e. al, "Three-dimensional silicon integration,"
IBM Journal of Research and Development, vol. 52, no. 6, 2008.
D. Power Delivery [12] T. O. Dickson and e. al, "An 8x 10-Gb/s source-synchronous I/O system
Power is delivered to die higher up in the stack through based on high-density silicon carrier interconnects," IEEE Journal of
Solid-State Circuits, vol. 47, no. 4, 2012.
power/ground TSVs that need to be distributed over the area
[13] R. Chaware, K. Nagarajan and S. Ramalingam, "Assembly and reliability
of the die. Since the performance of each die in the 3D IC is a challenges in 3D integration of 28nm FPGA die on a large high density
strong function of the IR drop, a significant number of TSVs 65nm passive interposer," in Electronic Components and Technology
need to be dedicated to power/ground TSVs. Unlike the die Conference (ECTC), 2012.
closest to the C4 bumps, the power TSVs on the other die [14] D. U. Lee and e. al, "25.2 A 1.2 V 8Gb 8-channel 128GB/s high-
cannot be distributed all over the area of the chip, and are bandwidth memory (HBM) stacked DRAM with effective microbump
governed by industry standards. As a result, improving the I/O test methods using 29nm process and TSV," in IEEE International
Solid-State Circuits Conference, 2014.
internal power grid within the upper layer die of 3D ICs is
[15] J. Jeddeloh and B. Keeth, "Hybrid memory cube new DRAM
essential to maintain performance. architecture increases density;performance," in Symposium on VLSI
Technology (VLSIT), 2012.
V. CONCLUSIONS
[16] M. Aoki, F. Furuta, K. Hozawa, Y. Hanaoka, H. Kikuchi, A.
A brief overview of the 3D integration architectures, Yanagisawa, T. Mitsuhashi and K. Takeda, "Fabricating 3D integrated
technologies, and critical roadblocks are discussed in this CMOS devices by using wafer stacking;via-last TSV technologies," in
IEEE International Electron Devices Meeting, 2013.
paper. A number of applications including 2.5D interposers,
[17] H. S. Kamineni, S. Kannan, R. Alapati, S. Thangaraju, D. Smith, D.
memory stacks, memory on logic, logic on logic etc. can Zhang and S. Gao, "Challenges to via middle TSV integration at sub-
benefit tremendously from 3D integration technology. The key 28nm nodes," in Interconnect Technology Conference/Advanced
technology enabler for 3D integration is the TSV, which can Metallization Conference, 2014.
be manufactured with the via-first, via-middle, or via-last [18] B. Dang, M. S. Bakir, D. C. Sekar, C. R. King Jr and J. D. Meindl,
processes. Finally, the key challenges to 3D integration, i.e. "Integrated microfluidic cooling and interconnects for 2D and 3D chips,"
heat removal, IC testing and yield improvement, reliability, IEEE Transactions on Advanced Packaging, vol. 33, no. 1, pp. 79-87,
2010.
and power delivery are discussed.
[19] V. Kumar, H. Oh, X. Zhang, L. Zheng, M. S. Bakir and A. Naeemi,
"Impact of On-Chip Interconnect on the Performance of 3-D Integrated
REFERENCES
Circuits With Through Silicon Vias: Part I," IEEE Transactions on
[1] R. H. Dennard, F. H. Gaensslen, V. L. Rideout, E. Bassous and A. R. Electron Devices, vol. 63, no. 6, 2016.
LeBlanc, "Design of ion-implanted MOSFET's with very small physical [20] J.-S. Kim and e. al, " A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM
dimensions," IEEE Journal of Solid-State Circuits, vol. 9, no. 5, pp. 256- With 4$$\backslash$ times $128 I/Os Using TSV Based Stacking," IEEE
268, 1974. Journal of Solid-State Circuits, vol. 47, no. 1, 2012.
[2] G. Moore, "Cramming more components onto integrated circuits,” vol.
38, April 1965.," Electronics, vol. 38, 1965.

Вам также может понравиться