Вы находитесь на странице: 1из 1

vlog +incdir+C:/questasim_10.0b/verilog_src/uvm-1.

0-EA/src
C:/questasim_10.0b/verilog_src/uvm-1.0-EA/src/uvm_pkg.sv +define+UVM_NO_DPI top.sv

vlog +incdir+D:/Program Files/questasim_10.0b/verilog_src/uvm-1.0-EA/src D:/Program


File/questasim_10.0b/verilog_src/uvm-1.0-EA/src/uvm_pkg.sv
+define+UVM_TESTNAME=test1 reporting.sv

UVM 1.1d

vlog +incdir+C:/questasim_10.0b/verilog_src/uvm-1.1d/src
+incdir+C:/questasim_10.0b/verilog_src/uvm-1.1d/src
C:/questasim_10.0b/verilog_src/uvm-1.1d/src/uvm.sv +incdir+. top.sv
+define+UVM_NO_DPI

Вам также может понравиться