Вы находитесь на странице: 1из 3

Placement Commands

Sanity checks in Placement( ICC2 TOOL)


 Check_lagality --------------->> Checks the legality of the current placement

 Check_design -------------->> Runs pre-defined or user-defined checks on current design

 Check_scan_chain ------->> Allows scan chain structural consistency checking based on the scan
chain information stored in the current design

 Report_design-------------->> Reports netlist, floorplan, routing, and library information for the
current block
 Report_threshold_voltage_groups-->> Reports statistics on cell count and area by threshold
voltage group names.

 Report_timing ------------------->> Displays timing information about a design.

 Report_power -------------------->> Calculates and reports dynamic and static power for the design
or instance

 Report_qor ------------------------->> Displays QoR information and statistics for the current design.

Sanity checks in Placement ( INNOVUS TOOL):

 checkPlace----------------------->> For violation Caused by preplaced cells or block

 checkDesign -all---------------------->> Runs pre-defined or user-defined checks on current design

 report_power ----------------------->> To generate power report

 timeDesign – preplace ---------------->>To get an idea of Zero Wire Load timing of the design

 Report_timing ------------------->> Displays timing information about a design.

ICC-II PALACEMENT RELATED COMMANDS:

Magnet_placement –logical_level 1 { [get_ports* ] * [all_macro_cells*] } ---------->> to define magnet


placement to macros and I/O’s to improve congestion and timing.

Set_app_var physopt_hard_keepout_distance 10------------------>> setting a keepout margin, is a region


around the boundary of fixed cells in a block in which no other cells are placed.

Printvar physopt_hard_keepout_distance ----------------------------->> To define an outer keepout margin


for hard Macro
Create_bound –name bound_1 –effort medium (cell name *)--------------------->> To define a move bound
(To define the boundaries of these shapes)

set place.coarse.congestion_deriven_max_util ------------------------------------->> Specify a maximum


utilization that controls how densely the tool can place cells

set place.coarse.max_density ----------------------------------------------->> Specify a maximum density that


controls how densely the tool can place cells

create_placement –timing_driven –continue_on_missing_scandef ------->> for global placement

place_opt –effort medium –area –power –skip_initial_placement –continue_on_missing_scandef ---------


----->> detail placement (legal placement)

INNOVUS PALACEMENT RELATED COMMANDS:

specifyScanChain scan1 -start { } –stop { } --------------------->>To specify the scan chain in the design

placeDesign --------------------------------->> place the standard cells in the core area

placeDesign - incremental------------------->>Placement incremental to spread out cells

optDesign –preCTS---------------------->> optimize the setup and congestion violation in the placement
stage

setPlaceMode –congEffort high---------->> set congestion effort to high prior to running PlaceDesign

congRepair command------------------>> an incremental placement based on the trialRoute congestion


results

setPlaceMode –modulePadding module factor--------------------->> Specifies a module that needs


padding(Placement Clearance)

createDensityArea { x1 y1 x2 y2} factor------------------>> to create density screens,also known as partial

placement blockages.

generate_fence ---------------------->> To create the bounds to overcome congestion


timeDesign -preCTS -prefix prects -drvReports -expandReg2Reg –slackReports ---------->> Generate
number of violating paths in setup mode

reportCongestion -overflow => reports the overflow of congestion

describeCongestion => reports horizontal and vertical congestion

report_timing – path_type {end_slack_only }– late - max_paths { }

report_timing – path_type {end_slack_only }– early - max_paths { }

Вам также может понравиться