Вы находитесь на странице: 1из 20

REPUBLICA BOLIVARIANA DE VENEZUELA.

MINISTERIO DEL PODER POPULAR PARA LA EDUCACION.

UNIVERSIDAD DE FALCON (UDEFA).

FACULTAD DE INGENIERIA ELECTRONICA.

CATEDRA: LABORATORIO DE CIRCUITOS DIGITALES.

PROFESOR: CESAR GIL.

PRACTICA #7: FLIP FLOP J-K

REALIZADO POR:

Pire, Laurient.

V-27337861.

Punto Fijo, 5 de agosto del 2019.


INDICE

PAG

INTRODUCCION 3

MARCO TEORICO 4

PRELABORATORIO 10

EXPERIENCIAS 11

MATERIALES Y EQUIPOS 12

PROCEDIMIENTO 13

ANALISIS DE RESULTADOS 16

POSTLABORATORIO 17

CONCLUSIONES 19

BIBLIOGRAFIA 20

2
INTRODUCCION

Principalmente, un flip-flop (FF), es un elemento básico de una memoria que


cambia por un cambio momentáneo de sus entradas llamado (Trigger).Es
un multivibrador capaz de permanecer en uno de dos estados posibles durante un
tiempo indefinido en ausencia de perturbaciones. Esta característica es
ampliamente utilizada en electrónica digital para memorizar información. El paso
de un estado a otro se realiza variando sus entradas.

Asimismo, los Flip-Flops con reloj eran disparados por pulsos. La


realimentación entre la circuitería combi nacional y el elemento de memoria puede
producir inestabilidad, haciendo que el Flip-Flops cambie varias veces durante la
duración de un pulso de reloj por lo que el intervalo de tiempo desde la aplicación
del pulso hasta que ocurre la transición de la salida es un factor crítico que
requiere un análisis.

Por otra parte, una manera de resolver este problema es hacer que los Flip-
Flops sean sensitivos a la transición del pulso más que a la duración. Hay dos
maneras de hacerlo y que dan origen a dos tipos de flip flops: los flip flops
[maestro] esclavo y los flip flops disparados por flanco.

3
MARCO TEORICO

El primer flip-flop electrónico fue inventado adentro 1919 por Guillermo Eccles y
F. W. Jordania. Inicialmente fue llamado Circuito de disparador de Eccles-
Jordania, y consistido en dos elementos activos (radio-tubos). El flip-flop conocido
fue derivado más adelante del sonido producido en un altavoz conectado con uno
de los amplificadores detrás juntados hechos salir durante el proceso del
disparador dentro del circuito. Este original electrónico el circuito biestable de la
dos entrada simple del mover de un tirón-fracaso-uno sin ninguna señal dedicada
del reloj (o aún puerta), era transparente, y así un dispositivo que sería etiquetado
como “cierre” hoy.

Todos los circuitos digitales utilizan datos binarios para funcionar


correctamente, los circuitos están diseñados para contar, sumar, separar, etc. los
datos según las necesidades, pero por el tipo de funcionamiento de las
compuertas digitales, los datos presentes en las salidas de las mismas, cambian
de acuerdo con sus entradas, y no hay manera debitarlo, si las entradas cambian,
las salidas lo harán también, entonces ¿Cómo hacer para mantener un dato o
serie de datos en un lugar hasta que se necesite? La respuesta son las memorias,
básicamente son sistemas que pueden almacenar uno o más datos evitando que
se pierdan, hasta que se considere necesario, es decir, pueden variar su
contenido a criterio de la voluntad del usuario.

El corazón de una memoria son los Flip Flops, este circuito es una combinación
de compuertas lógicas, A diferencia de las características de las compuertas
solas, si se unen de cierta manera, estas pueden almacenar datos que se puede
manipular con reglas preestablecidas por el circuito mismo. Esta es la
representación general para un Flip Flop (comúnmente llamado "FF").

4
Los FF pueden tener varias entradas, dependiendo del tipo de
las funciones internas que realice, y tiene dos salidas:

Las salidas de los FF sólo pueden tener dos estados (binario) y siempre
tienen valores contrarios, como podemos ver en la siguiente tabla:

Las entradas de un FF obligan a las salidas a conmutar hacia uno u


otro estado o hacer "flip flop" (Término anglosajón), más adelante explicaremos
cómo interactúan las entradas con las salidas para lograr los efectos
característicos de cada FF. El FF también es conocido como:

 "Registro Básico" término utilizado para la forma más sencilla de un FF.

 "Multivibrador Biestable" término pocas veces utilizado para describir a un FF.

5
Flip-Flop J-K

El flip-flop J-K es una mezcla entre el flip-flop S-R y el flip-flop T. Esto ocurre de
la siguiente manera:

 En J=1, K=1 actúa como Flip-flop T


 De otra forma, actúa como flip-flop S-R

El siguiente diagrama de bloque es el perteneciente el FF J-K

Una implementación tentativa de un FF J-K a partir de un FF S-R sin reloj es la


siguiente:

La tabla de estado aparece a continuación. Note que es muy parecida a la del


FF S-R solo que ahora los estados de J=1 y K=1 sí son validos.

6
Tabla de estado del FF J-K

J K Q Q+
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 0

De la tabla anterior se obtiene la siguiente ecuación característica mediante

mapas de Karnaugh: . Este flip-flop es uno de los más comunes


con reloj. El siguiente diagrama lo muestra con entrada para reloj:

7
 Entradas asincrónicas en los Flip-Flop

Cada FF tiene entradas que pueden cambiar el estado de las salidas de


manera sincronizada con el pulso de reloj, pero ¿Dónde quedaron las entradas
asíncronas? ¿Es posible seguir usando estas entradas en FF síncronos? La
respuesta está en los FF síncronos de cualquier tipo que poseen entradas
asíncronas, esto añade dos pines más de control a nuestros FF, los conocidos
SET y RESET (Los cuáles pueden ser activos en el estado ALTO o BAJO).

Entonces, se tienen FF síncronos (Tipo "J - K", o tipo "D”) con un par de
entradas que no dependen en ningún momento del pulso de Reloj. Haciendo una
combinación perfecta de entradas que controlan las salidas de manera automática
(Asíncronas) o controladas por un pulso de reloj (Síncronas).La siguiente figura
nos muestra los símbolos de los FF Tipo "J - K" y "D" con sus entradas.

 Tabla de verdad del Flip- Flop Tipo "J - K" con entradas
Asíncronas

Las "X" significan que no importa el estado actual de esa entrada. El FF tiene
una entrada de reloj que funciona con TPP. Las entradas asíncronas con activas
ALTAS.

8
El funcionamiento básico sigue siendo el mismo, pero las salidas serán
forzadas a ALTO o BAJO, si se activan las entradas Asíncronas correspondientes
(SET, RESET) sin importar el estado de las entradas "J - K" o CLK.

 Tabla de verdad del Flip-Flop Tipo "D" con entradas Asíncronas.

Las "X" significan que no importa el estado actual de esa entrada. El FF tiene
una entrada de reloj que funciona con TPP. Las entradas asíncronas con activas
ALTAS.

El funcionamiento básico sigue siendo el mismo, pero las salidas serán


forzadas a ALTO o BAJO, si se activan las entradas Asíncronas correspondientes
(SET, RESET) sin importar el estado de la entrada "D" o CLK.

9
PRE LABORATORIO

1. Diseñe un contador módulo 4 utilizando Flip Flop J-K

10
DESARROLLO DE LA PRÁCTICA

EXPERIENCIAS

 EXPERIENCIA #1

1. Monte el contador diseñado en el pre laboratorio.


2. Conecte un señal de cuadrada de 5 volt al reloj del contador
3. Muestre la salida del contador utilizando leds.

 EXPERIENCIA #2

1. Monte el circuito mostrado en la Figura 1.


2. Conecte la salida del 74LS08 a la entrada de reloj del contador diseñado en
el pre laboratorio.
3. Pulse el botón y observe el comportamiento de la salida en los leds.

Figura 1

11
MATERIALES Y EQUIPOS NECESARIOS

 EXPERIENCIA #1

 4 integrados 74LS76.
 4 LEDS.
 4 resistencias de 150Ω
 1 fuente de poder a 5V.
 1 analizador lógico.
 1 reloj lógico a 1Hz.

 EXPERIENCIA #2

 5 integrados 74LS76.
 1 integrado 74LS08.
 1 fuente de poder a 5V.
 4 LEDS.
 4 resistencias de 150Ω.
 1 analizador lógico.
 1 reloj lógico de 1Hz.
 1 osciloscopio.
 1 resistencia de IKΩ.
 1 pulsador.

12
PROCEDIMIENTO

 EXPERIENCIA #1

Inicialmente, se procedió al montaje del circuito del pre-laboratorio, con la


acotación de que las entradas ‘’Q’’ van conectada respectivamente a una
resistencia con un LED, mientras que la entrada ‘’Q negada’’ o ‘’Salida’’ van
conectadas al Clock del integrado siguiente.

En el circuito se puede analizar a las resistencias en serie con los LEDS para
que el voltaje de 5v no les llegue directo y evitar que se queme. Asimismo, al
primer integrado se le coloco en su CLOCK un reloj lógico a 1 Hz para que este de
una señal a los mismos y los LEDS puedan seguir una secuencia y poder
mostrarlo en la grafica.

De manera tal que, cada integrado está conectada a los puntos J y K están
conectados directamente a los 5V, seguidamente el punto de unión de cada
integrado va conectado respectivamente al analizador lógico para así mostrar la
función específica.

13
 EXPERIENCIA #2

Se procedió el montaje del circuito mostrado en la figura 1, pero con el anexo


del mismo circuito realizado en el pre-laboratorio conectado en la salida del
integrado 74LS08 para así poder darle una secuencia a los LEDS.

Inicialmente, se conecto un interruptor, más un integrado 74LS76, al cual se le


añadieron en sus terminales J y K una fuente de poder a 5V, al igual que en el
punto S, con la salvedad de que el punto S está conectado a su vez a una
resistencia de 1KΩ seguido de un interruptor.

Asimismo, el primer integrado 74LS76 está conectado en su terminal ‘’Q’’ a un


integrado 74LS08 y este está conectado a su vez a un osciloscopio para visualizar
su señal de entrada.

14
ANALISIS DE LOS RESULTADOS.

 EXPERIENCIA #1

Con respecto al montaje que se realizo con el IC 7476 el cual corresponde a


un flip flop tipo JK. Del cual se puede decir que un dispositivo biestable que a
parte de las entradas J y K y las salidas Q y Q, también tiene una entrada para la
señal de reloj (CLK), lo que significa que es sincrónico. La entrada de reloj del
flip- flop biestable se comporta de diferente manera dependiendo de las
características del mismo.

Se pudo observar durante la práctica que la entrada C de sincronismo o de


reloj cumple una misión que es la de permitir el cambio de estado del flip-flop
biestable cuando en este se produce un flanco de subida o de bajada, según sea
su diseño. De acuerdo con la tabla de verdad, cuando las entradas J y K están a
nivel lógico 1, a cada flanco activo en la entrada de reloj, la salida del biestable
cambia de estado.

En los oscilogramas, se puede apreciar que en cada flanco de bajada del reloj,
bascula Qo y en cada flanco de bajada de Qo bascula Q1 y sucesivamente.
Analizando los valores de Qo, Q1, Q2 y Q3 en cada periodo del reloj, se nota que
las salidas Qo, Q1, Q2 y Q3 forman estados que se pueden representar en una
tabla de secuencia.

CLK Q3 Q2 Q1 Q0
1 0 0 0 0
2 0 0 0 1
3 0 0 1 0
4 0 0 1 1

15
5 0 1 0 0
6 0 1 0 1
7 0 1 1 0
8 0 1 1 1
9 1 0 0 0
10 1 0 0 1
11 1 0 1 0
12 1 0 1 1
13 1 1 0 0
14 1 1 0 1
15 1 1 1 0
16 1 1 1 1

 EXPERIENCIA #2

En los oscilogramas, se puede apreciar que en cada flanco de bajada de reloj


debido a que ya se le añade un IC 74LS08 y otro IC 74LS76, bascula Qo y en
cada flanco de bajada de Qo bascula Q1 y sucesivamente. Sin embargo, en el
momento es que la combinación de las salidas dan el estado 10102 hay un
CLEAR asíncrono a todos los flip-flops regresando rápidamente la señal de
CLEAR a nivel alto. Este pequeño impulso que se presenta en la línea de CLEAR
se denomina ‘’Glitch’’, ya que se considera un impulso no deseado.

Sin embargo, esta es la única forma de implementar contadores de modulo


diferente 2𝑛 con un contador asíncrono. En la salida Q1 también se presenta un
‘’Glitch’’ en el instante en que el contador tiene el valor 10102 .

16
POST-LABORATORIO

1. Dibuje el circuito diseñado contador diseñado en el pre laboratorio

2. Explique la función que realiza el Flip Flop J-K en la entrada del reloj
del contador.

Con este tipo de contadores, se elimina o se atenúa el retardo que se presenta


en los contadores asíncronos, donde se tiene que esperar que un flip-flop active el
otro. Este efecto se consigue conectando el reloj directamente a las entradas de
reloj (CLK) de los flip-flops, es decir, conectando los pulsos de reloj en paralelo
(síncronamente) y las salidas de los flip-flops a las entradas J y K de los mismos.

17
3. Redacte una aplicación para el circuito mostrado en la 2da parte del
laboratorio.

Principalmente, puede ser utilizado en la electrónica en diferentes áreas, como


por ejemplo en secuencia de luces, secuencia de un display, así como también
para un registro ya que puede ser utilizado como contador ya que tiene múltiples
configuraciones.

18
CONCLUSION

A través de esta práctica se aprendió acerca de los flip-flops que son celdas
binarias que son capaces de almacenar 1 bit de información, los cuales están
conformados por las entradas del mismo, las cuales se marcan como J y K y sus
salidas marcadas como Q y Q’, además están integrados por una entrada de reloj,
así como por el CLEAR y PRESET.

Los elementos de memoria que se utilizan en los circuitos secuenciales de reloj


se llaman ‘’Flip-Flop’’, estos circuitos son celdas binarias capaces de almacenar un
bit de información, un Flip-Flop tiene 2 salidas, una para valor normal y otra para
valores complementarios del bit almacenado en el. Los flip-flops poseen dos
valores estables, uno nivel alto (1 lógico) y el otro a nivel bajo (0 lógico).

Los flip-flops son muy importantes debido a que estos componentes electrónicos
ayudan en las industrias, como divisores de frecuencia, como circuito de enclave
como contadores (son muy poco usados), como memoria temporal y sobretodo
acopladores o acondicionadores de señal entre tarjetas y memorias.

19
BIBLIOGRAFIAS

FLOYD, T. (2006) ‘’Fundamentos de sistemas digitales’’. 9na ed. Editorial


Prentice Hall. Madrid, España.

20

Вам также может понравиться