Вы находитесь на странице: 1из 11

The Babson College Fund is initiating coverage on ASML Holding NV (NASDAQGS:ASML) with a buy rating

and a $315.93 target price, representing a potential upside of 14.9%.

Company Overview

ASML is the largest supplier in the world of photolithography systems for the semiconductor industry and
dominates the semiconductor lithography market at over 85% share of the market’s dollar value. ASML has
pioneered a form of photolithography that uses extreme ultraviolet light which will cement ASML as the leading
player in the industry for only by using these EUV machines will the semiconductor industry be able to continue
shrinking integrated circuits. ASML has three main product segments: net system sales, net service sales, and field
option sales. Net systems are further broken down into DUV (Deep Ultra Violet Lithography) and EUV (Extreme
Ultra Violet Lithography) machines. DUV machines are capable of making wafers as small as 7nm while EUV
machines are currently able to make wafers in the 5-7nm range and will be the dominant means of fabricating the
next generation of semiconductor nodes. The end demand lies in two categories: Logic and Memory chips.
Currently, the logic chip accounts for 73% of the Q3FY19 booking. Field option sales come from conducting
inspections for potential buyers and net service sales come from updating installed machines.

Investment Theses

1) ASML Sits Unchallenged in the EUV market which will Stock Rating | Buy
become the Foundation of the 5G and AI Era
12 - 18 Mo Price Target $315.93
ASML is the sole EUV tool supplier that enables foundries to Recommended Weight 5%
produce 7nm and 5nm wafers, the basis for worldwide 5G
Benchmark Weight 0%
deployment. As a result, ASML will see huge demand for its
photolithographic machines fueled by the IPhone/Huawei 5G Current Price $274.97
super cycle. We forecasted that by FY2025, EUV will account for Upside 14.9%
35% of the company’s revenue. In addition, we believe by the Consensus $275
year 2023, the company’s gross margin will increase to 45% due Ratings | Buy / Hold /Sell Buy(3)
to several factors. 1) EUV’s ASP will increase as the machines
Key Statistics
become more efficient and are able to process more wafers per
hour, representing greater profit potential for customers. 2) As 52 Week Range 144.50 - 275.52
more EUV tools become employed, ASML will generate steady % 52 Week High 90.67%
service revenue that it is not today. This business segment has a Avg Daily Vol (3 Mo) 832,468
higher margin that we believe carries a gross margin of about Market Value (B) 115.278
65%. 3) More field upgrade sales for both DUV and EUV
Ent Value (B) $105.1
machines.
Shares Out (M) 420.1
Insider Buying 4.08%
2) DUV sees strong growth potential in China
Institutional 45.98%
Due to the recovery in memory investment and the construction Key Metrics
and expansion of factories in mainland China, it is expected to
EV/Revenue 9.6x
increase by 12% compared to 2019. SEMI predicts that by 2020,
semiconductor equipment sales to mainland China, including EV/EBITDA 38x
foreign-funded factories, will reach $14.5 billion. There is a large P/E 39.51x
possibility for ASML to make more deals with old and new FCF Yield 4.27
costumers from China. Debt $3195.8M
Cash as % of Assets 20.17%

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY


Stock Chart

Figure 1: Capital IQ, Babson College Fund


ASML is up +76.69% YTD, outperforming both the XLI Sector ETF (+27.79%) and XLK Sector ETF (+42.18%)

Company Close Up:

ASML has three main revenue segments: Net system sales, net service sales and field option sales. Net systems
include DUV (Deep Ultra Violet Lithography) and EUV (Extreme Ultra Violet Lithography) machines mentioned
earlier. DUV makes wafers ranging beyond 7nm while EUV is able to make wafers in the 5-7nm range. Both of
them employ ultraviolet light to carve chips. The end demand lies in two categories: Logic and Memory chips.
Currently, the logic chip accounts for 73% of the Q3FY19 booking. Field option’s sales come from conducting
inspection for potential buyers and Net service’s sales come from updates for installed machines.

ASML EUV lithography is known for its high accuracy, and is the only machine that enables semiconductor/wafer
manufacturers to produce 5 nm chips with precision. 5nm is the catalyst of the Fourth Industrial Revolution. One of
the most important aspects of 5nm is that it supports 25 percent area reduction, 10 percent performance
improvement and 20 percent power reduction than 7nm. The biggest and broadest benefits of EUV are the
simplification of design by moving away from increasingly complex multi-patterning lithography strategies. In the
long run, reduced number of mask steps and more straightforward process is nothing short of a revolution for silicon
designers. EUV will be seamlessly incorporated into the existing design architectures.

In addition, EUV will be largely design-rule compatible with the existing design of 7nm. Therefore, it is essentially
a characterization of the technology, not redesign, which will substantially reduce the time and the cost of
implementation. This combination of technological advance and economic advantage is very much in line with a
grand tradition of the semiconductor industry.

This merging of technological advancement and economic benefits is very much in line with the grand tradition in
the semiconductor industry as well as technologies including 5G, AI, Connected & Automotive, Robot, etc. –
constantly serving as a catalyst for the fourth industrial revolution, while simultaneously driving costs down.
In the 5nm space, ASML’s only competitor is Canon who targets at low-end market as the chips they produce are
less efficient and less precise.

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY


Figure 2: Gartner, Babson College Fund

Who are their customers?


ASML’s main customers are wafer fabrication manufacturers. Wafer fabrication is a procedure composed of many
repeated sequential processes to produce complete electrical or photonic circuits on semiconductor wafers.
Advanced wafer with smaller sizes of chips render electronic devices with faster processing capability. As of now,
ASML’s main customers include Samsung (34% of ASML’s revenue), Intel/Micron/Applied Materials (17.9 %),
Taiwan Semiconductor Manufacturing (18.2%), and Fabs in China (16.4%). They rely on Asia Pacific market as
75.8% of FY18 revenue is from this region.

Financial Summary

In Q3 FY2019, ASML recorded revenue of € 2.987 billion, in line with estimates and guidance. Net systems sales
were € 2.3 billion, Field Options and Net Services combined sales were € 0.661 billion. The company had a gross
margin of 43.7%, operating margin of 23.0%, and net income margin of 21.0%. In the third quarter, it has a net
bookings of € 5.111 billion, including 23 EUV systems, each unit of which sells at € 120 million ($140 million).
Long-term gross debt was € 3.2bn at the end of 3Q19 while cash and short investment totals € 2.1bn, net debt at €
1.1B.

ASML has a plan of € 2.5billion share buyback program over the years 2018-2019. By the end of 3Q19, it has
executed €1.4bn of share repurchases. The management notes that they will not complete the buyback in the
remainder of the year and will announce a new program next year. As ASML has done two acquisitions in the past,
which drive down the company’s cash position to € 2.89m, we don’t expect near term acquisitions. ASML’s capital
expenditure will remain at a high level in the next year as the company is going to build a factory to assemble the
tools for EUV High-NA deployment. As ASML is taking cash deposits from the latest 23 EUV orders, we believe
working capital management will improve in 2020E. The management discloses that they aim for a € 2.5 billion
gross cash position and that if cash rests above this level they will use the excess money to buy back shares. UBS
projects that by 2020E, ASML will return €1billion in dividends and € 2 billion in share buyback to shareholders.

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY


Figure 3 Source: Company Website

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY


Investment Theses

Thesis 1: ASML Sits Unchallenged in the EUV market which will become the Foundation of the 5G and AI
Era

Based on Marketsandmarkets, global EUV Lithography is estimated to grow from USD 3 billion to USD 10.3
Billion by 2023. It is expected to grow at a CAGR of 28.2%. The market is expected to witness lucrative growth in
the next 5 years.

ASML is the sole EUV tool supplier which enables foundries to produce 7nm and 5nm wafers, the basis for
worldwide 5G deployment. As a result, ASML will definitely benefit from the IPhone/Huawei 5G Super Cycle. On
October 31, 2019, Samsung announced the use of EUV for its own 7nm Exynos 9825 chip and Samsung will build
Qualcomm’s Snapdragon X55 5G Modem to be used on Apple’s first 5G Iphone upcoming in September 2020. We
also believe ASML is shipping EUV tools to Samsung's Pyeongtaek site as the management notes incremental use
for DRAM, which needs 7nm for processing.

In September 2019, Huawei announced a 5G version of its Kirin 990 chip that was made in a TSMC 7nm process
with EUV, as well as a non-5G version that was made in a conventional TSMC 7nm process. The first phone to use
the Kirin 990 5G chip will ship starting on the1st of November 2019. TSMC had announced that in FY2019 that
EUV-generated N7+ revenue would account for over 25% of its revenue. In addition, TSMC has increased its
capital expenditure by additional $4 billion to $14-15 billion. $1.5 billion will be used for 7nm chips while $2.5
billion will be used for 5nm chips. Moreover, TSMC reiterated that it would be mass producing N5 chips by the
middle of 2020. We think a great portion of the money will be invested in ASML EUV tools to produce the
company’s mobile chips.

In addition, we believe by the year 2023, the company’s gross margin will increase to 45% due to several factors. 1)
EUV’s ASP will increase as the machines become more efficient and are able to process more wafers per hour,
representing greater profit potential for customers. 2) As more EUV tools become employed, ASML will generate
steady service revenue that it is not today. This business segment has a higher margin that we believe carries a gross
margin of about 65%. 3) More field upgrade sales for both DUV and EUV machines.

ASML continues to refine their EUV machine and has released, the 3400C, an upgrade to the 3400B. The 3400C
has an improved output of 170 wafers per hour, compared to 125 wph for the 3400B. With greater efficiency,
margins will be improved for customers. With higher output and the contributions from the 3400C, the management
expects EUV gross margin to reach 40% by early 2020. This will in turn make the investment more rational and
allow EUV to become the dominant manufacturing process for at least the next 5-10 years. This will make it
possible to more quickly phase out DRAM processes and allow the TAM to expand as smaller semi manufacturers
may find ASML EUV machines to be more affordable and efficient. In our model, we forecast that EUV will
account for 35% of total revenue by FY2025E.

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY


Thesis 2: DUV sees strong growth potential in China

Since last summer U.S. has imposed tariffs on Chinese imports as part of the trade war, and the tech war has seen an
increasing number of Chinese tech companies subject to U.S. government sanctions. Huawei is a good example.
However, the company is not affected by many thought it would as Q3 revenue jumped by 27% despite the
blacklisting. This is largely because Huawei can replace U.S. semiconductor chips with those made in China, one of
the fastest-growing markets for semiconductor equipment. Based on the report provided by SEMI association,
China’s purchase of semiconductor equipment grew by 59% from 2017 to 2018.

Figure 4: SEMI, Babson College Fund

However, all these chip designs have to be manufactured at fabs equipped with lithography machines.
Photolithography machines, that Chinese fabs have the capability to use, made most often by ASML. Due to the
recovery in memory investment and the construction and expansion of factories in mainland China, it is expected to
increase by 12% compared to 2019. SEMI predicts that by 2020, sales to mainland China, including foreign-funded
factories, will reach $14.5 billion. Based on our primary research, there are ten companies, which gain government
funding for producing chips in the next 5 years, and the total funding is $220.2 billion. Half of these companies had
purchased DUV machines from ASML in the past, and therefore it is very likely for them to continue to purchase
from the company. In our model, we use the DUV market growth rates from 2019 to 2025 from Gartner, and
multiply them by the estimated the revenue size of the Chinese Market relative to ASML, to get the revenue growth
rate of DUV machines.

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY


Figure 5: Organized by Babson College Fund

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY


Valuation

Our price target for ASML is $312.65 using a discounted cash flow analysis which represents a +13.7% upside over
current share price.

When building out our DCF model, we divided ASML’s topline into two main segments, net system sales and net
service and field option sales. These businesses have margins that differ significantly and are currently growing at
different rates, and so we thought it important to forecast each segment individually.

Net system sales is further broken down into EUV, EUV High-NA, DUV, Used Tools, and Metrology and
Inspection. We decided to break down new unit sales between EUV, EUV High-NA, and DUV, because each
segment carries drastically different price tags and each segment carries its own assumptions for growth. EUV
machines saw an ASP of €106.29 M but with the introduction of the 3400C and further expected product
improvements that will decrease throughput time for customers producing wafers using the machine and ASML’s
monopolistic stance in the industry, we see justified ASP growth for EUV units and increased EUV’s ASP by 5%
annually. EUV High-NA is expected by analysts to sell for about € 272.73 M, and DUV ASPs were calculated using
UBS estimates for total ASPs but then broken down into each respective segment by appropriate weight to derive a
DUV ASP. Used tools were held constant at a projected €8 M ASP, and Metrology and Inspection modeled to have
a 30% growth rate. We modeled Net Service and Field Options Sales to grow at a constant 15% rate which is in line
with its 3 year growth rate.

In ASML’s Q3 earnings call, they stated that they will realize a 7% effective tax rate for 2019 and this was reflected
in the model. However, as it is a much lower rate than ASML’s historical tax rate, we reverted back to an 11%
average tax rate for the DCF years up to 2025.

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY


By projecting cash, depreciation & amortization expenses, current assets, and current liabilities, were able to
forecast ASML’s free cash flow each year up to FY2025. To make these estimates, we referenced investment
research sources such as CapIQ and Factset.

We then used a perpetuity approach to determine a terminal value of € 142,823.31 at a long term growth rate of 2%
which we then discounted back to present using a WACC of 11.24% to determine ASML’s enterprise value. After
subtracting cash and dividing by outstanding shares, we came to our price target of $315.93.

The following exhibit shows our discounted cash flow analysis:

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY


Investment Risks

1.) Delay in Production:

The slower production at TSMC and Samsung and decelerating bookings momentum due to delay in
production

2.) New Technology Disruption:

Intel's (INTC) "hyper scaling," a way to squeeze more transistors in a given area without traditional
transistor "shrinks, can a potential threat.

3.) Delayed Delivery to China amid US ire can escalate:

China's biggest maker of computer chips, Semiconductor Manufacturing International Corp., placed the
order with ASML in April last year for the EUV. But the shipment is now delayed because ASML is
waiting Dutch approval for advanced machine export to China as the old approval expired.

Investment Catalysts

1.) Dutch government approves the export to China.

The license to export EUV to SMIC expired and it is awaiting approval for a new one from the Dutch
government. ASML shares were down 1% at 244 euros at 1300 GMT, among the strongest decliners on the
Euronext exchange. ASML already explains that the delay is due to license expiration and not American
interference. As the approval releases, the share will react positively.

2.) Nanoimprinting lithography

Nanoimprinting lithography (NIL) is not a new technology. There are several companies that sell NIL
equipment, but Canon is the only company that is targeting the semiconductor industry. ASML is also
looking into this technology. Any potential technological breakthrough can boost up the price

3.) Announcement of unexpected orders from new customers:

With ASML releasing the EUV model 3400D even more efficient, existing customers might increase their
orders or unexpected orders from other customers that are closest to being able to use EUV.

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY


Disclosures

Babson College Fund


The Babson College Fund (BCF) is an academic program in which selected students manage a portion of the Babson
College endowment. The program seeks to provide a rich educational experience through the development of
investment research skills and the acquisition of equity analysis and portfolio management experience. Please visit
http://cutler.babson.edu for more information.

Definition of Ratings
BUY: Expected to outperform the S&P 500 producing above average returns.
HOLD: Expected to perform in line with the S&P 500 producing average returns.
SELL: Expected to underperform the S&P 500 producing below average returns.

References

FactSet

Bloomberg Terminal

UBS Equities

Capital IQ

Thomson/Reuters Eikon

ASML company website and SEC Filings

Gartner

Analysts

James Cheng

jcheng@babson.edu

Huateng Zhang

hzhang10@babson.edu

SOFTWARE AND SERVICES | INFORMATION TECHNOLOGY

Вам также может понравиться