Вы находитесь на странице: 1из 116

Service M-CR603 /N1SG/N1Bd/U1Bd

Manual CD Receiver

• For purposes of improvement, specifications and design are subject to change without notice.

• Please use this service manual with referring to the operating instructions without fail.

• Some illustrations using in this service manual are slightly different from the actual set.

Ver. 8
M-CR603 Please refer to the
MODIFICATION NOTICE.

S0661-1V08DM/DG1304 Copyright 2013 D&M Holdings Inc. All rights reserved.


WARNING: Violators will be prosecuted to the maximum extent possible.
MARANTZ DESIGN AND SERVICE

Using superior design and selected high grade components, MARANTZ company has created the ultimate in stereo sound.
Only original MARANTZ parts can insure that your MARANTZ product will continue to perform to the specifications for
which it is famous.
Parts for your MARANTZ equipment are generally available to our National Marantz Subsidiary or Agent.
ORDERING PARTS :
Parts can be ordered either by mail or by Fax.. In both cases, the correct part number has to be specified.
The following information must be supplied to eliminate delays in processing your order :
1. Complete address
2. Complete part numbers and quantities required
3. Description of parts
4. Model number for which part is required
5. Way of shipment
6. Signature : any order form or Fax. must be signed, otherwise such part order will be considered as null and void.

USA EUROPE / TRADING CANADA


MARANTZ AMERICA, INC D&M EUROPE B. V. D&M Canada Inc.
100 CORPORATE DRIVE P. O. BOX 8744, BUILDING SILVERPOINT 5-505 APPLE CREEK BLVD.
MAHWAH, NEW JERSEY 07430 BEEMDSTRAAT 11, 5653 MA EINDHOVEN MARKHAM, ONTARIO L3R 5B1
USA THE NETHERLANDS CANADA
PHONE : +31 - 40 - 2507844 PHONE : 905 - 415 - 9292
FAX : +31 - 40 - 2507860 FAX : 905 - 475 - 4159

JAPAN KOREA
D&M Holdings Inc. D&M SALES AND MARKETING KOREA LTD.
D&M BUILDING, 2-1 NISSHIN-CHO, 2F,YEON BLDG.,
KAWASAKI-KU, KAWASAKI-SHI, 88-5, BANPO-DONG, SEOCHO-GU,
KANAGAWA, 210-8569 JAPAN SEOUL KOREA
PHONE : +82 - 2 - 715 - 9041
FAX : +82 - 2 - 715 - 9040

CHINA
D&M SALES AND MARKETING SHANGHAI LTD.
ROOM.808 SHANGHAI AIRPORT CITY TERMINAL
NO.1600 NANJING (WEST) ROAD, SHANGHAI,
CHINA. 200040
TEL : 021 - 6248 - 5151
FAX : 021 - 6248 - 4434

NOTE ON SAFETY :
Symbol z Fire or electrical shock hazard. Only original parts should be used to replaced any part marked with symbol z .
Any other component substitution (other than original type), may increase risk of fire or electrical shock hazard.

安全上の注意:
zがついている部品は、安全上重要な部品です。必ず指定されている部品番号のものを使用して下さい。

SHOCK, FIRE HAZARD SERVICE TEST :


CAUTION : After servicing this appliance and prior to returning to customer, measure the resistance between either primary
AC cord connector pins (with unit NOT connected to AC mains and its Power switch ON), and the face or Front Panel of
product and controls and chassis bottom.
Any resistance measurement less than 1 Megohms should cause unit to be repaired or corrected before AC power is applied,
and verified before it is return to the user/customer.
Ref. UL Standard No. 60065.

In case of difficulties, do not hesitate to contact the Technical


Department at above mentioned address.
091105DM/DG

2
SAFETY PRECAUTIONS
The following check should be performed for the continued protection of the customer and service technician.

LEAKAGE CURRENT CHECK


Before returning the unit to the customer, make sure you make either (1) a leakage current check or (2) a line to chassis
resistance check. if the leakage current exceeds 0.5 milliamps, or if the resistance from chassis to either side of the
power cord is less than 460 kohms, the unit is defective.
Be sure to test for leakage current with the AC plug in both polarities, in addition, in each power ON, OFF and STANDBY
mode, if applicable.

CAUTION Please heed the points listed below during servicing and inspection.
◎◎ Heed the cautions! ◎◎ Inspect for safety after servicing!
Spots requiring particular attention when servicing, such Check that all screws, parts and wires removed or
as the cabinet, parts, chassis,etc., have cautions indicated disconnected for servicing have been put back in their
on labels. be sure to heed these causions and the original positions, inspect that no parts around the area
cautions indicated in the handling instructions. that has been serviced have been negatively affected,
◎◎ Caution concerning electric shock! conduct an inslation check on the external metal
connectors and between the blades of the power plug,
(1) An AC voltage is impressed on this set, so touching
and otherwise check that safety is ensured.
internal metal parts when the set is energized could
cause electric shock. Take care to avoid electric (Insulation check procedure)
shock, by for example using an isolating transformer Unplug the power cord from the power outlet, disconnect
and gloves when servicing while the set is energized, the antenna, plugs, etc., and turn the power switch on.
unplugging the power cord when replacing parts, etc. Using a 500V insulation resistance tester, check that the
(2) Tere are high voltage parts inside. Handle with extra inplug and the externally exposed metal parts (antenna
care when the set is energized. terminal, headphones terminal, input terminal, etc.) is
1MΩ or greater. If it is less, the set must be inspected and
◎◎ Caution concerning disassembly and repaired.
assembly!
Through great care is taken when manufacturing parts
from sheet metal, there may in some rare cases be burrs CAUTION Concerning important safety
on the edges of parts which could cause injury if fingers parts
are moved across them. Use gloves to protect your hands. Many of the electric and structural parts used in the set
◎◎ Only use designated parts! have special safety properties. In most cases these
The set's parts have specific safety properties (fire properties are difficult to distinguish by sight, and using
resistance, voltage resistance, etc.). For replacement replacement parts with higher ratings (rated power and
parts, be sure to use parts which have the same withstand voltage) does not necessarily guarantee that
poroperties. In particular, for the important safety parts safety performance will be poreserved. Parts with safety
that are marked z on wiring diagrams and parts lists, be properties are indicated as shown below on the wiring
sure to use the designated parts. diagrams and parts lists is this service manual. Be sure to
replace them with parts with the designated part number.
◎◎ Be sure to mount parts and arrange the wires
as they were originally! (1) Schematic diagrams.......Indicated by the z mark.
For safety seasons, some parts use tape, tubes or other (2).Parts lists.......Indicated by the z mark.
insulating materials, and some parts are mounted away Using parts other than the designated
from the surface of printed circuit boards. Care is also parts could result in electric shock, fires
taken with the positions of the wores omsode amd clamps or other dangerous situations.
are used to keep wires away from heating and high
voltage parts, so be sure to set everything back as it was
originally.

3
NOTE FOR SCHEMATIC DIAGRAM
WARNING:
Parts marked with this symbol z have critical characteristics. Use ONLY replacement parts recommended by the manufacturer.
CAUTION:
Before returning the unit to the customer, make sure you make either (1) a leakage current check or (2) a line to chassis resistance check. If the
leakage current exceeds 0.5 milliamps, or if the resistance from chassis to either side of the power cord is less than 460 kohms, the unit is defective.
WARNING:
DO NOT return the unit to the customer until the problem is located and corrected.
NOTICE:
ALL RESISTANCE VALUES IN OHM. k=1,000 OHM / M=1,000,000 OHM
ALL CAPACITANCE VALUES IN MICRO FARAD. P=MICRO-MICRO FARAD EACH VOLTAGE AND CURRENT ARE MEASURED AT NO SIGNAL
INPUT CONDITION. CIRCUIT AND PARTS ARE SUBJECT TO CHANGE WITHOUT PRIOR NOTICE.

NOTE FOR PARTS LIST


PARTS INFORMATION NOTE ON SAFETY FOR FUSIBLE RESISTOR :
RESISTORS The suppliers and their type numbers of fusible resistors
1) 00MGD05 × × × 140, Carbon film fixed resistor, ±5% 1/4W are as follows;
2) 00MGD05 × × × 160, Carbon film fixed resistor, ±5% 1/6W 1. KOA Corporation
Part No. (MJI) Type No. (KOA) Description
}

 Resistance value 00MNH05 × × × 140 RF25S × × × × ΩJ (±5% 1/4W)

▲ ▲
Examples ; 00MNH05 × × × 120 RF50S × × × × ΩJ (±5% 1/2W)
 Resistance value 00MNH85 × × × 110 RF73B2A × × × × ΩJ (±5% 1/10W)

▲ ▲
0.1Ω......001 10Ω......100 1kΩ...... 102 100kΩ...... 104 00MNH95 × × × 140 RF73B2E × × × × ΩJ (±5% 1/4W)
0.5Ω......005 18Ω......180 2.7kΩ...... 272 680kΩ...... 684

}
Resistance value Resistance value
1Ω......010 100Ω......101 10kΩ...... 103 1MΩ...... 105
6.8Ω......068 390Ω......391 22kΩ...... 223 4.7MΩ...... 475 (0.1 − 10kΩ)
Note : Please distinguish 1/4W from 1/6W by the shape of parts
2. Matsushita Electronic Components Co., Ltd
used actually.
Part No. (MJI) Type No. (MEC) Description
00MNF05 × × × 140 ERD-2FCJ × × × (±5% 1/4W)


CAPACITORS 00MRF05 × × × 140
CERAMIC CAP. 00MNF02 × × × 140 ERD-2FCG × × × (±2% 1/4W)


3) 00MDD1 × × × × 370, Ceramic capacitor

}
00MRF02 × × × 140
}

 Disc type
}
 Resistance value
Temp.coeff.P350 ~ N1000, 50V Resistance value
Capacity value
Examples ;
Tolerance
Examples ; * Resistance value
 Tolerance (Capacity deviation) 0.1Ω......001 10Ω......100 1kΩ...... 102 100kΩ..... 104
±0.25pF...... 0 0.5Ω......005 18Ω......180 2.7kΩ...... 272 680kΩ..... 684
±0.5pF...... 1 1Ω......010 100Ω......101 10kΩ...... 103 1MΩ..... 105
±5%...... 5 6.8Ω......068 390Ω......391 22kΩ...... 223 4.7MΩ..... 475
Tolerance of COMMON PARTS handled here are as follows :
0.5pF ~ 5pF......±0.25pF
6pF ~ 10pF......±0.5pF
12pF ~ 560pF......±5% ABBREVIATION AND MARKS
 Capacity value
0.5pF......005 3pF......030 100pF...... 101
1pF......010 10pF......100 220pF...... 221 ANT. : ANTENNA BATT. : BATTERY
1.5pF......015 47pF......470 560pF...... 561 CAP. : CAPACITOR CER. : CERAMIC
CERAMIC CAP. CONN. : CONNECTING DIG. : DIGITAL
4) 00MDK16 × × × 300, High dielectric constant ceramic HP : HEADPHONE MIC. : MICROPHONE
}

 capacitor
Disc type µ-PRO : MICROPROCESSOR REC. : RECORDING
Temp.chara. 2B4, 50V RES. : RESISTOR SPK : SPEAKER
Capacity value
Examples ; SW : SWITCH TRANSF. : TRANSFORMER
 Capacity value TRIM. : TRIMMING TRS. : TRAMSISTOR
100pF......101 1000pF...... 102 10000pF......103
470pF......471 2200pF...... 222 VAR. : VARIABLE X’TAL : CRYSTAL

ELECTROLY CAP. ( )
5) 00MEA × × × × × × 10, Electrolytic capacitor
NOTE ON FUSE :
}
}

One-way lead type, Tolerance ±20%


 
Working voltage Regarding to all parts of parts code 00MFS20xxx2xx, replace
Capacity value
Examples ; only with Wickmann-Werke GmbH, Type 372 non glass type fuse.
 Capacity value
0.1µF...... 104 4.7µF......475 100µF.... 107
0.33µF...... 334 10µF......106 330µF.... 337
1µF...... 105 22µF......226 1100µF.... 118
2200µF.... 228 NOTE ON SAFETY :
 Working voltage Symbol z Fire or electrical shock hazard. Only original parts
6.3V...... 006 25V......025 should be used to replaced any part marked with symbol z.
10V...... 010 35V......035
16V...... 016 50V......050 Any other component substitution (other than original type), may
increase risk of fire or electrical shock hazard.
FILM CAP. ( )
6) 00MDF15 × × × 350 Plastic film capacitor

00MDF15 × × × 310 One-way type, Mylar ±5% 50V


00MDF16 × × × 310 Plastic film capacitor

安全上の注意 :
}

 One-way type, Mylar ±10% 50V


Capacity value
Examples ; z がついている部品は、安全上重要な部品です。必ず指定
 Capacity value されている部品番号の部品を使用して下さい。
0.001µF.(1000pF)............102 0.1µF..... 104
0.0018µF............................182 0.56µF..... 564
0.01µF............................103 1µF..... 105
0.015µF............................153 060522 MZ
4
WARNING AND LASER SAFETY INSTRUCTIONS
GB WARNING NL WAARSCHUWING

All ICs and many other semi-conductors are


susceptible to electrostatic discharges (ESD). Alle IC’s en vele andere halfgeleiders zijn
Careless handling during repair can reduce gevoelig voor elektrostatische ontladingen
life drastically. (ESD).
When repairing, make sure that you are Onzorgvuldig behandelen tijdens reparatie
connected with the same potential as the kan de levensduur drastisch doen
mass of the set via a wrist wrap with verminderen.
resistance. Zorg ervoor dat u tijdens reparatie via een
Keep components and tools also at this polsband met weerstand verbonden bent met
potential. hetzelfde potentiaal als de massa van het
apparaat.
Houd componenten en hulpmiddelen ook op
ditzelfde potentiaal.

F ATTENTION D WARNUNG AVVERTIMENTO


I

Tous les IC et beaucoup d’autres semi- Alle IC und viele andere Halbleiter sind Tutti IC e parecchi semi-conduttori sono
conducteurs sont sensibles aux décharges empfindlich gegen elektrostatische sensibili alle scariche statiche (ESD).
statiques (ESD). Entladungen (ESD). La loro longevita potrebbe essere fortemente
Leur longévité pourrait être considérablement Unsorgfältige Behandlung bei der Reparatur ridatta in caso di non osservazione della piu
écourtée par le fait qu’aucune précaution kann die Lebensdauer drastisch vermindern. grande cauzione alla loro manipolazione.
n’est prise a leur manipulation. Sorgen sie dafür, das Sie im Reparaturfall Durante le riparazioni occorre quindi essere
Lors de réparations, s’assurer de bien être über ein Pulsarmband mit Widerstand mit collegato allo stesso potenziale che quello
relié au même potentiel que la masse de dem Massepotential des Gerätes verbunden della massa dell’apparecchio tramite un
l’appareil et enfiler le bracelet serti d’une sind. braccialetto a resistenza.
résistance de sécurité. Halten Sie Bauteile und Hilfsmittel ebenfalls Assicurarsi che i componenti e anche gli
Veiller a ce que les composants ainsi que les auf diesem Potential. utensili con quali si lavora siano anche a
outils que l’on utilise soient également a ce questo potenziale.
potentiel.

GB D

Safety regulations require that the set be restored to its original condition Bei jeder Reparatur sind die geltenden Sicherheitsvorschriften zu beachten.
and that parts which are identical with those specified be used. Der Originalzustand des Gerats darf nicht verandert werden.
Fur Reparaturen sind Original-Ersatzteile zu verwenden.
NL I
Veiligheidsbepalingen vereisen, dat het apparaat in zijn oorspronkelijke Le norme di sicurezza esigono che l’apparecchio venga rimesso nelle
toestand wordt terug gebracht en dat onderdelen, identiek aan de condizioni originali e che siano utilizzati pezzi di ricambiago idetici a quelli
gespecifieerde worden toegepast. specificati.
F
“Pour votre sécurité, ces documents
doivent être utilisés par des Les normes de sécurité exigent que l’appareil soit remis a l’état d’origine et
spécialistes agrées, seuls habilités à que soient utilisées les pièces de rechange identiques à celles spécifiées.
réparer votre appareil en panne.”

LASER SAFETY

This unit employs a laser. Only a qualified service person should remove the cover or attempt to service this
device, due to possible eye injury.

USE OF CONTROLS OR ADJUSTMENTS OR PERFORMANCE OF PROCEDURE OTHER THAN THOSE


SPECIFIED HEREIN MAY RESULT IN HAZARDOUS RADIATION EXPOSURE.

AVOID DIRECT EXPOSURE TO BEAM

WARNING

The use of optical instruments with this product will increase eye hazard.
Repair handling should take place as much as possible with a disc loaded inside the player

WARNING LOCATION: INSIDE ON LASER COVERSHIELD


CAUTION VISIBLE AND INVISIBLE LASER RADIATION WHEN OPEN AVOID EXPOSURE TO BEAM
ADVARSEL SYNLIG OG USYNLIG LASERSTRÅLING VED ÅBNING UNDGÅ UDSÆTTELSE FOR STRÅLING
ADVARSEL SYNLIG OG USYNLIG LASERSTRÅLING NÅR DEKSEL Å PNES UNNGÅ EKSPONERING FOR STRÅLEN
VARNING SYNLIG OCH OSYNLIG LASERSTRÅLNING NÄR DENNA DEL ÄR ÖPPNAD BETRAKTA EJ STRÅLEN
VARO! AVATT AESSA OLET ALTTIINA NÄKYVÄLLE JA NÄKYMÄTTÖMÄLLE LASER SÄTEILYLLE. ÄLÄ KATSO SÄTEESEEN
VORSICHT SICHTBARE UND UNSICHTBARE LASERSTRAHLUNG WENN ABDECKUNG GEÖFFNET NICHT DEM STRAHL AUSSETSEN
DANGER VISIBLE AND INVISIBLE LASER RADIATION WHEN OPEN AVOID DIRECT EXPOSURE TO BEAM
ATTENTION RAYONNEMENT LASER VISIBLE ET INVISIBLE EN CAS D'OUVERTURE EXPOSITION DANGEREUSE AU FAISCEAU

030804ecm
5
INSTRUCTIONS FOR HANDLING SEMI-CONDUCTORS AND OPTICAL UNIT
Electrostatic breakdown of the semi-conductors or optical pickup may occur due to a potential difference caused by
electrostatic charge during unpacking or repair work.

1. Ground for Human Body


Be sure to wear a grounding band (1 MΩ) that is properly grounded to remove any static electricity that may be
charged on the body.

2. Ground for Workbench


Be sure to place a conductive sheet or copper plate with proper grounding (1 MΩ) on the workbench or other surface,
where the semi-conductors are to be placed. Because the static electricity charge on clothing will not escape through
the body grounding band, be careful to avoid contacting semi-conductors with your clothing

<Incorrect> <Correct> Grounding Band

1MΩ

CBA
CBA

1MΩ
Conductive Sheet or
Copper Plate

6
SPECIFICATIONS
S/N ratio (mono) 65 dB
nn CD player Sensitivity (S/N 30 dB) 9 dBµV (IHF)
• Audio performance • AM tuner
S/N ratio (1 kHz) 100 dB Range of receivable frequencies 522 to 1611 kHz
Dynamic range (1 kHz) 100 dB S/N ratio 40 dB
Total harmonic distortion (1 kHz) 0.01 % Sensitivity (S/N 20 dB) 55 dBµV/m

nn Audio AMP nn Clock


Rated output Clock method Within 1 – 2 minutes per month
(1 kHz, total harmonic distortion 0.7 %, 8 Ω) 40 W + 40 W
(1 kHz, total harmonic distortion 0.7 %, 6 Ω) 50 W + 50 W
Effective maximum output nn DAB tuner section
(1 kHz, total harmonic distortion 10 %, 8 Ω) 50 W + 50 W Frequency range 174.928(5A)~239.200(13F)MHz
(1 kHz, total harmonic distortion 10 %, 6 Ω) 60 W + 60 W (BAND III)
Input sensitivity/impedance Sensitivity –90 dBm
AUX1 110 mV/24 kΩ Signal-to-noise ratio 95 dB
AUX2, AUX3 200 mV/32 kΩ THD+N 0.025 % (1 kHz)
Frequency response (5 W, 8 Ω) Channel separation 70 dB (1 kHz)
AUX input 5 Hz to 20 kHz (±3 dB)
Total harmonic distortion (1 kHz, 5 W, 8 Ω)
nn Power supply
AUX input 0.05 %
S/N ratio (10 W, 8 Ω, IHF-A) Power supply voltage/frequency AC 230 V, 50/60 Hz
AUX input 86 dB Power consumption 50W
Power consumption in standby mode 0.4 W

nn Tuner
• FM tuner nn Miscellaneous
Range of receivable frequencies 87.5 to 108.0 MHz External dimensions (width x height x depth) 280 x 111 x 302 mm
Weight 4.3 kg
DIMENSION

73 21.5
45

35 210 35
203
302
219

73 21.5
48.5
36

36
2

129.1

75 130

280
105.5

Ø26
5.5
2

Ø30
35 210 35

7
CAUTION IN SERVICING
About replace the ETHERNET PCB ASS'Y with a new one h
• When you replace the ETHERNET PCB ASS'Y (8U-310055S), you need software updates.
(1) Replace the ETHERNET PCB ASS'Y with the 8U-310055S (MAC Address was written).
(2) After the M-CR603 built, DISC using firmware DM860 (Net (IMG)) to change the F Version. Refer to [ VERSION
UPGRADE PROCEDURE OF FIRMWARE "1. How to update by disc" ] for the details of the Version up with disc.
(3) MAC Address Label pasted to Bottom is pasted to Mac Address Label (GEN8341; MAC ADDRESS SUB ASSY ) of
exchanged ETHERNET PCB ASS'Y and it substitutes it.
(4) The firmware is updated by DPMS. Refer to [ VERSION UPGRADE PROCEDURE OF FIRMWARE "2. How to
update by DPMS" ] for the details of the Version up.

Initializing CD RECEIVER (Factory Reset)


CD RECEIVER initialization should be performed when the μcom, peripheral parts of μcom, and Digital PCB. are
replaced.

1. Turn off the power using ON/STANDBY button, unplug the power cord.
2. Plug the power cord into a power outlet while pressing VOLUMEd and 2 button simultaneously.
* Initialize.

Note: •All user settings will be lost and this factory setting will be recovered when this initialization mode.
So make sure to memorize your setting for restoring after the initialization.

VOLUMEd

Service tools
Measuring Disc: CD/TCD-784
CD-R/TCD-R082W
CD-RW/TCD-W082W
z Refer to "MEASURING METHOD AND WAVEFORMS".

8
DISASSEMBLY s
• Disassemble in order of the arrow of the figure of following flow.
• In the case of the re-assembling, assemble it in order of the reverse of the following flow.
• In the case of the re-assembling, observe "attention of assembling" it.
• If wire bundles are untied or moved to perform adjustment or parts replacement etc., be sure to rearrange them neatly
as they were originally bundled or placed afterward.
Otherwise, incorrect arrangement can be a cause of noise generation.
CAUTION: Through great care is taken when manufacturing parts from sheet metal, there may in some rare cases be
burrs on the edges of top cover which could cause injury if fingers are moved across them. Use gloves to
protect your hands.

SIDE PANEL

TOP COVER

TOP PANEL and FRONT PANEL ASSY


Refer to "DISASSEMBLY
1. TOP PANEL and FRONT PANEL ASSY"
and "EXPLODED VIEW"
TOP COVER
(Ref. No. of EXPLODED VIEW : M4)
FRONT PANEL ASSY
(Ref. No. of EXPLODED VIEW : C1)

CD MECHA ASSY SMPS PCB ASSY


Refer to "DISASSEMBLY Refer to "DISASSEMBLY
2. CD MECHA ASSY" 5. SMPS PCB ASSY"
and "EXPLODED VIEW" and "EXPLODED VIEW"
CD MECHA ASSY SMPS PCB ASSY
(Ref. No. of EXPLODED VIEW : C8) (Ref. No. of EXPLODED VIEW : C3)

MAIN PCB ASSY


Refer to "DISASSEMBLY
4. MAIN PCB ASSY"
and "EXPLODED VIEW"
MAIN PCB ASSY
(Ref. No. of EXPLODED VIEW : C2)

9
About the photos used for descriptions in the DISASSEMBLY" section.
• T
 he direction from which the photographs used herein were photographed is indicated at "Direction of photograph: ***"
at the left of the respective photographs.
• Refer to the table below for a description of the direction in which the photos were taken.
• Photographs for which no direction is indicated were taken from above the product.

The viewpoint of each photograph


(Photography direction) Direction of photograph: B
Front side
[View from above]

Direction of photograph: C Direction of photograph: D

Direction of photograph: A

10
1. TOP COVER and FRONT PANEL ASSY
Proceeding : SIDE PANEL → TOP COVER and FRONT PNAEL ASSY

(1) Remove the screws.

View from bottom

Direction of photograph: A

Direction of Direction of
photograph: C photograph: D

11
(2) Cut the wire clamp band, then disconnect the connector wires and the FFC Cable.

cut
Direction of photograph: D

CN44 FFC Cable

(3) Remove the TOP COVER and FRONT PANEL ASSY.

TOP COVER TOP COVER


and and
FRONT PANEL ASSY FRONT PANEL ASSY

(4) Disconnect the connector wire.

CN0101

12
(4) Remove the TOP COVER.
TOP COVER

Please remove TOP COVER while lifting the hook.

Please refer to "EXPLODED VIEW" for the disassembly method of each PCB included in FRONT PANEL ASSY.

13
2. CD MECHA ASSY
Proceeding : SIDE PANEL → TOP COVER and FRONT PNAEL ASSY → CD MECHA ASSY

(1) Remove the screws.

(2) Laser short-circuit in Pick-up of CD MECHANISM ASS'Y, then disconnect the connector wires and FFC cable.
Be sure to wear a grounding band.
Soldering place FFC Cable

Direction of photograph: A

(3) Disconnect the connector wires.

Direction of photograph: C

Please refer to "EXPLODED VIEW" for the disassembly method of each PCB included in ETHERNET PCB ASSY
and DAB PCB ASSY, TUNER MODULE.

14
3. MAIN PCB ASSY
Proceeding : SIDE PANEL → TOP COVER and FRONT PNAEL ASSY → CD MECHA ASSY
→ MAIN PCB ASSY

(1) Remove the SHEET COVER.

Direction of photograph: A

(2) Remove the screws.

Direction of photograph: A

(3) Remove the screws

View from bottom

15
(4) Remove the SUPPORT MECHA.
SUPPORT MECHA

(5) Remove the screws, then disconnect the FFC cable and the connector wire.
CN45 CN43

CN61

16
4. SMPS PCB ASSY
Proceeding : SIDE PANEL → TOP COVER and FRONT PNAEL ASSY → CD MECHA ASSY
→ SMPC PCB ASSY

(1) Remove the screws.

View from bottom

(2) Cut the wire clamp band, then disconnect the connector wires. Remove the screws.
CN45 CN43

Direction of photograph: D

cut

CN61

17
(3) Remove the screws.

Direction of photograph: B

(4) Remove the screws.

Direction of photograph: A

18
NOTE HANDLING AND REPLACEMENT OF THE LASER PICK-UP
1. Protection of the LD
Short a part of the LD circuit by soldering. After connection to a circuit, remove the short solder.

2. Precautions when handling the laser CD mechanism


• Handle the laser pick-up so that it is not exposed to dust.
• Do not leave the laser pick-up bare. Be sure to cover it.
• If dust adheres on lens of the pick-up, blow it off with a blower brush.
• Do not shock the laser pick-up.
• Do not watch the light of the laser pick-up.

3. Cautions on assembling and adjustment


• Be sure that to the bench, jig, head of soldering iron (with ceramic) and measuring instruments are well grounded.
• Workers who handle the laser pick-up must be grounded.
• The finished mechanism (prior to anchoring in the set) should be protected against static electricity and dust.
The mechanism must be stored that damaging outside forces are not received.
• When carrying the finished mechanism, hold it by the chassis body
• For proper operation, storage and operating environment should not contain corrosive gases. For example H2S, SO2,
NO2, CI2 etc. In addition storage environment should not have materials that emit corrosive gases especially from
silicic, cyanic, formalin and phenol group. I the mechanism or the set, existence of corrosive gases may cause no
rotation in motor.

4. Determining whether the laser pick-up is defective


• Check the Iop(Laser drive current). Check lop in "SERVICE MODE". (Refer to 26 page.)
• If the present Iop (current) value becomes more than 50mA, replace the Traverse unit with a new one.
• No mechanical adjustment is necessary after the replacement.

Protective soldering place for laser diode.

19
SPECIAL MODE s

Special mode setting


Plug AC cord into power outlet while pressing buttons A and B.
Each button continue to press until the lit of ENTER and 1/ 3.

No. Mode Button A Button B Contents

Initialization mode
1 VOLUME UP STOP Initializing. Factory Reset
(Factory Reset)
Initialization mode VOLUME
2 VOLUME UP Initializing. (Contents User's Guide is published)
( User Reset) DOWN
3 Version display CURSOR UP - Version Display
ON/ VOLUME
4 CD test mode Servo adjustment, Laser current, ON time
STANDBY DOWN
ON/
5 Heat Run mode INPUT Heat run
STANDBY
6 Product mode 1 STOP INPUT Factory use.
REVERSE-
7 Product mode 2 VOLUME UP Factory use.
SKIP
8 Protection history mode ENTER CURSOR UP Protection history view and reset
9 Hidden serial number mode - - Factory use.
VOLUME REVERSE-
10 Update mode (by disc) Development/Factory use. The details cannot be disclosed. g
DOWN SKIP
VOLUME FORWARD-
11 DPMS compulsion update mode DPMS Up date Mode
DOWN SKIP
Compulsion update mode REVERSE-
12 PLAY/ PAUSE Development/Factory use. The details cannot be disclosed. g
(by RS232C) SKIP
FORWARD-
13 MAC Address rewrite mode INPUT Development/Factory use. The details cannot be disclosed. g
SKIP
14 Update mode (display µ-com) VOLUME UP INPUT Development/Factory use. The details cannot be disclosed. g
FORWARD-
15 Access to development server mode INPUT Development/Factory use. The details cannot be disclosed. g
SKIP

CURSOR d ENTER 2 8 1/3 9

ON/ INPUT VOLUME f VOLUME d


STANDBY

20
1. Initialization mode (Factory Reset)
Backup data initialization is carried out. Refer to Initialization Items.
After initialization, move on to normal mode.
CAUTION
Version information (such as rewriting failed log) Clear.
Clear the history of protection.
"Initial value of laser current"and"The accumulated laser on time"not cleared.
Power failure flag is not cleared.
Startup display
All lights display(2 seconds) - All off display(2 seconds)
All LED lighting (4 seconds)
Enter(white), (white), Timer LED(red)
"Factory Reset" displayed for 5 seconds.

Initialization Items (Default setting)


Default
source Internet Radio
TUNER(band) FM(without DAB), DAB(with DAB)
DBB OFF
BASS 0 dB
TREBLE 0 dB
BALANCE CENTER
DIMMER 100%
VOLUME 0
TUNER Preset Clear all
Favorite list Clear all
Clock 00:00 (JP/EU), 12:00AM (NA)
TIMER (EVERYDAY/ONCE) Timer function CD/Disc
ON TIME 0:00 (JP/EU), 12:00AM (NA)
OFF TIME 0:00
SPK Response Flat
iPod mode Direct mode
AUTO STANDBY OFF
DAB Module Module Initialization
Protection history NO PROTECT
Auto Adjust Time Zone : 1h /Summer Time : 0h
Network setting DHCP (On)
PC Language chinese(simplified)
Screen Saver Off
IR Setting Enable

21
2. Initialization mode (User Reset)
Backup data initialization is carried out. Refer to Initialization Items.
After initialization, move on to normal mode.
CAUTION
The difference is the following two points.
Version information (such as rewriting failed log) not cleared.
History of protection not cleared.
Startup display
"Initialized" displayed for 5 seconds.

All lights display(2 seconds) - All off display(2 seconds)


All LED lighting (4 seconds)
Enter(white), (white), Timer LED(red)

3. Version display
Menu items appear in the Add Version.Otherwise, normal operation.
To exit this mode, unplug the power cord.
Startup display
"Version" displayed for 5 seconds.

How to Display Version


Press INPUT and Cursor 0 , Cursor 1 to select the Menu.
Press ENTER button.

Internet Radio Music Server Last.fm Napster iPod/USB M-XPort


z1

DAB FM AM DISC AUX1

AUX2 AUX3 AUX4(OPT) Menu Favorite

22
Pressing the ENTER button will be displayed below.

Press Cursor 1

The version of the system-uP.

Press Cursor f

The version of the boot loader(system-uP).

Press Cursor f

The version of the display-uP.

Press Cursor f

The version of the boot loader(network-uP).

Press Cursor f

The version of the image(network-uP).

Press Cursor f

Serial number displayed.


(Serial:MZ_bccdddddd, MZ:Fixed, b:One digits of the year, cc:Week number, dddddd:Serial number)

23
4. CD test mode
Startup display
"CD Test Mode" displayed for 5 seconds.

CDCD TEST MODE display

To exit this mode, unplug the power cord.

4.1. Before starting the test


Open the tray and disc.
Even if the disk is, the tray must let OPEN → CLOSE.
Press the 2 button to return to "0 CD TEST MODE".

4.2. Servo check


Press 1/ 3 button.Execute the following steps.
* 1/ 3 button continuously for over 1 second to switch directly to SUB CODE readout in stepq.
Press the 2 button to return to "0 CD TEST MODE".

Press 1/ 3 button

q LD ON

Press 1/ 3 button

w FOCUS ON

Press 1/ 3 button

e CLV ON

Press 1/ 3 button

24
r TRACKING ON

Press 1/ 3 button

t SUB CODE readout (playback sound output)


The BER (Block Error Rate) generated in 1second's time is displayed of the display.

Press 8 button Press 9 button

Press 8 button Press 9 button

Inner (IN), Ataru Amane (MID), outer (OUT) to play go to three places, make the error count.

4.3. Pickup movement


In the stop mode, pickup moves in FWD (outwards) or REV (inwards) direction when 9 or 8 button pressed.
Pickup movement stops when button released.(Pickup moves while button is pressed.)
When 8 button pressed, move to stop operation after detection that inner switch has turned on.

25
4.4. All servo on and auto adjustment.
When Cursor d button is pressed, all servos turn on, auto adjustment is performed and switch to playback operation.
(Playback sound output)
Stop (stop to the playback state after auto adjustment)
When 2 button is pressed, play operation and servo stop. The following will be displayed.
After stopping, conduct reading of auto adjust values.

Stop (stop to the playback state after auto adjustment)


When Cursor d/ f button is pressed, the adjustment values are displayed in the following order.
qFOCUS BALANCE
wFOCUS GAIN
eTRACKING BALANCE
rTRACKING GAIN
tFOCUS OFFSET
yTRACKING OFFSET
uRFRP
(Caution) If you have not completed the adjustment, the value is not correct.

4.5. All servo on and auto adjustment.


When Volume d button is pressed for over 1 second while the M-CR603 is in the CD TEST MODE, the laser turns on
and the laser current is measured.

The laser drive current undergoes A/D conversion for calculation.


The current value is updated every 3 seconds.
Press the 2 button, CD TEST MODE display reappears.
Stored data is not cleared, even when the M-CR603 is reset(Factory/User).

Overwriting the stored data


When the 1/ 3 button is pressed for over 5 seconds while the laser current is displayed, the current value is stored in
the EEPROM (overwriting the stored data).

Once rewriting is completed, the display in "Laser current display" reappears.


Rewriting is performed upon shipment from the factory and when the mechanism is replaced.

26
4.6. Accumulated laser on time display
When the Volume f button is pressed while the M-CR603 is in the "CD TEST MODE"displayed, the accumulated laser
on time is displayed.

The laser drive times are added and the result is displayed.
One count corresponds to 10 minutes.The accumulated laser on time is displayed in hours.Displays up to 10922 hours.
Press the 2 button, CD TEST MODE display reappears.
The count values are not cleared, even when the set is reset (Factory/User).

Count value is reset


When the 1/ 3 button is pressed for over 5 seconds while the accumulated laser on time is displayed, the count value is
reset.

Count value is reset upon shipment from the factory and when the mechanism is replaced.

5. CD heat run mode


Startup display
"Heatrun Mode" displayed for 5 seconds.CD heat run mode

Press the Cursor d/ f button to switch the mode. (H.R. Normal, H.R. Short, H.R. Chacking)
After loading the disc, press Enter button.
While heat run, the operation of each button is not valid
If an error occurs, display the error and stop operation at that point.Refer to Heat run error code table.
To exit this mode, unplug the power cord.

5.1. Normal heat run mode


Playing from the first track to last track on disc. After disc playback has finished, then tray open and close. And playback
again.
The heat run repetition no. is incremented (increased by 1) when the tray is opened.
Repeat this operation.
[H.R. Normal] displayed.

Select "H.R.Normal", and press ENTER button.


Press the Cursor d button to display the count.

27
5.2. Heat run Short mode
Playing last track on disc. After disc playback has finished, then tray open and close. And playback again.
The heat run repetition no. is incremented (increased by 1) when the tray is opened.
Repeat this operation.
[H.R. Short] displayed.

Select "H.R.Short", and press ENTER button.


Press the Cursor d button to display the count.

5.3. Heat run chacking mode


TOC read the CD, the first song disk search, open / closed later, and again read TOC.
The heat run repetition no. is incremented (increased by 1) when the tray is opened.
Repeat this operation.
[H.R. Chacking] displayed.

Select "H.R.Chacking", and press ENTER button.


Press the Cursor d button to display the count.

5.4. Error display


Press the Cursor d/ f to display the error information.

Heat run error code table


Error Code Details of Error code
E1-00 Disc cannot be detected
E1-01 Tracking offset adjustment not possible
E1-02 Focus offset adjustment not possible
E2-00 Focus servo dropped during playback.
E2-01 Focus servo dropped during searching.
E2-03 Focus servo dropped during TOC reading.
E2-05 Focus servo dropped during manual search.
E2-10 Subcode can no longer be read during playback
E2-11 Subcode can no longer be read during searching
E2-12 Subcode can no longer be read during TOC reading
E2-14 Subcode cannot be read during pause
E2-15 Subcode cannot be read during manual search
E3-00 TOC could not be read within specified time
E3-01 PVD/SVD analysis could not be completed within specified time
E4-04 Search time out (The search was not completed within the stipulated time)
E4-05 Decoder bus error (Error in communications with CD decoder)
E5-00 Inner switch not on
E6-00 Inner switch not off
E8-00 Tray is not opened by the specified time.
E8-01 Tray is not closed by the specified time.
E9-00 CD Microprocessor error
E9-01 Other error

28
6. Product Mode 1
Startup display
"Product Mode1" displayed for 5 seconds.

Tested during production to perform the following settings automatically.


Tuner Preset
To exit this mode, unplug the power cord.

7. Product Mode 2
Startup display
"Product Mode2" displayed for 5 seconds.

Tested during production to perform the following settings automatically.


Sleep setting : 1 minutes
Auto Standby :1 minutes
Sleep setting and timer started.
Auto Standby to set up and will stand under the following conditions.
Auto Standby Conditions
CD/Disc : No Disc, Tray Open, Unsupported Disc or continue no operation and Stop state.
USB/iPod : No Connection or Unsupported Disc or continue no operation and Stop state.
Network : No Connection or Unsupported Disc or continue no operation and Stop state.
Digital In : No Input(unlock)
Portable In, M-XPort : No Input
To exit this mode, unplug the power cord.

8. Protection history display mode


Startup display
"Detect Protection" displayed for 5 seconds.

To exit this mode, unplug the power cord.


No history found

Thermal protection

Speaker short protection

29
Potential difference across the terminal detects that the speaker was more than DC9V

DC protect 1

Overvoltage of +B/-B

DC protect 2

Short of '+B/-B

Over current

Over-current detection digital amplifier

SubWoofer DC protect

Over voltage

Supply voltage is detected beyond the provisions

Protection history is reset.


When the Cursor d button is pressed for over 5 seconds while the protection history is displayed, the count value is
reset.

After the reset is complete, the display “No Protection“.

Protection history is not cleared, even when the set is reset (Factory/User).

30
9. Hidden serial number mode
Serial number writing mode.
This mode is for production only, not described in detail.

10. Update mode (by disc)


Firmware update disc by.
When you replace the ETHERNET PCB ASS'Y (8U-310055S), you need software updates.
Refer to [ VERSION UPGRADE PROCEDURE OF FIRMWARE "1. How to update by disc" ].
Upgrade by DPMS. This mode describes a display only.
To exit this mode, unplug the power cord.

Startup display
"Disc Update Mode" displayed for 5 seconds.

The tray will open.

Insert disc.

The following states are displayed

Display update file.

Updating display

31
Error code table
Error Code Details of Error code
01 Tray Open/Close failure
02 No Disc
03 Disc error(TOC not read )
04 No update file
05 Update file read error
10 Update file check sum error
11 Erase failure
12 Write failure
13 Verify incorrect
20 Update file check sum error
21 Erase failure
22 Write failure
23 Verify incorrect
24 Communication error
30 Update failure check sum error
31 Erase failure
32 Write failure
33 Verify failure
34 Communication error
B2 DM8x0 firmware rewrite error information received

11. DPMS compulsion update mode j


Update the firmware of DPMS.
Refer to [ VERSION UPGRADE PROCEDURE OF FIRMWARE "2. How to update by DPMS" ].

Error code table


• Preparation operation rewritten, Update error code checking. (Check ETHERNET unit)
Error
Details of Error code Coping strategies
Code
01 Login failed(DPMS Access Login Incorrect notification) Reset and update again. Carry out the update in an environment that has little network load.
02 Login failed(DPMS Access Server Busy information) Carry out the update in an environment that has little network load.
Check the network connection. Carry out the update in an environment that has little
03 Login failed(DPMS Access link failure information)
network load.
Check the network connection. Carry out the update in an environment that has little
04 Firm Info response acquisition error recived
network load.
Check the network connection. Carry out the update in an environment that has little
05 Firm Info response acquisition TimeOut
network load.
Check the network connection. Carry out the update in an environment that has little
06 All Firm Info response acquisition error recived
network load.
Check the network connection. Carry out the update in an environment that has little
07 All Firm Info response acquisitionTimeOut
network load.
Check the network connection. Carry out the update in an environment that has little
08 Main Firm Info response acquisition error recived
network load.
Check the network connection. Carry out the update in an environment that has little
09 Main Firm Info response acquisition TimeOut
network load.
Check the network connection. Carry out the update in an environment that has little
0A DownLoad failed ((NG)information recieved)
network load.
Check the network connection. Carry out the update in an environment that has little
0B DownLoad failed((ServerBusy) information recieved)
network load.
Check the network connection. Carry out the update in an environment that has little
0C DownLoad failed((connection failed)information recived)
network load.

32
• Firm error codes at the main microprocessor rewritten. (Check main microprocessor )
Error
Details of Error code Coping strategies
Code
Firm Info response acquisition TimeOut(Main rewrite
10 Turn off and on the power. Updating starts automatically.
Firmware recived failure(TimeOut))
Firm Info response acquisition recived error(Main rewrite
11 Turn off and on the power. Updating starts automatically.
Firmware recived failure(Error)
Firm Info response acquisition recived error (Main rewirte
12 Turn off and on the power. Updating starts automatically.
Firmware recived data incorrect(CheckSumError))
13 Rewrite failure (BlockErase failued before Main rewriting) Turn off and on the power. Updating starts automatically.
14 Rewrite failure (BlockWrite failued before Main rewriting) Turn off and on the power. Updating starts automatically.
15 Rewrite failure (Verify incorrect after Main rewriting) Turn off and on the power. Updating starts automatically.
36 Login failure(DPMSAccess Login incorrect infomation) Carry out the update in an environment that has little network load.
37 Login failure(DPMSAccess Server busy infomation) Carry out the update in an environment that has little network load.
Check the network connection. Carry out the update in an environment that has little
38 Login failure(DPMSAccess connection failed infomation)
network load.
Check the network connection. Carry out the update in an environment that has little
39 Login failure(DPMSAccess access TimeOut)
network load.
DownLoad failure(Download error (NG)information Turn off and on the power. Updating starts automatically. Carry out the update in an
3A
recieved) environment that has little network load.
DownLoad failure(Download error (ServerBusy) Turn off and on the power. Updating starts automatically. Carry out the update in an
3B
information recieved) environment that has little network load.
DownLoad failure(Download error (connection failed) Turn off and on the power. Updating starts automatically. Carry out the update in an
3C
information recived) environment that has little network load.

• Firm error codes at the display microprocessor rewritten. (Check display microprocessor)
Error
Details of Error code Coping strategies
Code
50 After download request, Login Failed Carry out the update in an environment that has little network load.
51 After download request, Login Failed Carry out the update in an environment that has little network load.
Check the network connection. Carry out the update in an environment that has little
52 After download request, Login Failed
network load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
54 Error receiving response FirmInfo acquisition
environment that has little network load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
55 FirmInfo Get Response TimeOut
environment that has little network load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
56 Failure of DownLoad
environment that has little network load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
57 Failure of DownLoad
environment that has little network load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
58 Failure of DownLoad
environment that has little network load.
5A C command error Turn off and on the power. Updating starts automatically.
5B L command error Turn off and on the power. Updating starts automatically.
5C Receive error update data Turn off and on the power. Updating starts automatically.
5D Receive error update data Turn off and on the power. Updating starts automatically.
5E Receive error update data Turn off and on the power. Updating starts automatically.
5F Receive error update data Turn off and on the power. Updating starts automatically.
60 P command error Turn off and on the power. Updating starts automatically.
61 I command error Turn off and on the power. Updating starts automatically.
62 Launch failure Turn off and on the power. Updating starts automatically.
70 T command error Turn off and on the power. Updating starts automatically.

33
• DM8x0 system Firm error codes when rewriting. (Check ETHERNET unit)
Error
Details of Error code Coping strategies
Code
Check the network connection. Carry out the update in an environment that has little
A0 Net not connected
network load.
Check the network connection. Carry out the update in an environment that has little
A1 Net Connection TimeOut can not get status
network load.
Check the network connection. Carry out the update in an environment that has little
A2 Login failed
network load.
Check the network connection. Carry out the update in an environment that has little
A3 Login failed
network load.
Check the network connection. Carry out the update in an environment that has little
A4 Login failed
network load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
A6 Error receiving response FirmInfo acquisition
environment that has little network load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
A7 FirmInfo Get Response TimeOut
environment that has little network load.
Check the network connection. Carry out the update in an environment that has little
A8 Net not connected
network load.
Check the network connection. Carry out the update in an environment that has little
A9 Net Connection TimeOut can not get status
network load.
Check the network connection. Carry out the update in an environment that has little
AA After download request, Login Failed
network load.
Check the network connection. Carry out the update in an environment that has little
AB After download request, Login Failed
network load.
Check the network connection.Carry out the update in an environment that has little network
AC After download request, Login Failed
load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
AE Failure of DownLoad
environment that has little network load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
AF Failure of DownLoad
environment that has little network load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
B0 Failure of DownLoad
environment that has little network load.
Turn off and on the power. Updating starts automatically. Carry out the update in an
B2 Update error
environment that has little network load.

Failure to update, After the move again 8x0 self display retry processing

12. Compulsion update mode (by RS232C)


Firmware update using PC via RS232C
Upgrade by DPMS. No display.
To exit this mode, unplug the power cord.

13. MAC Address rewrite mode


Rewriting the MAC address mode.
Production / development for, there is no detailed description.
To exit this mode, unplug the power cord.

14. Update mode (display µ-com)


Rewriting of display microprocessor.
Production / development for, there is no detailed description.
To exit this mode, unplug the power cord.

15. Access to development server mode


Production / development for, there is no detailed description.
To exit this mode, unplug the power cord.

34
ABOUT REPLACE THE MICROPROCESSOR WITH A NEW ONE
When replaced of the U-PRO (Microprocessor) or the Flash ROM, confirm contents of the following.
After
PWB Name Ref. No. Description Remark
replaced
DISPLAY IC81 R5F64169DFD B
MAIN IC11 R5F64169DFD B

After replaced
A : Mask ROM (With software). No need write-in of software to the microprocessor.
B : Flash ROM (With software). Usually, no need write-in of software. But, when the software was updated, you should be
write-in of the new software to the microprocessor or flash ROM. Please check the software version.
C : Empty Flash ROM (Without software). You should be write-in of the software to the microprocessor or flash ROM.
Refer to "Update procedure" or "writing procedure", when you should be write-in the software.

VERSION UPGRADE PROCEDURE OF FIRMWARE


NOTE: When you replace the ETHERNET PCB ASS'Y (8U-310055S), you need software updates.
Refer to [CAUTION IN SERVICING "About replace the ETHERNET PCB ASS'Y withe a new one"]. h

1. How to update by DISC h


1.1. Procedure of making CD-R
(1) Please download zipped file, and unzip on your PC.
For EU : MCR603EU_xxxxxxxxxxxx-xxxx.zip
For NA : MCR603NA_ xxxxxxxxxxxx-xxxx.zip

(2) The following 3-file will be appeared.


M_CR603_SYS_000xxx.BIN
M_CR603_OEL_0000xx.BIN
and
M_CR603_BCI_CREUNM.BIN(EU)
or
M_CR603_BCI_CRNANM.BIN(NA)
or
M_CR603_BCI_CRJPNM.BIN(JP)

(3) Please burn 3-file to CD-R with the following conditions.


q Multi Session:No
w File System:ISO9660+Joliet
e Mode: MODE1
r Writing speed: 8~16 speed (according ability of CD-R)
t Writing method: Disc at Once (Finalize(Close)

1.2. Procedure of updating


Pressing the VOLUMEf and 8 buttons for simultaneously, plug the AC cord into a power outlet.

(1) "Disc Update Mode" is indicated approximately 5 seconds.

(2) Open the Tray.

35
(3) Please put CD-R on Tray, and press 5 button.

(4) After loading CD-R, version number of firmware will be indicated.

xxxxxx : Version number

(5) If there is no any firmware of updating, [xxxxxx="None"] will be indicated.


Please confirm version of each firmware by Cursor d / f buttons.

(6) Press "Enter" button, unit will update firmware automatically.


Display will be indicated procedure of updating firmware.
First line : Version of updating firmware/ a number of firmware
Second line : Rest time and progress of updating (….%)
Third line : Name of Microprocessor and Version
b while updating firmware, any buttons of R/C and Front panel are not worked.

---Order of updating---
q Network microprocessor (Boot Loader)
w Network microprocessor (Image)
e Microprocessor for indication
r Microprocessor for system

(7) After 27 minutes, unit will be updated completely, and open the tray automatically.

(8) Remove CD-R, and pull out AC cord from AC wall socket.

(9) Please initialize unit after updating.

q Please insert AC cord to AC wall socket while pressing Volumed button and Volumef button.
w After power LED is indicated, remove your finger.
e "Initialize" will be indicated 5 seconds.
r Pull out AC cord from AC wall socket.

(10) Updated unit completely.

36
1.3. Trouble Shooting
If you find the following Error codes, please solve root cause of malfunction.
(1) If updating is failed, the following ERROR indication is displayed.

First line (Error number): "Updating fail: YY, n/N"YY: Error number
Second line (Time on error): "xx min yy%"
Third line (name of firmware)

Error code table


Error Code Details of Error code
q Error before updating
01 Tray Open/Close failure
02 No Disc
03 Disc error(TOC not read )
04 No update file
05 Update file read error
w Error at writing System Microprocessor
10 Update file check sum error
11 Erase failure
12 Write failure
13 Verify incorrect
e Error at writing Display Microprocessor
20 Update file check sum error
21 Erase failure
22 Write failure
23 Verify incorrect
24 Communication error
r Error at writing DM8x0
30 Update failure check sum error
31 Erase failure
32 Write failure
33 Verify incorrect
34 Communication error
B2 DM8x0 firmware rewrite error information received

1.4. Notice of updating firmware


(1) When unit is updating firmware on item "1.2. Procedure of updating (6)", do not turn off the power, or pull out AC
cord from AC wall socket.

(2) If power supply stop to supply microprocessor, unit will be broken.


q When AC cord is pulled while updating System firmware.
⇒ System microprocessor will be broken. Please replace it.
w When AC cord is pulled while updating Display firmware.
⇒ Display microprocessor will be broken. Please replace it.
e When AC cord is pulled while updating DM870Net (IMG) firmware.
⇒ Please update firmware by CD-R again.

(3) When updating is not finished (not 100%), but tray opens automatically over 27 minutes. Probably unit will be
updated firmware completely, therefore please confirm version of firmware. If no, please update again.

(4) After 35 minutes, try does not open or try does open with error indication, please update firmware of unit with CD-R
again.

37
2. How to update by DPMS
You can update by downloading the latest firmware from the Internet.
1. Connecting to the Network
(1) System Requirement
・ Internet Connection by Broadband Circuit
・ Modem
・ Router
・ Ethernet cable (CAT-5 or greater recommended)
(2) Setting
Internet
Computer

Modem LAN port/


Ethernet
connector

To WAN port
Router

To LAN port
To LAN port
ETHERNET
connector

2. Check for Update and Update s


Check if the latest firmware exists. You can also check approximately how long it will take to complete an update.
(1) Press ON/STANDBY button to turn on power.
(2) Press INPUT and Cursor 0 / 1 buttons to select the Menu. Press the ENTER button.
・ Press Cursor d / f buttons select to Others. Press <ENTER>.
・ Press Cursor d / f buttons select to Firmware Update. Press <ENTER>.
・ Press Cursor d / f buttons select to CHECK For UPDATE.
(3) Press the ENTER button.
・ The latest version of the firmware uploaded to the web is displayed.
・ If the latest firmware version is on the web, proceed to (4).
・ If the latest firmware is already installed, press the MENU button to close the Update menu.
(4) Press ENTER button. Select YES, then press ENTER button.
(5) To start the update.
--- Cautions on Firmware Update ---
・ In order to use these functions, you must have the correct system requirements and settings for a broadband Internet
connection.
・ Do not turn off the power until updating is completed.
Even with a broadband connection to the Internet, approximately about 1 hour is required for the updating procedure
to be completed.
Once updating starts, normal operations on the M-CR603 cannot be performed until updating is completed.
Also, setting items of the image adjustment may be initialized.
Make a note of the settings before updating, and set them again after updating.

38
TROUBLE SHOOTING
1. OLED dosen't light
Check Power Supply Voltages for System µ-com. Check Soldering.
NG
MAIN B'D • [IC12] on MAIN B'D
• +6V_CPU, [CN43] : 4pin • [CN43] : 4pin
• +3.3V_CPU, [IC12] : 3pin • SMPS B'D

OK

Check Reset signal for System µ-com.


NG
MAIN B'D Check Soldering.
• [IC11] : 19pin RESET • [IC11] on MAIN B'D
"H" level O.K.?

OK

Check Oscillation waveform.


NG
MAIN B'D Check Soldering.
• [IC11] : 20pin • [X202] on MAIN B'D
16MHz OK?

OK

Check Reset signal for OLED µ-com.


NG
DISPLAY B'D Check Soldering.
• [IC81] : 19pin RESET • [IC81] on DISPLAY B'D
"H" level O.K.?

OK

Check Oscillation waveform.


NG
DISPLAY B'D Check Soldering.
• [IC81] : 20pin • [X802] on DISPLAY B'D
16MHz OK?

OK

Check Power Supply Voltages for OLED.


NG Check Soldering.
DISPLAY B'D
• [CN88] on DISPLAY B'D
• [CN84] : 24pin +3.3V
• [CN41] on MAIN B'D
• [CN84] : 3pin +16V

OK

Check Reset Signal for OLED.


DISPLAY B'D NG Check Soldering.
• [CN84] : 20pin • [IC81] on DISPLAY B'D
"H" level O.K.?

OK

Check OLED drive Signal. NG Check Soldering.


DISPLAY B'D
• [IC81] on DISPLAY B'D
• [CN84] : 13-6pin DA0-DA7

END

39
2. No Sound,Noise generated
2.1. COMMON

Check Power Supply Voltages for CODEC.


Check Soldering.
MAIN B'D NG
• [IC13] : 3pin +5V
• [IC41] : 1,20,33,43,50pin VDD +5V
• [CN45] : 10pin +3.3VD
• [IC41] : 18pin VDD +3.3V
O.K.?

OK

Check Power Supply Voltages for PWM CONTROLLER.


NG Check Soldering.
MAIN B'D
• [CN45] : 10pin +3.3VD
• [IC51] : 9,15,36,54pin
• POWER B'D
VDD +3.3V OK?

OK

Check Power Supply Voltages for AMP IC.


MAIN B'D Check Soldering.
• [IC71, IC72] : 1,36,18,19pin NG • [CN45] : 2pin +12V
GVDD +12V OK? • [CN61] : 1,2,3pin +29V
• [IC71, IC72] : 21,26,29,34pin • POWER B'D
PVDD +29V(Bi-Amp : +19V) OK?

OK

Check Reset signal for PWM CODEC.


NG
MAIN B'D Check Soldering.
• [IC41] : 25pin 4683_RESET • [IC11] : 102pin 4683_RESET on MAIN B'D
"H" level O.K.?

OK

Check Reset signal for PWM CONTROLLER.


NG
MAIN B'D Check Soldering.
• [IC51] : 11pin RESET • [IC11] : 95pin RESET_5508 on MAIN B'D
"H" level O.K.?

OK

Check Reset signal for AMP IC.


MAIN B'D NG Check Soldering.
• [IC71,IC72] : 5,15pin VALID • [IC51] : 39pin VALID on MAIN B'D
"H" level O.K.?

OK

Check Oscillation waveform.


NG
MAIN B'D Check Soldering.
• [IC41] : 22pin TXO • [X401] on MAIN B'D
12.288MHz OK?

OK

Check Oscillation waveform.


MAIN B'D Check Soldering.
• [IC51] : 19pin XTL_O NG • [X501] on MAIN B'D
13.5MHz OK?
• [IC51] : 63pin MCLK • [IC41] : 17pin 5508_MCLK on MAIN B'D
MCLK OK?

OK

Check control signal for CODEC.


NG Check Soldering.
MAIN B'D
• [IC11] : 105,106,107pin
• [IC41] : 26,27,28pin
4683_CDTI,4683_CCLK,4683_CSN
4683_CDTI,4683_CCLK,4683_CSN

OK

40
Check control signal for PWM CONTROLLER.
MAIN B'D
Check Soldering.
• [IC51] : 24,25pin NG • [IC11] : 94,92pin
5508_SDA, 5508_SCL
5508_SDA, 5508_SCL on MAIN B'D
• [IC51] : 13,14pin
• [IC51] : 13,14pin PDN,MUTE on MAIN B'D
PDN,MUTE
"H" level O.K.?

END

2.2. CD PLAY

Check Power Supply Voltages for CD. NG Check Soldering.


MAIN B'D • MAIN B'D [CN45] : 6pin +7V, 10pin +3.3V_D
• [CN45] : 6pin +7V [BN58] : 1,3pin +3.3VD, +5V
• [CN45] : 10pin +3.3V_D • SMPS B'D

OK

Check Power Supply Voltages for CD. NG


Check Soldering.
MAIN B'D
• [IC18] on MAIN B'D
• +1.5VD [IC18] : 2pin 1.5V OK?

OK

Check Reset signal for CD.


MAIN B'D NG
Check Soldering.
• [IC21] : 69pin /RST "H" level O.K.? • [IC11] : 78pin DECRST on MAIN B'D
• [IC11] : 78pin DECRST "H" level O.K.?

OK

Check Oscillation waveform.


NG
MAIN B'D Check Soldering.
• [IC21] : 49pin XO • [X201] on MAIN B'D
16.9344MHz OK?
OK

Check Digital Audio Data for CODEC. NG Check Soldering.


MAIN B'D • [IC21] : 33pin CD_SPDIF on MAIN B'D
• [IC41] : 2pin CD_SPDIF • [IC41] : 2pin CD_SPDIF on MAIN B'D

OK

z
Check Digital Audio Data output from CODEC. NG
Check Soldering.
MAIN B'D
• [IC41] : 13,15,16pin on MAIN B'D
• [IC41] : 13,15,16pin
5508_LRCK,5508_SCLK,5508_SDATA

OK

Check Digital Audio Data input for PWM CONTROLLER.


NG
MAIN B'D Check Soldering.
• [IC51] : 26,27,28pin • [IC51] : 26,27,28pin on MAIN B'D
5508_LRCK,5508_SCLK,5508_SDATA

OK

41
Check PWM Audio Data output from PWM CONTROLLER.
MAIN B'D
SPEAKER A NG Check Soldering.
• [IC51] : 46,47,42,43pin • [IC51] on MAIN B'D
SPEAKER B
• [IC51] : 44,45,40,41pin

OK

Check PWM Audio Data output for PWM CONTROLLER.


MAIN B'D
SPEAKER A NG Check Soldering.
• [IC71,IC72] : 4,6pin • [IC71,IC72] on MAIN B'D
SPEAKER B
• [IC71,IC72] : 14,16pin

OK

Check Audio Data output from AMP to SPEAKER.


MAIN B'D
SPEAKER A
Check Soldering.
• [IC71,IC72] : 30,33pin NG
• [IC71,IC72] on MAIN B'D
• [JK71]
• [JK71] on MAIN B'D
SPEAKER B
• [IC71,IC72] : 22,25pin
• [JK71]

END

42
2.3 . AM/FM TUNER-in

Check Soldering.
Check Power Supply Voltages for AM/FM TUNER.
NG • [CN45] : 2pin +12V on MAIN B'D
MAIN B'D
• SMPS B'D
• [CN31] : 4pin +9V
Check Parts
• [CN45] : 2pin +12V
• [IC26]

OK

Check Analog Audio Data output from TUNER PACK.


Check Soldering.
MAIN B'D NG
• [CN31]
• [TUNER PACK] output and [CN31]
: 7,5 pin TUNER_L_IN, TUNER_R_IN on MAIN B'D
: 7,5pin TUNER_L_IN, TUNER_R_IN
• [C426],[C427] on MAIN B'D
• [IC41] : 59,60pin

OK

to 2.2. CD PLAY z Mark

2.4 . DAB TUNER-in (N Version)

Check Power Supply Voltages for DAB TUNER.


Check Soldering.
MAIN B'D
NG • [CN32] : 9pin +5V on MAIN B'D
• [CN32] : 9pin +5V
• [CN45] : 12pin +5V on MAIN B'D
• [CN45] : 12pin +5V
• [CN91] : 9pin +5V on DAB B'D
DAB B'D
• SMPS B'D
• [CN91] : 9pin +5V

OK

Check Power Supply Voltages for DAB TUNER.


DAB B'D
NG Check Soldering.
• +3.3V [IC91] : 3pin +3.3V OK?
• [IC91], [IC92] on DAB B'D
• +3.3V [IC92] : 3pin +1.2V OK?
• [CN92] on DAB B'D
• [CN92] : 3pin +3.3V
• [CN92] : 1pin +1.2V

OK

Check Digital Audio Data output from DAB TUNER.


MAIN B'D Check Soldering.
• [CN32] : 5pin DAB_SPDIF NG • [CN32] : 5pin DAB_SPDIF on MAIN B'D
• [IC41] : 6pin DAB_SPDIF • [CN41] : 6pin DAB_SPDIF on MAIN B'D
DAB B'D • [CN92] : 20pin DAB_SPDIF on DAB B'D
• [CN92] : 20pin DAB_SPDIF • [CN91] : 5pin DAB_SPDIF on DAB B'D
• [CN92] : 5pin DAB_SPDIF

OK

to 2.2. CD PLAY z Mark

2.5. AUX1 (Front-in)

Check Analog Audio Signal from AUX1.


NG Check Soldering.
FRONT B'D
• [JK83] on FRONT B'D
• [AUX1] input
• [BN81] on FRONT B'D
• [BN81] : 1,3pin AUX_L_IN,AUX_R_IN

OK

Check Audio Data input from DISPLAY B'D. NG Check Soldering.


MAIN B'D • [CN44] : 1,3pin AUX1_L_IN, AUX1_R_IN
• [IC41] : 61,62pin AUX1_L_IN, AUX1_R_IN • [C428], [C429] on MAIN B'D

OK

to 2.2. CD PLAY z Mark

43
2.6 . AUX2/AUX3

Check Analog Audio Signal from AUX2/AUX3.


Check Soldering.
MAIN B'D NG
• [JK63] on MAIN B'D
• [AUX2], [AUX3] input
• [C422], [C423] on MAIN B'D
• [IC41] : 55,56pin AUX2_L_IN, AUX2_R_IN
• [C420], [C421] on MAIN B'D
• [IC41] : 53,54pin AUX3_L_IN, AUX3_R_IN

OK

to 2.2. CD PLAY z Mark

2.7. AUX4 (OPTICAL-in)

Check Power Supply Voltages for OPTICAL Input IC. Check Soldering.
NG
MAIN B'D • [JK64] : 3pin +3.3V
• [JK64] : 3pin +3.3V • [CN45] : 10pin +3.3V
• [CN45] : 10pin +3.3V • SMPS B'D

OK

Check Digital Audio Data from OPTICAL Input IC NG Check Soldering.


MAIN B'D • [IC42] on MAIN B'D
• [IC42] : 3,5pin OPT_IN • [IC41] OPT_IN on MAIN B'D
• [IC41] : 5pin OPT_IN

OK

to 2.2. CD PLAY z Mark

44
2.8 . USB/ETHERNET s
Check Soldering.
• [N0103] : 1,2pin +6V on ETHERNET B'D
Check Power Supply Voltages for ETHERNETNET B'D. • [N0103] : 5,6pin +15V on ETHERNET B'D
NG
ETHERNET B'D • [BN62] : 1,2pin +6V on MAIN B'D
• [N0103] : 1,2pin +6V • [BN62] : 5,6pin +15V on MAIN B'D
• [N0103] : 5,6pin +15V • [CN43] : 4pin +6V on MAIN B'D
• [CN45] : 9pin +15V on MAIN B'D
OK • SMPS B'D

Check Soldering.
Check Power Supply Voltages for USB. NG
• [N0101] : 1pin +5V on ETHERNET B'D
ETHERNET B'D
• [BN62] : 1pin +5V on DISPLAY B'D
• [N0101] : 1pin +5V
• [JK81] on DISPLAY B'D

OK

Check Power Supply Voltages for DM860.


Check Soldering.
ETHERNET B'D NG
• [U0105] on ETHERNET B'D
• [U0105] : 3pin NET3.3V
• [U0104] on ETHERNET B'D
• [U0104] : 3pin NET1.2V
• [N4201] : 3pin on ETHERNET B'D
• [N4201] : 3pin E_POWER_CONT "H" level O.K.?

OK

Check Reset signal for ETHERNET B'D (DM860) Check Soldering.


NG
ETHERNET B'D • [N4201] : 15pin E_RESET on ETHERNET B'D
• [N4201] : 15pin E_RESET • [CN42] : 15pin E_RESET on MAIN B'D
"H" level O.K.? • [IC11] : 127pin E_RESET on MAIN B'D

OK

Check Digital Audio Data for CODEC.


ETHERNET B'D Check Soldering.
• [N4201] : 6pin 860_SPDIF NG • [N4201] : 6pin 860_SPDIF on ETHERNET B'D
MAIN B'D • [CN42] : 6pin 860_SPDIF on MAIN B'D
• [CN42] : 6pin 860_SPDIF • [IC41] : 4pin 860_SPDIF on MAIN B'D
• [IC43] : 3,5pin 860_SPDIF • [IC43] : on MAIN B'D
• [IC41] : 4pin 860_SPDIF

OK

to 2.2. CD PLAY z Mark

45
MEASURING METHOD AND WAVEFORMS
To check the waveforms, the GND (-) probe of the oscilloscope to specified reference voltage.
(Except for inner SW, TRVSW)

NOTES
Measuring Disc: CD/TCD-784
CD-R/TCD-R082W
CD-RW/TCD-W082W
(It is better to use wires for extending between the probe and test points.)
• When watching the HF waveform, use the extending wire as short as possible.
• When HF waveform is noisy or cannot discriminate the eye-pattern, replace the Traverse Unit after measuring the lop.
• Point q ~ Q5 is measured with the point shown below.

1. MAIN PCB : TEST POINT

A
Component side

Detail A Detail B
t e w q

A
y
r Q5
u
Q4
i Q3

46
C

Foil side

Detail C

Q2

C
Q1
Q0

No. Symbol No. Symbol Reference voltage


q TP RFEQO Ⓐ TP VC : q ~ i
w TP RFO Ⓑ C900 - DGND : Q3 ~ Q5
e TP FEI Ⓒ CN26(4) GND_DRV : o ~ Q2
r TP FOC1
t TP TEI
y TP TRO1
u TP FMO1
i TP DMO1
o CN26(3) OPSW
Q0 CN26(5) CLSW
Q1 CN26(2) LOAD+
Q2 CN26(1) LOAD-
Q3 R407 LRCK
Q4 R408 SCLK
Q5 R409 SDATA

47
2. WAVEFORMS
1. DISC PLAY RF WAVEFORM (EYE-PATTERN) 2. DISC DETECTION
CD(TCD784) PLAY CD(TCD784) DETECTION

wRFO 1V/div

eFEI 500mV/div

rFOO 1V/div

qRFEQO
tTEI 1V/div

yTRO 1V/div
uFMO 500mV/div
iDMO 1V/div

3. TOC READ 4. FOCUS ADJUSTMENT


CD(TCD784) READ CD(TCD784) FOCUS ADJUSTMENT
1.2 CD-R (TCDR082W) PLAY 2.2 CD-R (TCDR082W) DETECTION

wRFO 1V/div
wRFO 1V/div
eFEI 500mV/div
eFEI 500mV/div
rFOO 1V/div
rFOO 1V/div
RFEQO
qt TEI 1V/div
tTEI 1V/div
yTRO 1V/div
yTRO 1V/div
uFMO 500mV/div
uFMO 500mV/div
iDMO 1V/div
iDMO 1V/div

1.3
3.2CD-RW (TCDW082W) PLAY
CD-R (TCDR082W) READ 2.3 CD-RW (TCDW082W) DETECTION
4.2 CD-R (TCDR082W) FOCUS ADJUSTMENT

wRFO
1V/div 1V/div
wRFO
e FEI 500mV/div
eFEI 500mV/div
rFOO 1V/div
rFOO 1V/div
qRFEQO
tTEI 1V/div
tTEI 1V/div
yTRO 1V/div
yTRO 1V/div
uFMO 500mV/div
uFMO 500mV/div
iDMO 1V/div
iDMO 1V/div

3.3 CD-RW (TCDW082W) READ 4.3 CD-RW (TCDW082W) FOCUS ADJUSTMENT

wRFO 48
1V/div

eFEI 500mV/div
5. CD Playback

Q3LRCK

Q4SCLK

Q5SDATA

STANDARD GND
WF12 (9)

6. LOADER OPEN-CLOSE

oOPSW

Q0FCLSW

Q2LOAD-

Q1LOAD+
OPEN CLOSE

STANDARD GND

49
Personal notes:

50
BLOCK DIAGRAM

㩷 㪂㪊㪉㪭㪶㪛㪘㪤㪧
㩷㩷㩷㩷㩷㩷㩷㩷㪦㪣㪜㪛㩷㪛㪠㪪㪧㪣㪘㪰
㪂㪈㪏㪭㪶㪦㪣㪜㪛

㪂㪎㪭㪶㪚㪛
㩷㩷㩷㩷㩷㩷㪤㪦㪫㪦㪩

㪛㪘㪫㪘㩷㩽㩷㪚㪣㪦㪚㪢
㪦㪧㪜㪥㪆㪚㪣㪦㪪㪜 㪂㪈㪉㪭㪶㪘㪈
㩷㩷㩷㩷㩷㩷㩷㩷㪚㪛
㩷㩷㩷㩷㩷㩷㪤㪜㪚㪟㪘 㩷㩷㩷㩷㪠㪥㪫㪜㪩㪧㪠㪦㪥 㪄㪈㪉㪭㪶㪘㪈
㪣㪦㪛㪠㪥㪞㪂㪆㪄 㪈㪍㪤㪟㫑
㩷㩷㩷㩷㩷㩷㩷㪛㪩㪠㪭㪜㪩 㪂㪈㪌㪭㪶㪛
㩷㩷㩷㩷㩷㪠㪧㪋㪇㪇㪈㪚㪩㪣
㪂㪍㪭㪶㪚㪧㪬
㪚㪦㪥㪫㪩㪦 㩷
㪧㪠㪚㪢㪄㪬㪧
㪂㪊㪅㪊㪭㪶㪚㪧㪬
㪩㪝㪶㪛㪘㪫㪘

㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㪦㪣㪜㪛㩷㪬㪚㪦㪤
㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㪩㪼㫅㪼㫊㪸㫊
㪢㪠㪣㪣㩷㪠㪩
㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㪩㪌㪝㪍㪋㪈㪍㪐㪛㪝㪛
㪚㪦㪥㪫㪩㪦㪣 㪩㪜㪤㪦㪫㪜㩷㪠㪥 㪌㪇㪆㪍㪇㪶㪧㫌㫃㫊
㩷㩷㩷㩷㩷㩷㪚㪛㩷㪛㪪㪧
㩷㩷㩷㩷㩷㩷㪫㪦㪪㪟㪠㪙㪘

㪚㪦㪥㪫㪩㪦㪣
㩷㩷㩷㩷㩷㪫㪚㪐㪋㪘㪐㪉㪝㪞 㪧㪩㪦㪫㪜㪚㪫㩷㩽㩷㪆㪧㪦㪮㪜㪩㩷㪦㪥㪆㪦㪝㪝
㩷㩷㩷㩷㩷㩷㩷㪦㪘㪪㪠㪪 㪚㪦㪥㪫㪩㪦㪣

㪪㪛㪘㪆㪪㪚㪣 㩷 㪘㪬㪯㩷㪦㪬㪫
㩷㩷㪜㪜㪧㪩㪦㪤
㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㪪㪰㪪㩷㪬㪚㪦㪤
㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㪩㪼㫅㪼㫊㪸㫊
㪧㪩㪦㪫㪜㪚㪫㩷㩽㩷㪆㪧㪦㪥 㪪㪬㪙㪮㪦㪦㪝㪜㪩
㪚㪦㪥㪫㪩㪦㪣
㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㪩㪌㪝㪍㪋㪈㪍㪐㪛㪝㪛 㪦㪬㪫
㪪㪧㪛㪠㪝㪶㪚㪛
㪤㪄㪯㪧㪦㪩㪫㩷㪛㪜㪫㪜㪚㪫 㪟㪆㪧㩷㪦㪬㪫

㪛㪘㪫㪘㩷㩽㩷㪚㪣㪦㪚㪢
㩷㪫㪬㪥㪜㪩㩷㪤㪦㪛㪬㪣㪜
㪈㪍㪤㪟㫑
㩷㩷㩷㩷㩷㩷㩷㩷㪘㪤㪆㪝㪤

㪛㪘㪫㪘㩷㩽㩷㪚㪣㪦㪚㪢
㪢㫎㪸㫅㪾㩷㪪㫌㫅㪾
㪜㫃㪼㪺㫋㫉㫆㫅㫀㪺㫊

㪚㪦㪥㪫㪩㪦㪣
㪛㪘㪙 㩷㩷㩷㪛㪘㪙㩷㩷㪤㪦㪛㪬㪣㪜
㪪㪧㪛㪠㪝㪶㪛㪘㪙

㩷㪦㪧㪫 㪪㪧㪛㪠㪝㪶㪦㪧㪫

㪘㪬㪯㪈
㩿㱂㪊㪅㪌㪀

㪘㪬㪯㪉

㪘㪬㪯㪊

㪪㪧㪛㪠㪝㪶㪜㫋㪿㪼㫉㫅㪼㫋
㪈㪉㪅㪉㪏㪏㪤㪟㫑 㪈㪊㪅㪌㪤㪟㫑

㪚㪦㪥㪫㪩㪦㪣

㪫㪛㪂㪆㪄 㪣㪘㪥㪏㪎㪇㪇
㪜㫋㪿㪼㫉㫅㪼㫋 㩷㩷㪩㪡㪄㪋㪌 㪩㪛㪂㪆㪄

㪛㪂㪆㪄
㪬㪪㪙

㪠㪉㪚㪶㪪㪛㪘㪆㪪㪚㪣

㩷㩷㩷㪤㪄㪚㪩㪍㪇㪊
㪙㪣㪦㪚㪢㩷㪛㪠㪘㪞㪩㪘㪤

51
POWER DIAGRAM s
㪦㪧㪫 㪤㪯㪄㪧㫆㫉㫋㩷㩷㩷㩷㪏㪧㩷㪛㪠㪥 㪘㪬㪯㩷㪊㩷㪠㪥
㪘㪬㪯㩷㪉㩷㪠㪥
㩷㩷㩷㪜㫋㪿㪼㫉㫅㪼㫋

㪚㪿㪸㫊㫊㫀㫊㩷㪞㪥㪛
㪠㪚㪍㪋
㪢㪠㪘㪈㪈㪈㪎㪪㪊㪊 㪪㪮㩷㪧㪩㪜㩷㪦㪬㪫
㪬㪋㪉㪇㪉 㪬㪋㪉㪇㪈
㪣㪘㪥㪏㪎㪇㪇 㪧㪬㪣㪪㪜㩷㪫㪩㪘㪥㪪
㪌䌖㸢㪊㪅㪊
㩷 䌖 㪚㪿㪸㫊㫊㫀㫊㩷㪞㪥㪛
㩷㩷㩷㩷㪬㪊㪐㪇㪇 㪥㪜㪫㪶㪊㪅㪊㪭 㪬㪈㪇㪌 㪂㪍㪭㪶㪚㪧㪬 㪊㪅㪊䌖㸢㪌 㪂㪈㪉㪭㪶㪘㪈
㩷㩷㩷㩷㪛㪤㪏㪍㪇 㪢㪠㪘㪎㪏㪩㪇㪊
㪂㪈㪅㪉㪭 㪬㪇㪈㪇㪋
㪧㪨㪇㪈㪉㪝㪱 㪘㪬㪯㩷㪦㪬㪫

㪄㪈㪉㪭㪶㪘㪈
㪚㪿㪸㫊㫊㫀㫊㩷㪞㪥㪛

㪝㪛㪚㪍㪇㪏
㪂㪌㪅㪇㪭 㩷㩷㪠㪚㪋㪈
㪭㪙㪬㪪 㪬㪈㪇㪊 㪂㪈㪌㪭㪶㪬㪆㪮 㩷㩷㪠㪚㪌㪋 㩷㪠㪚㪎㪈㪆㪠㪚㪎㪉
㩷㩷㪚㪦㪛㪜㪚㪆㪛㪠㪩
㪪㪠㪏㪇㪇㪌㪨 㩷㩷㪧㪮㪤㩷㪧㫉㫆㪺㪼㫊㫊㫆㫉 㩷㪛㪠㪞㪠㪫㪘㪣㩷㪘㪤㪧
㪠㪚㪈㪊 㩷㩷㪘㪢㪋㪍㪏㪊㪜㪨
㩷㩷㪫㪘㪪㪌㪌㪇㪏㪙 㩷㪫㪘㪪㪌㪈㪋㪉㪛㪢㪛
㪢㪠㪘㪎㪏㪩㪇㪌㪇

㪟㪆㪧

㪚㪿㪸㫊㫊㫀㫊㩷㪞㪥㪛

㪂㪊㪉㪅㪌㪭㪶㪘
㪫㪝㪐㪊
㪘㪚
㪠㪥㪣㪜㪫 㪞㪥㪛㪶㪘

㪫㪝㪐㪉 㪂㪈㪉㪭㪶㪘㪈
㪠㪚㪐㪎 㪠㪚㪉㪍
㪢㪠㪘㪎㪏㪈㪉㪘㪧㪠 㪢㪠㪘㪎㪏㪩㪇㪐㪇
㪞㪥㪛㪶㪘㪈 㪫㪬㪥㪜㪩㩷㪧㪘㪚㪢

㪠㪚㪐㪊
㪠㪚㪜㪉㪨㪪㪇㪈 㪠㪚㪐㪏 㪄㪈㪉㪭㪶㪘㪈
㪢㪠㪘㪎㪐㪈㪉㪘㪧㪠 㪚㪿㪸㫊㫊㫀㫊㩷㪞㪥㪛

㪂㪈㪌㪭㪶㪬㪪㪙

㪞㪥㪛㪶㪛㪈

㪞㪥㪛㪶㪛㪩㪭

㪂㪌㪭㪶㪛 㪠㪚㪐㪈 㪛㪘㪙㩷㪫㪬㪥㪜㪩


㪢㪠㪘㪎㪏㪩㪇㪊

㪠㪚㪐㪇 㪂㪊㪅㪊㪭㪶䌄㪈 㪠㪚㪐㪉


㪢㪠㪘㪎㪏㪛㪊㪊 㪂㪈㪅㪉㪭

㪚㪿㪸㫊㫊㫀㫊㩷㪞㪥㪛
㪠㪚㪐㪉
㪠㪚㪜㪉㪙㪉㪍㪌 㪂㪎㪭㪶䌄㪈

㪨㪐㪊㪉 㪠㪚㪊㪈 㪠㪚㪈㪏


㪉㪪㪚㪈㪇㪎 㪤㫆㫋㫆㫉㩷㪛㫉㫀㫍㪼㫉 㪢㪠㪘㪈㪈㪈㪎㪪㪈㪌
㪧㪦㪮㪜㪩 㩷㩷㪠㪧㪋㪇㪇㪈㪚㪩
㪦㪥㪆㪦㪝㪝

㪠㪚㪐㪋 㪂㪈㪏㪭㪶㪦㪣㪜㪛
㪫㪝㪐㪈 㪢㪠㪘㪎㪏㪈㪏
㪂㪍㪭㪶㪚㪧㪬 㪂㪊㪅㪊㪭㪶㪚㪧㪬
㪠㪚㪐㪈 㪠㪚㪈㪉
㪠㪚㪜㪊㪙㪇㪊㪍㪌 㪙㪘㪊㪊㪙㪚㪇
㪚㪛㩷㪤㪼㪺㪿㪸㫅㫀㫊㫄 㩷㪠㪚㪉㪈㩷㪚㪛㩷㪛㪪㪧
㪞㪥㪛㪶 㩷㪠㪚㪈㪈㩷㩷㫌㪄㪺㫆㫄㩷㪪㪰㪪 㩷㪫㪚㪐㪋㪘㪐㪉㪝㪞㪄㪊㪇㪈
㪛㪉 㩷㪩㪌㪝㪍㪋㪈㪍㪐㪛㪝㪛

㪠㪚㪊㪉 㪩㪜㪪㪫㩷㪠㪚
㪢㪠㪘㪎㪏㪩㪇㪌㪇

㪞㪥㪛㪶㪛㪈 㪞㪥㪛㪶㪛㪈 㪞㪥㪛㪶㪘㪈 㪞㪥㪛㪶㪘㪈

㪚㪿㪸㫊㫊㫀㫊 㪚㪿㪸㫊㫊㫀㫊 㪚㪿㪸㫊㫊㫀㫊 㪚㪿㪸㫊㫊㫀㫊


㪂㪌㪭㪶㪚㪧㪬

㪣㪜㪛 㪩㪼㫄㫆㫋㪼 㪠㪚㪏㪊


㪪㪼㫅㫊㫆㫉 㪙㪘㪇㪇㪛㪇㪇㪮
㪂㪈㪍㪭㪶㪦㪣㪜㪛

㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㩷㪦㫉㪾㪸㫅㫀㪺㩷㪜㫃㪼㪺㫋㫉㫆㪄㪣㫌㫄㫀㫅㪼㫊㪺㪼㫅㪺㪼 㩷㪠㪚㪏㪈㩷㫌㪄㪺㫆㫄㩷㪦㪣㪛
㩷㪩㪌㪝㪍㪋㪈㪍㪐㪛㪝㪛
㪫㪘㪚㪫䇭㪪㪮
㪨㪐㪊㪍
㪝㪛㪚㪍㪇㪏

㪞㪥㪛㪶㪘㪈
㪞㪥㪛㪶㪛㪈

㪬㪪㪙㪆㫆㪧㫆㪻 㪟㪆㪧㩷㪦㫌㫋 㪧㫆㫉㫋㪸㪹㫃㪼㩷㫀㫅


㪚㪿㪸㫊㫊㫀㫊㩷㪞㪥㪛 㪚㪿㪸㫊㫊㫀㫊

52
LEVEL DIAGRAM
AK4683 TAS5508
TUNER AUDIO CODEC PWM CONTROLLER TAS5142DKD
PACK DIGITAL AMP SP L OUT

CD UNIT TAS5142DKD
DIGITAL AMP SP R OUT
DSP
USB

TC94A92FG
AUX1
MUTE PHONES
AUX2

M-X PORT
MUTE SUBWOOFER OUT

MUTE AUX OUT

SP OUT
+20dB Impedance 8 ohm
17.88V (18.8dB) X 2ch SP A o
+10dB VOL MAX : +10dB(6V)
: Analog Input
0dB(2V) : Digital Input
SW OUT 1.2V(- 4.2dB)
-10dB
AUX2/TUNER/MX PORT HP OUT 770mV(- 9.2dB)
-20dB AUX2 200mV / TUNER 200mV -6dB(100mV) +6dB(200mV)
CD/USB 200mV AUX OUT 200mV(- 20dB)
-26dB M-X PORT 100mV
AUX1 120mV TC94A92FG -26dB(100mV)
-30dB -6dB AUX1, CD,USB
0dB(100mV)
-40dB

53
WIRING DIAGRAM

㪘㪬㪯㩷㪈㩷㩿㪠㪥 㪠㪩㩷㪪㪜㪥㪪㪦㪩
㪬㪪㪙㩷㪚㫆㫅㫅㪼㪺㫋㫆㫉㩷㩿㪈㪘㪀 㪟㪼㪸㪻㪧㪿㫆㫅㪼㩷㪦㫌㫋

㩿㪊㪇㪧㩷㪝㪝㪚㪃㩷㪧㪔㪇㪅㪌㪀
㪩㪜㪤㪦㪫㪜㩷㪧㪘㪩㪫 㩿㪦㪣㪜㪛
㪝㫉㫆㫅㫋㩷㪠㫅㩷㪆 㪚㪦㪥㪫㪩㪦㪣㪀

㪚㪥㪏㪋
㪊㪇㪧㩷㪝㪝㪚
㪬㪪㪙㩷㪧㪘㪩㪫 㪟㪼㪸㪻㩷㫇㪿㫆㫅㪼㩷㪦㫌㫋 㪂㪊㪅㪊㪭㪶㪦㪣㪜㪛
㪚㪸㪹㫃㪼 㪦㪣㪜㪛㩷㪤㪦㪛㪬㪣㪜
㪂㪈㪍㪭㪶㪦㪣㪜㪛
㪧㪘㪩㪫 㪣㪜㪛㩷㪧㪘㪩㪫 㪢㪜㪰㩷㪠㪥㪧㪬㪫 㪞㪥㪛㪶㪦㪣㪜㪛

㪙㪥㪏㪌
㪧㪘㪩㪫

㩿㪋㪧㪀
㩿㪥㪅㪚㪀 㪝㪩㪦㪥㪫㩷㪬㪥㪠㪫
㪙㪥㪏㪉 㪙㪥㪏㪈
㩿㪌㪧㪀 㩿㪎㪧㪀 㪚㪥㪏㪎
㪝㫆㫉㩷㫌㪚㫆㫅㩷㫌㫇㪻㪸㫋㪼
㪚㪥㪏㪏
㩿㪈㪈㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪀
㩿㪊㪇㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪀

㪪㪿㫀㪼㫃㪻 㪊㪇㪧㩷㪝㪝㪚㩷㪚㪸㪹㫃㪼
㪪㪿㫀㪼㫃㪻

㪚㪥㪋㪈
㪚㪥㪋㪋 㪚㪛㩷㪤㪜㪚㪟㪘㩷㪬㪥㪠㪫
㩿㪊㪇㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪀
㩿㪎㪧㪀

㪚㪥㪉㪋
㪘㪬㪯㪈㪶㪣㪶㪠㪥 㪝㫆㫉㩷㫌㪚㫆㫅㩷㫌㫇㪻㪸㫋㪼
㪂㪈㪏㪭㪶㪛 㩿㪈㪈㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪀

㩿㪈㪍㪧㩷㪝㪝㪚㪀

㩿㪈㪍㪧㩷㪝㪝㪚㪀
㪘㪬㪯㪈㪶㪩㪶㪠㪥
㪂㪊㪅㪊㪭㪶㪛

㩿㩷㩿㪧㪔㪈㪀
㪮㪥㪉㪋
㪟㪆㪧㪶㪣㪶㪦㪬㪫

㩿㪧㪔㪈㪀
㪟㪆㪧㪶㪩㪶㪦㪬㪫 㪂㪌㪭㪶㪛 㪈㪍㪧㩷㪝㪝㪚㩷㪚㪸㪹㫃㪼
㪘㪞㪥㪛 㪞㪥㪛㪶㪛
㪢㪜㪰㩷㪠㪥㪧㪬㪫
㪟㪧㩷㪛㪜㪫㪜㪚㪫
㪦㪣㪜㪛㩷㪙㪦㪦㪫
㪪㪤㪧㪪㩷㪬㪥㪠㪫 㪙㪶㪠㪶㪘㪤㪧 㪣㪜㪛㩷㪚㪦㪥㪫㪩㪦㪣
㪂㪎㪭㪶㪚㪛㩷㪛㪩㪠㪭㪜 㪩㪜㪤㪦㪫㪜㩷㪠㪥
㪞㪥㪛㪶㪛㪩㪭 㪧㪦㪩㪫㪘㪙㪣㪜㩷㪛㪜㪫㪜㪚㪫
㪂㪈㪌㪭㪶㪬㪪㪙㪆㪮㫀㪄㪝㫀 㩿㪛㪠㪪㪧㩷㪬㪺㫆㫅㩷㪚㫆㫅㫋㫉㫆㫃㩷㪪㫀㪾㫅㪸㫃㪀
㪚㪥㪐㪊

㪚㪥㪋㪌
㩿㪈㪊㪧㪀

㪂㪌㪭㪶㪛
㪂㪊㪅㪊㪭㪶㪛
㪞㪥㪛㪶㪛㪈
㪂㪈㪉㪭㪶㪘
㪄㪈㪉㪭㪶㪘

㪚㪥㪉㪌
㪞㪥㪛㪶㪘

㩿㪍㪧㪀

㩿㪍㪧㪀
㪚㪥㪐㪈
㩿㪉㪧㪀

㪘㪚㩷㪠㪥㪣㪜㪫

㪂㪈㪏㪭
㪧㪦㪮㪜㪩㪄㪟
㪚㪥㪐㪋

㪚㪥㪋㪊
㩿㪎㪧㪀

㪂㪍㪭㪶㪪㪫㪙㪰
㪛㪞㪥㪛
㪧㪦㪮㪜㪩㪄㪛㪦㪮㪥

㪚㪥㪉㪍
㩿㪌㪧㪀

㩿㪌㪧㪀
㪤㪘㪠㪥㩷㪬㪥㪠㪫
㩿㪪㪰㪪㪫㪜㪤㩷㫌㪚㪦㪤㪆㪚㪦㪛㪜㪚㪆㪘㪥㪘㪣㪦㪞㩷㪘㪬㪛㪠㪦㪆㪛㪠㪞㪠㪫㪘㪣㩷㪘㪤㪧㪀
㪚㪥㪐㪉

㪚㪥㪍㪈

㪂㪊㪉㪭㪶㪛㪘㪤㪧
㩿㪌㪧㪀

㪞㪥㪛㪶㪘㪈

㪈㪬㪄㪊㪎㪎㪊㪄㪈
㪘㪬㪛㪠㪦㪆㪭㪠㪛㪜㪦㩷㪬㪥㪠㪫
㪛㪘㪙㩷㪠㪥㪫㪜㪩㪝㪘㪚㪜㩷㪬㪥㪠㪫
㩿㪘㪥㪘㪣㪦㪞㩷㪘㪬㪛㪠㪦㪃㪭㪠㪛㪜㪦㩷㪠㪥㪆㪦㪬㪫㪀
㪪㪿㫀㪼㫃㪻 㪛㪘㪙㩷㪫㪬㪥㪜㪩
㪤㫆㪻㫌㫃㪼
㪂㪌㪭㪶㪛㪘㪙

㩿㪈㪈㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪀

㩿㪈㪈㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪀

㩿㪊㪇㪧㩷㪟㪜㪘㪛㪜㪩㪀
㪛㪞㪥㪛

㩿㪊㪇㪧㩷㪧㪣㪬㪞㪀
㪛㪘㪙㪶㪣 㪛㪘㪙㩷㪘㫅㫋㪼㫅㫅㪸㩷㪚㫆㫅㫅㪼㪺㫋㫆㫉

㪚㪥㪐㪉
㪚㪥㪊㪉

㪚㪥㪐㪈
㪥㪇㪈㪇㪈㩷㩿㪚㪩㪍㪇㪊㪀 㪛㪘㪙㪶㪩


㪈㪈㪧㩷㪝㪝㪚㩷㪚㪸㪹㫃㪼 㩿㪤㪄㪚㪩㪍㪇㪊㩷㪥㩷㪦㪥㪣㪰㪀
㪥㪇㪈㪇㪊㩷㩿㪚㪩㪍㪇㪊㪀

㪘㪞㪥㪛
㩿㪌㪧㩷㪧㪟㪀
㪫㪅㪤㪬㪫㪜
㩿㪏㪧㩷㪧㪟㪀

㩿㪏㪧㩷㪧㪟㪀

㪂㪈㪌㪭㪶㪬㪪㪙㪆㪮㫀㪄㪝㫀
㪙㪥㪍㪉

㪛㪘㪙㪶㪛㪠
㪂㪍㪭㪶㪚㪧㪬 㪛㪘㪙㪶㪛㪦
㪞㪥㪛㪶㪛㪈 㪛㪘㪙㪶㪪㪧㪛㪠㪝
㪛㪘㪙㪶㪧㪅㪚㪦㪥㪫

㪙㫉㫀㪻㪾㪚㫆㩷㪠㪥㪫㪜㪩㪝㪘㪚㪜㩷㪬㪥㪠㪫
㩿㪙㫉㫀㪻㪾㪚㫆㩷㪚㫆㫅㫋㫉㫆㫃㪀
㩿㪉㪊㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪀
㩿㪉㪊㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪀
㪥㪋㪉㪇㪈㩷㩷㩿㪚㪩㪍㪇㪊㪀

㩿㪬㪪㪙㩷㪪㪮㪠㪫㪚㪟㪀
㪏㪍㪇㪪㪧㪛㪠㪝
㪛㪠㪞㪠㪫㪘㪣㩷㪘㪤㪧㩷㪧㪘㪩㪫
㪚㪥㪋㪉

㪜㪶㪧㪦㪮㪜㪩㪶㪚㪦㪥㪫
㪉㪊㪧㩷㪝㪝㪚㩷㪚㪸㪹㫃㪼 㪛㪚㪶㪧㪩㪦㪫㪜㪚㪫㪉
㪝㫆㫉㩷㪛㪼㪹㫌㪾 㪭㪙㪬㪪㪶㪤㪦㪥㪠 㪘㪤㪆㪝㪤㩷㪫㪬㪥㪜㪩㩷㪧㪘㪚㪢
㪬㪪㪙㪶㪧㪅㪚㪦㪥㪫
㪞㪥㪛㪶㪛㪈

㩿㪈㪈㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪅㪉㪌㪀

㩿㪈㪈㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪅㪉㪌㪀
㪥㪊㪐㪇㪈㩷㩿㪚㪩㪍㪇㪊㪀 㪂㪐㪭㪶㪫㪬 㪝㪤㩷㪘㫅㫋㪼㫅㫅㪸㩷㪚㫆㫅㫅㪼㪺㫋㫆㫉
㩿㪍㪧㩷㪱㪟㪀㩷㩿㪡㪫㪘㪞㪀 㪪㪫㪜㪩㪜㪦
㪚㫆㫄㫇㫆㫅㪼㫅㫋㩷㪠㪥㩷䌸䋱 㪰㪚䋬㪚㪭㪙㪪㩷㪠㪥㩷䌸㪊 㪘㪬㪯㩷㪣㪆㪩㩷㪠㪥㩷㫏㪊
㪫㪬㪥㪜㪛

㪚㪥㪊㪈
㪣㪶㪦㪬㪫
㪚㫆㫄㫇㫆㫅㪼㫅㫋㩷㪦㪬㪫㩷䌸䋱 㪰㪚䋬㪚㪭㪙㪪㩷㪦㪬㪫㩷䌸㪈 㪱㪦㪥㪜㪉㩷㪣㪆㪩㩷㪦㪬㪫㩷㫏㪈
㪩㪶㪦㪬㪫 㪈㪈㪧㩷㪝㪝㪚㩷㪚㪸㪹㫃㪼
㪥㪊㪐㪇㪇㩷㩿㪚㪩㪍㪇㪊㪀
㪪㪙㩷㪧㪩㪜㪦㪬㪫㩷㫏㪈
㪞㪥㪛㪶㪘㪈
㩿㪠㪉㪚㪀
㩿㪎㪧㩷㪝㪝㪚㪀㩷㩿㪧㪔㪈㪀 㩿㪩㪛㪪㪀 㪘㪤㩷㪘㫅㫋㪼㫅㫅㪸㩷㪚㫆㫅㫅㪼㪺㫋㫆㫉
㩿㪪㪟㪜㪣㪣㪀

㪜㫋㪿㪼㫉㩷㪚㫆㫅㫅㪼㪺㫋㫆㫉 㪪㫇㪼㪸㫂㪼㫉㩷㪦㫌㫋 㪪㫇㪼㪸㫂㪼㫉㩷㪦㫌㫋 㪪㫌㪹㪮㫆㫆㪽㪼㫉 㪘㪬㪯㩷㩷㪦㫌㫋 㪘㪬㪯㩷㪉㩷㪠㪥 㪘㪬㪯㩷㪊㩷㪠㪥 㪘㪬㪯㪋 㪤㪄㪯㪧㫆㫉㫋


㩿㪩㪡㪄㪋㪌㪀 㪣㪺㪿 㪩㪺㪿 㩷㩷㩷㩷㪦㫌㫋 㪦㫇㫋㩷㩷㪠㪥

54
PRINTED WIRING BOARDS 1 2 3 4 5 6 7 8 9 10 11 12

MAIN PCB (COMPONENT SIDE)


B

鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
M
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).

55
12 11 10 9 8 7 6 5 4 3 2 1

MAIN PCB (FOIL SIDE)


B

鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
M
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).

56
DISPLAY PCB (COMPONENT SIDE) DAB PCB (COMPONENT SIDE)

1 2 3 4 5 6 7 8 9 10 11 12 13 1 2 3 4

A A

B B

C C

DISPLAY PCB (FOIL SIDE) DAB PCB (FOIL SIDE)

4 3 2 1
13 12 11 10 9 8 7 6 5 4 3 2 1
A A

B B

C C

鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。
F
Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).

57
ETHERNET PCB (COMPONENT SIDE) ETHERNET PCB (FOIL SIDE)

1 2 3 4 5 6 7 7 6 5 4 3 2 1

IC ICT ADJ1 ADJ2 RP CUP12318Z


A A
C4216
C4211 U105
R4218

R4208
C4205
C4203
R4209

R4206
R4207
L4203

L4202
R4211 R4214

D0107
D0106
2 22
U0104 R4212
C0130 C0133 C0134 R4220 C4209

R0129
C0136
C4204

C0138
C4206 R4219 R4213

L4204
R4221

C4210

C4220
1 23

C4217

C4218
C4219
C4221
R0124

C4215
R0126 C3937 R4222

Q0107 Q0106
R4215 N4201 Q0104
C0129 C3915
C0128 R4216 C0140 C3914 C4213 R0125

R0127
U4202 D0104 C0150 GND C3936 C3905 C4214

R0128

Q0103
C3935

R0122
C0124
C0127 C0126
C0107

L4206

C0116
C3913

C0151
C0142
C3912

C0144
C0149
R4217

Q0102
R4223

C0139
U0103 C3934

D0102
R0116
D0103 C0135 C0137
U0106

R0119
R3931 R3943

C3940 C3928
C8008

C0148 +15V
B B
C0132

C3907

C3939
R3944

C3903
C0131 C3955 U3902 R3921

R3925
R3930

R3936

C0121
C4212

R3953
C4228 L4205

R3933
R3929 C3918

C3938
C3917
R0117

C3916
R4224

R0118
C4208 GND C4227 R0120

R3945
C4207 C3904 C0114 MP1
C0109 U3900 C4226
L0101

R0104

R0107
R4235

C0143
R4230

C0141

C0145
U4204
C3956 R4231 R4229 C0108

MP2
MP MP
C3950 U0102

R0108
R3946 R3937 C4202 R4205
C3951
U4201

C3952

C4241
C3957 +5V Q4203 R3938 R4202 R4203
R3924 R0109

R3935
R3947 C3941 C0105 MK MK

N0103

R4200
R0103

MK2
C3929 C3942 R3939

R3913
C0113 C0112

R0105

R4236
C0120
C3919
C3953

R3948 C0123 C3920 C3926 R3934 R3940 C0104

R3951
R4240
R4239

R3932
U0101
L4201

L4200 C3930 L3901 MK1

C4200
C4246

C4245
C0101

C0125 R0123
C3943 L3902

R0102
R4238 C3921 C3927 C0102
R4237

R3941
C3959 X3900 C3922 C0147
R3952 C0103 C0146
C C
C0111 R3915 R0101
C8009

VBUS R3928 R145


D4000
D4001

D4003
D4002

C0106 R3949

C3925
C3944
C3945
R140

R3917
R3950 R3927 N4200 Q0105

C3949 C3946
R3903 R3942

D0101
C0122 R0121

L3900
C3954 C0118 GND U3901 C4242

R3901

C3923
R3910 Q3900 R3918
R0111

C3906
C3958 C8010

R3908
C0110 C0117 C4243

R3907
R3902 R0113

R3904

C3947
C0115 Q0101

C3948

R3909
C3924

R3919
Q3901
N3901 R3900 R3912

C3900

C0119

R0110
C4235
C4236
C4234

C4244

D0105
R0115

R3905
R0114
120X65 TOP N0101

N3900

R3906
C4240 R4225 R0106 R0112
7

C3908
C3909
C3933
C3931

C3932
C3910
R3916

C3911
R3911

Z4201 C4237
1

R3920 R3914
C3901 C3902
C4232
C4233
C4231
633010055100S 8U-310055 1 A B REF C4247 R4210

C4239
C4238
D D
C4230
C4225
C4229
1 12 REF Z4202

SMPS PCB (COMPONENT SIDE)

1 2 3 4 5 6 7 8 9 10 11 12

鉛フリー半田
半田付けには、鉛フリー半田 (Sn-Ag-Cu) を使用してください。

Lead-free Solder
When soldering, use the Lead-free Solder (Sn-Ag-Cu).

58
1 2 3 4 5 6 7 8

TUNER BLOCK
SHEET 001

FM/AM TUNER KSE_TUNER +9V_T

CN31
D302 KDS160

+12V_A
B
R126 R128
PORT_SEL IC26

11
220 KIA78R090F
SCL 100

10
R125 R127

VOUT

VIN
SDA 3 1

9
220 100
8 TUNED

VC
ADJ
L_OUT 4 GND 2

100/16V
7

47/16V

0.01uF
L301

0.1uF
STEREO 5
6

R_OUT 220

47/16V

100/25V
5

0.1uF
C351

0.01uF
C352
2200P
C350

C323
VCC C353 GND_A

C322
R124

OPEN

R134
4

OPEN
GND 0.1uF
3

C320
C318

C319

C321
RDS_CLK L302
2

RDS_DATA OPEN
1

GND_D1
R314 0 TUNED
R315 0 STEREO
R316 0 TU_SDA

0.01uF
1000P
R317 0 TU_SCL

0.1uF
OPEN

OPEN
R318 0 RDS_CLK

L303

L304
120

120
R319 0 RDS_DATA
OPEN
OPEN

C313

C314

C315
Not Used RCD-N7

C316

C317
OPEN
OPEN

OPEN

OPEN
R313
R129

0.1uF

0.1uF
C354

C355

C474

C475
OPEN

OPEN

C476

C125

C408

C312
C

TUNER_R_IN

TUNER_L_IN
GND_D1
VERSION R318 R319
TUNER_L_IN

TO CODEC BLOCK
E1/E3(F,U) OPEN OPEN

TU_POWER
TUNER_R_IN

SHEET 002
E2(N) 0 0

+12V_A

DAB_L_IN

DAB_R_IN

100/16V
C349
DAB_SPDIF

Not Used RCD-N7

R342

4.7K
TU_POWER
TUNED
STEREO
KRA102S
TU_SDA
Q311 Q312
KRC102S TU_SCL

D
RDS_CLK
T.MUTE
RDS_DATA
T.MUTE

TO MAIN CPU BLOCK


SHEET 003
GND_D1

DAB_L_IN

1
2
DAB_R_IN

3
4
DAB_SPDIF

5
6
DAB_DI

7
DAB_DO

8
CJP11GA117ZY

9
10
DAB-P_CONT

11
CN32
C346 1000P

GND_A +5V_D1
C347 0.01uF

C348 0.1uF

GND_D1
E

ANALOG L SIGNAL LINE


ANALOG R SIGNAL LINE
DIGITAL SIGNAL LINE
SW SIGNAL LINE F
GND POWER + POWER - SCHEMATIC DIAGRAMS (1/9)

59
1 2 3 4 5 6 7 8

CODEC BLOCK TO MAIN CPU BLOCK


SHEET 002 Sheet 003

TO DISPLAY BLOCK
M-X PORT

MX_DETECT
HP_L
C470 R468 R474 R478 HP_R H/P_R_OUT

MX_MUTE

7
10/50V 100(20) 100 10

A
AGND

Sheet004
+6V_CPU +12V_A

6
+3.3V_D1 Q610
+5V_CPU KTC2875B Q612 HP_L H/P_L_OUT

KDS160

KDS160
+3.3V_CPU. -12V_A +12V_A

D612

5
+5V_D1

D613
KTC2875B

4.7K
R472
Q617 R470 R475

100P
C472
KRA102S AGND
M-X PORT

4
R118 10K AUX1_R
(MARANTZ MODEL ONLY) 10K AUX1_R_IN

R123
GND_D1

91
Q618

3
R594
OPEN

47K
Q616

R112 2.2K
KRC102S
KRC102S AGND

220/25V

2
MX_DETECT

TO CODEC BLOCK

2.2K

2.2K

CN44
GND_A

R111

R115
R117

C608
Q601 AUX1_L
KRC107S AUX1_L_IN

1
0
Q611 Q613

0.1uF
R574 GND_A

C496

Sheet 003
GND_D1 KTC2875B KTC2875B

4.7K
R473
C527 OPEN R471 R476

100P
C473
-12V_A
D402 KDS160 GND_D1
47/25V 10K 10K
IC64
+3.3V_MX C528 R576 R577 R578 C535 R584 MX_L_IN HEADPHONE PARTS HP_R
KIA1117S33 220 C471 R469 R477 R479
0.1uF 10K 10K 0 10/50V
R570 C499 GND_A C529 IC53 NJM4556AL 10/50V 100(20) 100 10
47/25V

47/25V

3 In Out 2
0.1uF

0.1uF

100 10/50V OPEN + +

C533

R582
GND

+ 12V - -12V -

OPEN

100P
C537
47K
R-CH L-CH -12V_A

R572
R568

100P
C497
47K

47K
4 3 2 1

HVINJM2068MTE1
8 7 6 5 4 3 2 1

A-INPUT
1

A+INPUT
+12V_A

V-

A OUTPUT
MX_L_IN
C492

C493

AUX1_L_IN
C491

C494

AUX1_R_IN
+ -

IC63
A
MX_R_IN

- +

47/25V

AMUTE.
B OUTPUT

0.1uF
R564

R185

B+INPUT
C463

B
B-INPUT

C464

C465
200

200

47/25V
C460 R489 R490

0.1uF
100P

C458

C459
100P

V+
C498

R573
GND_A
R110

47K
47K

GND_D1 GND_A
5 6 7 8 100P 39K 39K H/P_MUTE
1

AMUTE.
2
R480 C461 C462 R499 GND_A GND_A

R583
R571 C500 GND_A

C534

100P
C538
OPEN
R558 0

47K
GND_A
M-X PORT

6 C530
9 R559 0 100 10/50V 39K 100P 100P 39K
0.1uF

OPEN
C659

4.7K

4.7K

4.7K

4.7K
R481

R482

R971

R970
GND_A

SW_OUT-

SW_OUT+
7 R586 R581 C536 R585
5 GND_D1 10K
GND_A C531 0 10/50V 220 MX_R_IN

R483

R484

R996

R995
M-X_RC

0
3 R580 0.1uF GND_A

B
4
OPEN

10 10K C466 C467


C532

AUX1_R_IN

H/P_L_OUT
AUX1_L_IN
D507 C481 C477

H/P_R_OUT
R603 R605 R607 R610 R612 R613
0

JK62
0.1uF

270P 270P
R567
C495

R566

47/25V 1K 1K
47

1K 1uF 22K 1K 47K 47/16V

4700P
C480
Open
0

4.7K

4.7K

4.7K

4.7K

R602
R485

R486

R495

R496
GND_D1

47K
GND_A +12V_A
R562

R563

R599 D508
C658 C482 R606 R608 C478

4.7K
R611
C468 C469 R614 R615
* WIRE ASS'Y(7P)

SW OUT
OPEN JK51

0.01uF
0.01uF Open 1uF 22K 1K 10K 10K
-12V_A 0.01uF

R609

C479
GND_D1 GND_A GND_D1 L406

47K
270P 270P Q614 Q615

100P
KTC2875B KTC2875B 120

4.7K

4.7K

4.7K

4.7K
R487

R488

R497

R498

47K
SW_DOWN D609 R600

0.1uF
47/25V

C487
0.1uF
10K

C483

C484
MX_RC-5 1SS355T

R618
C488
+12V_A D501 1SS355T D503 1SS355T
GND_A
C539 D502 1SS355T D504 1SS355T
4 3 2 1
SW OUT PARTS

A-INPUT
R604
Q608

HVINJM2068MTE1
10K
* Only M-CR603 C489 0.1u C490 0.1u

A+INPUT
V-

A OUTPUT
+12V_A
0.1u KTC4075GR

+ -
R619 4.7

IC52
A
C540 R601 GND_A

- +

B OUTPUT
B+INPUT
1 +5V_STBY GND_A

B
B-INPUT
Q609

47/25V

0.1uF
1K

C485
0.01u

C486
KTC4075GR GND_A GND_A

V+
2 DETECT C541 GND_C3 GND_C3
5 6 7 8 R620
3 RC5 ET51
C561 1000p
4 AGND C542 R617 OPEN
5 +3V3 0.1u R616 * DC_PROTECT R621

*FOR ESD
OPEN 0
6 MXP_R R700 GND_A
C543 0 OPEN
7 RC5_GND 0.1u
8 A_GND OPEN GND_A CHGND_1
GND_A
9 MXP_L GND_A C_GND
ET51 GND_A

R773 0
C587 R772 0
0
0 R771 0
C591

C
R769 0
C596 0 R358
R770 0 Q251
ET61 10K KRC102S
R560 OPEN R595 0 R590 0

+12V_A
R360 D252
R561 OPEN R593 0 R589 0 +12V_A +5V_A

8.2K
22K 1SS355T

R359
R596 OPEN R592 0 R100 0

100K
PWM_HP-R

PWM_HP+R

PWM_HP+L

PWM_HP-L

R361
R597 OPEN R591 0 R109 0 DC_PROTECT2 D251
GND_A
ET61 C588 0.1u C589 OPEN C590 OPEN
1SS355T

100K
R363
C592 0.01u C594 C595 D401 KDS160
C553 OPEN OPEN

-12V_A
D253 R362 R364
R587

0 C597 1000p C599 OPEN C598 OPEN


10K

IC13
1SS355T 1K 100K
C554 KIA78R050F R365

PWM_HP-R

PWM_HP-L
PWM_HP+R

PWM_HP+L
R774 0
VOUT
VIN

0
10/50V

1 3
0.1uF

0.1uF

10K
10/50V

R775 0
C555 GND_A D254
GND_D1 Q252
R776
VC

ADJ

0 0 2 GND 4 KRC102S
1SS355T

+7V_D1
D255
C557

C558

C559

C560

GND_A ET61 5 Sheet 002 R367

22K 1SS355T
FROM CODEC BLOCK

R366

15K
GND_A
GND_A1
+3.3V_D1 R368

10K
D208
Q235
C991 5142_OTW
KRC102S
860_SPDIF.

860_SPDIF
1SS355T

4683_CDTI
4683_CCLK

4683_RESET
4683_CSN
R902 OPT_IN

D211

+5V_A
JK64 5142_SD
HJSTORX174L 10/16V
C992 +3.3V_D1
AMUTE 1SS355T
OPT IN R370
3

TO MAIN CPU BLOCK


H/P_MUTE
33

VCC 0.1uF +3.3V_D1


10K
RX

R903
2

C994 5508_MCLK
33

D209

C406

C405
GND C993

56P

47P
+5V_A GND_A1 SW_DOWN Q236

R121
1

10/16V X401 KRC102S

0
1SS355T
R413

+5V_CPU
C995

D
OUT 0.01uF 5508_LRCK D210

Sheet 003
12.288MHz

L601
0.1uF R130 5508_SCLK

120
PU+3.3V 1SS355T
8 7 6 5
0

8 7 6 5 +3.3V_CPU.
CVITC7WHU04FU

GND_D1
CVITC7WHU04FU

OPEN 5508_SDATA R371


R412

R122
R414
0.1uF
IC42

R901

F_MUTE
C996

IC43
47K

OPEN
+6V_CPU +12V_A IC41-23P OPEN D212
5508_PDN
Q239
0

H/P_ON OPEN
0

1 2 3 4 Q237 OPEN
KDS160

KDS160

1 2 3 4
10/50V C901

0.1uF C903

10/50V C900

0.1uF C902
D615

D616

D213

+9V_T
A107S R373
+3.3V_D1
R411

RESET_5508
100

AUX OUT OPEN OPEN


0.01uF

TO MAIN CPU BLOCK

R372

OPEN
Q623
C407

AMUTE
KRA102S
2.2K

2.2K

2.2K

AUX_L OUT POWER_ON/OFF R374


R719

R718

R722

R119

91
R717

+5V_A 10K
0

10/50V
100P

100
C447

JK63
R430

R410
47K

0.1uF

5508_MCLK Q238
C410

C409

Sheet 003
CJJ4R019W Q622 C107S D214
Q624 Q240
220/25V

32
SDTIA3 31
SDTIA2 30
SDTIA1 29
CSN 28
CCLK 27
CDTI 26
RESET 25
MCLK2 24
TX 23
XTO 22
XTI 21
20
DVSS 19
TVDD 18
17
48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33

10 KRC102S GND_D1 SDTOA R409 100


KRC102S 5508_SDATA KRC102S
9 10 11 12 13 14 15 16
C607

+3.3V_MX
HVDD 1SS355T
SDTIB

BICKA R408 D215


DVDD

MCKO

100 5508_SCLK
AUX OUT

GND_D1
100P
C448

R431

47K

HVSS
1 GND_A
ILRCKA DC_PROTECT2 1SS355T
AUX_R OUT HPR R407 100 5508_LRCK
2 +12V_A OLRCKA
HPL Not Used RCD-N7
R460 GND_D1 C411
AUX3_L_IN -12V_A IC41 SDTOB
MUTET

TO MAIN CPU BLOCK TO TCD DSP BLOCK


C438 HIGH: DC PROTECT
3 470 GND_D1 1/50V LOUT2 AK4683 BICKB
LOW: NORMAL
-12V_A
100P
R462

C449
47K
C451

OPEN

LRCKB GND_D1
47/25V ROUT2 4683_CDTO
OPTION

OPTION
C446
R444

C439 CDTO
LOUT1 4683_VOUT

Sheet 004
4
8

VOUT
ROUT1 4683_INT
0.1uF
7

AMUTE. INT
10/50V2.2/50V

VCOM DAB_SPDIF
100P
C452

C450
R463

AUX3 GND_A
OPEN

0.1uF 0.1uF
47K

5 GND_A
C415 C413

C414 C412

AVDD RX3
R446 C442 R452 R454 R455 +5V_A R403 0 OPT_IN
5

R461 470 AUX3_R_IN RX2


AVSS R402 CD_SPDIF
R415 860_SPDIF.
AUX IN

6
4

220 22/50V 220 4.7K 4.7K RX1


R464 470 AUX2_L_IN Q404 Q406 LISEL I2C 4683_I2C_CONT
3

KTC2875B KTC2875B C436 GND_A C432 24k LOPIN 0 R401


RX0 CD_SPDIF
0.01uF

R432 R447 R416


2
50 AVDD

ROPIN
51 LIN1
52 RIN1
53 LIN2
54 RIN2
55 LIN3
56 RIN3
57 LIN4
58 RIN4
59 LIN5
60 RIN5
61 LIN6
62 RIN6
63 PVSS
C440
R450

49 AVSS
10K
C456

R466

E
47K
OPEN

PVDD
100P
C453

330P 22/50V
R458

0
B-INPUT
HVINJM2068MTE1

B OUTPUT

10K

24k RISEL
B+INPUT

3.3K 3.3K 8 7 6 5 4683_CSN


AUX2
64
V+

8 4683_CCLK
A OUTPUT

+ -

R
IC44

R417
R418
A+INPUT

R419

R421
R422
R423
R424
R425

R426
R427
R428
R420

R429 4683_CDTI
A-INPUT

Sheet 003
100P
C454

- +
C457

R467

GND_A
47K
OPEN

GND_A
A

10/63V

9 +5V_A 4683_RESET
0.1uF

Q405 Q407 12K-F


(RFO)
V-

C416

C417
R459

R465 KTC2875B KTC2875B GND_A


10K

AUX2_R_IN 4683_CDTO
0.01uF

1/50V 27K
1/50V 27K

1 2 3 4
1/50V 47K

R433 R448
1/50V 47K
C441
R451

1/50V47K
1/50V47K
1/50V47K
1/50V47K
1/50V47K
1/50V47K
1/50V27K
1/50V27K

4683_INT
10K

11
10/50V
0.1uF

470 C437 C433


C430

C431

+5V_A 4683_VOUT
3.3K 3.3K
330P 22/50V 4683_I2C_CONT
D605 D603
R449 C443 R453 R456 R120
C418
C419
C420
C421
C422
C423
C424
C425
C426
C427
C428
C429

1SS355T OPEN -12V_A


220 22/50V 220 4.7K 0
TO TUNER BLOCK

D606 D604
47/25V
0.1uF
4.7K
R457

C435

C434

DAB_SPDIF.
1SS355T OPEN
Sheet 001

C656 C655
*FOR ESD

TUNER_L_IN
*FOR ESD

CODEC PARTS
TUNER_L_IN
TUNER_R_IN

GND_A
AUX3_L_IN
AUX3_R_IN
AUX2_L_IN
AUX2_R_IN

AUX1_L_IN
AUX1_R_IN

0.1uF OPEN TUNER_R_IN


DAB_L_IN
DAB_R_IN

GND_A
MX_L_IN
MX_R_IN

R658 R657 DAB_L_IN

OPEN OPEN DAB_R_IN


GND_A
GND_C3
GND_A
GND_C1
ANALOG L SIGNAL LINE
ANALOG R SIGNAL LINE
DIGITAL SIGNAL LINE
SW SIGNAL LINE F
GND POWER + POWER - s SCHEMATIC DIAGRAMS (2/9)

60
1 2 3 4 5 6 7 8

E_POWER_CONT
FAULT_FLAG2

FAULT_FLAG1

DC_PROTECT1
HVBUS_CTL1
HVBUS_CTL2

USB_P.CONT
E_SPIMTEO

E_TXDMIEO
E_SPIMOEI
E_RXDMOEI

VBUS_MONI
IPOD_DET

860SPDIF
E_SPICLK
E_RESET
E_SPICS
B1/B2

E_REQ
GND

GND

GND

GND
22 20 18 16 14 12 10 8 6 4 2 CN42
TO ETHER BLOCK

TO TUNER BLOCK TO TUNER BLOCK 23 21 19 17 15 13 11 9 7 5 3 1 Sheet 002


TO CODEC BLOCK
Sheet 001 Sheet 001 Sheet 002
+3.3V_CPU. +18V_OLED
R666 OPEN

DAB-POWER_CONT
R665 OPEN

STEREO
GND_D1

4683_I2C_CONT
R668 OPEN

MX_DETECT
A

RESET_5508

SP_PROTECT

MX_RC-5
4683_RESET
H/P_MUTE
RDS_DATA

5508_MUTE
OPEN

4683_VOUT

4683_CCLK

0
0
R667

4683_CDTO

4683_CDTI
4683_CCLK
5142_OTM

0
SW_DOWN

0
PDN_5508
MAIN CPU BLOCK

5508_SDA
RDS_CLK

5508_SCL

4683_CSN
4683_INT
860_SPDIF

DRVMUTE

5142_SD
TU_SDA
DAB_DI
LD_CHK

DAB_DO
SRAMSTB

AMUTE
TU_SCL
T.MUTE

H/P ON
CLOSE

F_MUTE
TUNED
DECRST
INSW

CLSW

OPSW

OPEN

TO CODEC BLOCK
SBSY

DREQ

BUS0

BUS1

BUS2

BUS3

BUCK

BUSY_M/STEREO

FAULT_FLAG2R699
CCE

IPOD_DET R698
33

R696
R697
FAULT_FLAG1

E_POWER_CONT
GND_D1

Sheet 002
HVBUS_CTL2

HVBUS_CTL1
SHEET 003

USB_P.CONT
E_SPIMIEO

E_TXDMIEO
E_SPIMOEI

VBUS_MONI
R973

E_RXDMOEI
E_SPICLK
E_RESET
E_SPICS
B1/B2

E_REQ
0.01uF
GND

0.1uF
1000P
30

C274

C276
C275
GND

29

4683_INT
4683_CDTI
4683_CCLK

4683_RESET
4683_CSN

4683_CDTO

4683_I2C_CONT
+18V

28

CLOSE
5508_SCL

DECRST
RESET_5508

INSW
OPEN
5508_SDA

DREQ
DRVMUTE
SBSY
4683_VOUT

5508_MUTE
F_MUTE

5508_PDN
+18V

H/P_ON
27

CLSW

OPSW
OLED_BOOT OLED_BOOT

26
AUX1/DET AUX1/DET

25
R275
HP/DET HP/DET

24
EL_RESET EL_RESET OPEN +3.3V_D1 IC22

10K
23

10K
10K

10K
M24256-BWMN6TP +3.3V_CPU.

10K
UART_MISO R274

R353
UART_MISO

22

10K

10K
UART_MOSI OPEN
UART_MOSI

21

8
1
R354
R138

0.1uF
YOBI A0 VCC

C334
R351

1000P
YOBI

20

C331
R350
R352

33
33
EL_CS EL_CS +3.3V_CPU. +3.3V_CPU.

19

R290

7
2
EL_CLK

18
EL_CLK A1

R387
R283

R388
WP
EL_MOSI

17
EL_MOSI

0.1uF
R136 10K R233

1000P
C335

C332
EL_MISO 10K
EL_MISO

16

6
3
A2 SCL
KEY3 KEY3 4.7K

15
5VCPU_ON/OFF
KEY2 KEY2 R135 10K

14
R324
5142_SD

5
KEY1 KEY1

13
GND SDA 4.7K
REMOTE IN R987 OPEN

12
108107106105104103102101100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85 84 83 82 81 80 79 78 77 76 75 74 73
DC_PROTECT1 BUS0

P121/CLK6
P24/A4
P25/A5
P16/D14
P17/D15
P20/A0
P21/A1
P22/A2
P23/A3

P26/A6
P27/A7

P120/TXD6
P14/D12
P15/D13

P33/A11
P34/A12
P30/A8

A122/RXD6

P32/A10

P35/A13
P36/A14
P37/A15

P41/A17
P31/A9
P124

P40/A16
VSS

A23
VCC

VSS
11
109 P10/D8 72

B
OLED_CPU_POWER BUS1
OLED_CPU_POWER

10
110 P7/D7 71
P45/A21 BUS2

R989
LED_CONT1

10K
LED_CONT1 111 P6/D6 P46/A22 70

9
R292 10K BUS3
LED_CONT2 LED_CONT2 R299 10K
112 P5/D5 P47/A23 69

P42/A18

P43/A19
8

VCC
GND IPOD_DET P44/A20D8

P13/D11
P12/D10
P11/D9
113 P4/D4 P125 68

7
+5V_CPU R141 10K
GND SW_DOWN BUCK
114 P114 P126 67

6
AMUTE CCE
+3.3V 115 P113 P127 66

5
R161 R399 CE_M
+3.3V 116 P112/RXD8 P50/WR0/WR/CE 65 TO POWER BLOCK

4
10K
+5V_CPU DAB-P_CONT 33 SRAMSTB
117 P111 P51/WR1/BC1 64

3
R291 10K R990 10K
GND T.MUTE R382 10K
118 P110/TXD8 R52/RD 63 IC11-63PIN

2
TUNED R389
GND

CN41
119 P03/D3 P53/BCLK 62 POWER_ON/OFF

1
R348 10K R349 10K B_POWER_ON/OFF
TU_POWER 33
10K 120 D02/D2 P130 61 P_DOWN(50/60)
RDS_DATA R383 R321 POWER_ON/OFF
33
R137 OPEN 121 D01/D1 P131 60
USB_P.CONT DC_PROTECT1
Only RCD-N7 Use 122 P00/D0 VCC 59 R384 10K
GND_D1 DC_PROTECT2 R343 4.7K IC11
R264 123 P157 P132 58
RC_IN E_POWER_CONT R133 150K
124 P156 VSS 57
0 VBUS_MONI
125 P155/RXD6 R5F64169DFD P133 56
E_SPICS R297 10K 5142_OTW
126 P156/TXD6 P54/HLDA 55
E_RESET R988 OPEN EPM_M
127 P153 P55/EPM 54
HVBUS_CTL1 R994 OPEN
Q203 KILL_IR R323 4.7K
10K 128 P152/RXD7 ALE 53 R345
B_POWER_ON/OFF A107S FAULT_FLAG1 R150
Q202 R322 4.7K
129 P151/CLK7 RDY 52
+12V_A KRC102S +3.3V_CPU. 10K
C688 0.1uF C694 0.1uF 130 VSS P134/TSTXD2 51
CN45 R298
1

131 P150/TXD7 P135/ISRXD2 50

R294
B_I_AMP

R270

R293
C689 0.01uF

R266
C695

1K
0.01uF

47K
R346 10K

1K

1K
-12V_A 132 VCC P136/ISCLK2 49

KDS160
D204
2

HVBUS_CTL2 10K EL_RESET


+12V_A(0.35A) C693 1000P C696 1000P 133 P107/AN7 P137 48
KEY3 H/P_MUTE
3

134 P106/AN6 P60/CTS0 47


GND_A KILL_IR
Q204 KEY2
GND_A 135 P105/AN5 P61/CLK0 46 10K
R391
4

C666 R265 C3875S KEY1 E_TXDMIEO


-12V_A(0.1A) 1000P 136 P104/AN4 P62/RXD0 45

1000P
E_RXDMOEI

1000P

1000P
C245
R857

C234

P73//TXD8
R151

C235
5

C665 0.01uF 137 P103/AN3 P63/TXD0 44

P72/CLK2
P71/RXD2
GND_DRV GND_DRV 47K

R267
R974 33 BUSY_M/STEREO

18K

P93/CTS3
P95/CLK4
P94/CTS4
C
B1/B2 10K 138 P102/AN2

P96/TXD4
P64 43
10K
6

+7V_CD DRIVE(1A) C664 0.1uF P67/TXD1 R975 33 SCLK_M


139 P101/AN1 P65/CLK1 42
+7V_D1 FAULT_FLAG2 P70/TXD2
AVCC
7

LD_CHK 140 AVSS VSS 41


GND_D1 P97/RXD4 R256
RXD/232CMI
141 P100/AN0 P66/RXD1 40

P145/INT7
P144/INT6
P146/INT8

P141/(TN)
8

P75/RXD8
P80/RXD5

P76/TXD5
P77/CLK5

P74/CLK8
33

P92/TXD3
P91/RXD3
P90/CLK3
C687
GND_D1 1000P
Not Used RCD-N7 GND_D1
142 VREF VCC 39 R976

CNVSS

RESET
TXD/232CMO

INT2
INT1
INT0
143 38

VDC0

XOUT
P143
9

VDC1

P86

P81
C686 0.01uF

VSS
XIN
VCC
NSD

P87

NMI
+15V_USB/Wi-Fi(1.5A)

4.7uF/10V
33

4.7uF/10V
+15V_D1 144 37

OPEN

OPEN
OPEN
OPEN
OPEN
OPEN
OPEN
10

+3.3V_D(0.5A) C685 0.1uF 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36

4.7uF/10V
+5V_CPU
11

C684 1000P
GND_D1

C389
D203

C270

C290
C289
C288
C287
C222
C223
C272
C392

C249
12

+5V_D(0.8A) C683 0.01uF


+6V_CPU

IC21-28P
KDS160
+3.3V_D1 1000P
C682 0.1uF
13

GND_D1 IC32

10K
+5V_D1
C681 1000P KIA78R050F

10K
VOUT

10K
VIN
R984

R991
33
1 3

33

10K
C680 0.01uF

33
33

10K

10K
33

10K
10K
33
33
GND_D1 OPEN GND_CPU

R992

R386
R393
R215

10K

33
open
R268

0.1uF

4.7uF/10V
C667 C661

4.7uF/10V
0.1uF

0
VC

ADJ
+18V_OLED 2 GND 4

R395
OPEN

R993
R398

R396
R397

R355
R140
C662 0.01uF X1

R394

R392
R390

R139
100/16V

R341
5

R162
CN43 C663 1000P OPEN
100/16V

0.1uF
1

C248

10K
C247
C279

C263

+18V_OLED(0.06A)

IC11-13P
0.1uF

10K
C282

10K
C278
2

GND_CPU

1K
C264

R296

R347

10K
X202

R295
POWER_ON/OFF
3

POWER_ON/OFF

OPEN
R385
16M

IC11-18P

OPEN
GND_CPU

C391
+6V_CPU

OLED_BOOT
4

R344

E_SPIMIEO

E_SPIMOEI
AUX1/DET
OLED_CPU_POWER
C697 0.1uF

E_SPICLK
CNVSS_M
P_DOWN(50/60)

TU_SCL
TU_SDA

DAB_DO

DAB_DI
HP/DET
+6V_CPU

C390

RC_IN
GND_CPU

NSD_M

RESET
5

E_REQ
SP_PROTECT
C698

UART_MISO
0.01uF

UART_MOSI
LED_CONT1
LED_CONT2
GND_CPU

RDS_CLK
EL_MISO
EL_MOSI

EL_CLK
6

EL_CS
C699 1000P

YOBI
P_DOWN_50/60 5VCPU_ON/OFF
7

GND_CPU
GND_D1

D
+3.3V_CPU. P_DOWN(50/60)

+6V_CPU +3.3V_CPU +3.3V_CPU.


R680

4.7K

D202 DC_PROTECT1

KDS160 DC_PROTECT2

Q301
OPEN
L605

IC12 B_POWER_ON/OFF
C3875S BA33BC0FP
D236

R980
OPEN

OPEN

D229

Q302
OPEN

D207 VCC OUT


OPEN
OPEN
OPEN

OPEN
OPEN

OPEN

OPEN

OPEN

OPEN 1 3
5.6V

7.5V
20V
16V

11V

1N4003ST
20V

100/16V

GND
100/16V

0.1uF
0.1uF
D223

D227
D222

D228

C285

C286
D226

C265
D224

D225

C266
D221

4 +3.3V_CPU.
OPEN

D231
D230

GND_CPU
D237

D232

D233

D235
D234

220
R269
GND_CPU

KDS160
1K
R985

R944

D239
1M

1K
(1)
(A)
TO MAIN CPU BLOCK FROM CODEC BLOCK

(C) (E) (G) (I)

R271
100

GND_A
100

100

100

100

C224
100

1K
R200 R982 R977 R282 0.1uF

R981
GND_D1 150 180 270 1K

R286
C271

R285
10/50V
R279

R289

R287
R278

R277

R288
NC
Q303

NC
R276

R273

NC
R272

NC
(B)

0
(D) (F) (H) (J)
C102S
Sheet 002

R986

100K
OPEN
R281
V-DET

1 NC VCC 5
1 2 3
(3) (5) (7) (9)
VIN

VOUT
GND

R983 R250 R251 R253 2 SUB

150 180 270 390


R284

3 GND VOUT 4
R249

R255

R254
R252
NC

NC
KIC3201S-33

NC
GND_CPU

0
(2)

0
IC14 (4) (6) (8) (10)

1000P
C280
DC_PROTECT1 IC25
BD4730G

JIG TP DEBUG
Sheet 003

BN62
L603 +6V_CPU GND_CPU
+6V_CPU

E
1

TO NETWORK BLOCK

+3.3V_CPU
L604 0.1uF C604 0.1uF +6V_CPU
C601
2

+15V_D1
OPTION (A) (B) (C) (D) (E) (F)(G) (H) (I) (J)
C602 0.01uF C605 0.01uF GND_D1
R944 R286 R200 R285 R982 R289 R997 R288 R282 R287
3

MODEL
1000P C606 1000P GND_D1

4.7K
C603

4.7K
100K

R259
R257

R258
4

M-CR603 1K OPEN 150 OPEN 180 OPEN 270 OPEN 1K 0 CN24


+15V_USB/Wi-Fi(1.5A) RESET.
5

RESET

1
+15V_USB/Wi-Fi(1.5A) RCD-N7 1K OPEN OPEN OPEN OPEN OPEN OPEN OPEN OPEN OPEN +3.3V_CPU

2
6

TXD_CO
TXD/232CMO

3
GND_D1
RXD_CO
7

OPTION (1) (2) (3) (4) (5) (6) (7) (8) (9) (10)
RXD/232CMI

4
GND_D1 MODEL R269 R284 R983 R249 R250 R255 R251 R252 R253 R254 GND

5
8

GND_D1 CNVSS_CO.
CNVSS_M

6
E3 OPEN 1K OPEN OPEN OPEN OPEN OPEN O PEN OPEN OPEN EPM_CO
EPM_M

7
CE_M CE_CO

8
R724 0 E2 1K OPEN 150 OPEN 180 OPEN 270 0 OPEN OPEN NSD_CO
NSD_M

9
R725 0 BUSY_CO
BUSY_M/STEREO

10
R726 0 EK 1K OPEN 150 OPEN 180 OPEN 270 OPEN 1K 0 SCLK_CO
SCLK_M

11
R727 0

C700 0 JP 1K OPEN OPEN OPEN OPEN OPEN OPEN OPEN OPEN OPEN
C728
4.7K

OPEN
4.7K

R263

0
R260

R262
R950

R261

47K
10K

C729 0

F
GND_D1 GND_CPU

GND_D1

GND POWER + POWER - SCHEMATIC DIAGRAMS (3/9)

61
1 2 3 4 5 6 7 8

TO MAIN CPU BLOCK SHEET 003

CD_SPDIF
LD_CHK

SRAMSTB
MSTBY
LMSW

CLOSE
CD DSP BLOCK

CLSW

OPSW

OPEN

BUS0

BUS1

BUS2

BUS3
SBSY

BUCK
DREQ

CCE
SHEET 004 A

CD_SPDIF
FMO2

DMO1
DMO2
FMO1
DMO

R211
FMO

0
TRO1 FCO1
LD_CHK
VC +VREF
FCS- FC-

16
+3.3V_D3 OPEN R206 +1.5V_D2

1000uF/6.3V
TRK- TR- TRO2 FCO2

15

0.01uF
0.047u C220

C216
TRK+

C217
TR+
14

0.1uF
TO CD PICKUP

470P

470P
C215

C214

C213
R205
OPEN
FCS+ FC+ 0.047u C219

13 LD PD PD
12

OPEN C218

0.1uF
R231 SBSY

C221
LD VR
11

TRO R204
LD LD 91 1SS355T D201
10

FCO 0
+3.3V_D3

GND_D1
C212
PDIC GND

5600P
9

0 R202

R232
PDIC F F

47K
1K

100
8

C225

C226
15P

18P
+3.3V_D3

0
0
0
PDIC C C GND_DA
7

B
TEI +3.3V_D2

16.9344MHz
B

R203
PDIC B

1000uF/6.3V
6

R102
0.033u
PDIC A A A1504S

R210

R208
R209
C211

R207
5

0.1uF
L201 Q201 X201 C227

C210

C209

R212
D 39 R238

100
PDIC D
4

FEI
PDIC E E 10uH 39 R239 R213 0.1uF
3

60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42 41
21
22
RFZI 23

TEI 24
25
26
27
28
29
30
31
VDD1-3 32
D O U T 33
SDTI 34
BICK 35
36
37
38
39
40
PDIC VCC 39 R240 C228
2

LD 1M

9 10 11 12 13 14 15 16 17 18 19 20
0.1uF

RFRP
R241 LDO DVSS3

LRCK
39

AVDD3

FMO
TRO

DMO
VSS
FOO
PDIC VC
WN24

470/16V
1

PD 100/10V

C253
MDI RO

470/16V
0.1uF

C255
C256
+3.3V_D3

R131
AGND AVSS3 DVDD3

OPEN
C254
VRO DVDD3-2
L101 120 E
100/10V TNI LO
F 0.1uF C229
L102 120 TPI DVSS3-2 +1.5V_D2
CD DSP

0.1uF
0.1uF C230

C251
C267 OPEN C252 B
100/16V

100/16V
FPI1 XVSS3

0.1uF
IC21
0.1uF
C143
C144

C145

C146 D
C268 FPI2 XI
OPEN A TC94A92FG
FNI1 XO
C269 GND_DA C

LD
OPEN FNI2 XVDD3
RVSS3 VDD1-2
+3.3V_D1 C208 OPEN
C207 RFO VSS
R217 DREQ
FG1 RFO

8
C206 AGCI PIO0
0.1uF R236 OPEN 0
GND_DA

R237
7

OPEN
TSETR PIO1
0.015u R218 OPEN
+3.3V_D2

6
+7V_D1 RFEQO PIO2
0.1uF C205 R219 OPEN

5
R246

R248

FREQO RFRPI PIO3


R247
10K

10K
10K

4700P C204 R221 OPEN

10K
4
RFI AIN
0.01uF C203 R222 OPEN

73 /SRAMSTB
3

65 BUS3/SI
64 BUS2/SO
SLCO BCK1
TO MECHANISM

71 VDD1-2

R230
R223 OPEN

74 VDDM1
LMSW

76 TMAX
78 LPFO
77 LPFN

70 TEST
69 /RST

67 /CCE
66 BUCK
RVDD3

72 VSS4
INSW LRCK1 C231 R220
6

75 PDO

63 MS2
2200P C202 R224 OPEN

68 M S

62 S0
VCOI DECRST

0.1uF

1
100/10V
C240
GND 33

C239

80
PVREF 79

61
IC31 0.1uF
5

C201

C
R201

0.015u
SLED- VCOF 100 R214 BUS0
SLED- IP4001CRLTF

330K
4

0.1uF 220

47K
100 R104 BUS1

1
SLED+ SLED+ SP- SP- GND_DRV 100 R242 BUS2

28
3

GND3 0.01uF C244 100 BUS3

R225
OPEN R243

OPEN
C238
C301
SPINDLE- GND_DA

10K

R235
2

R227
R226
SP- SP+ SP+ SLED+ 100 R244 BUCK

27
2

0.1uF
SL+

C311
0 R245 CCE
SPINDLE+ R301
CN25

3
SP+ FIN-R SLED-

26
1

SL-
+VREF
R302 OPEN R311

FMO

R228
4
DMO FIN

15K
25
TIN-R SRAMSTB
470 OPEN R310

10K
10K
REB 5

10K
10K
FMO

10K
10K
24

10K
TIN +3.3V_D2
DMO

R103

OPEN

470 C241

0.1uF
REO
6

C233
23
VREF

100/10V

47uF/10V
0.1uF

0.1uF

0.1uF
C310

C309

1000P
C242

R132

R229
R234
R303 47P

C232
R105
R106
C237

R107
R108
MUTE

C243
DRVMUTE
7

22

470/16V
VCC2

470/16V
+1.5V_D3

0.1uF
0

R309
47K
FCO

OPEN
C302

R312

OPEN
GND1
8

GND_DA

0.1uF
21

C246
VCC2

C308

C307

C306
R304 OPIN R308
OPEN
9

20

LD_CTL
R305 4.7K OPEN R307 GND_DA GND_D1
10

FCO SPIN GND_DRV TRO


19

SLIN GND_D1
0 0
FC+ F+
11

TR+
18

T+

C305
OPEN
C303
F-
12

17

T- TR-
OPEN GND2
FC-
13

16

CLO
R306
CLOSE CLIN
14

15

TRO
OPO
4.7K
TO MECHANISM

MOTOR DRIVE
D
CN26

LOADING-
1

0.1uF

C304

LOADING+
2

OPSW
OPSW
3

GND
4

+3.3V_D1
CLSW +1.5V_D2 +1.5V_D1
CLSW
5

0.1uF
0.1uF
0.1uF

GND_DRV
ET51 L104 D103 KDS160

100/16V
120 IC18

1000P
R781 0 +1.5V_D3

0.1uF
C175

C176
C174
C260

C261

C262

KIA1117S15
ET81 R782 0 GND_D1

100/16V
2 Out In 3

0.1uF
C257 0 R778 0 L105

C293
C294

GND

100/16V
120

100/16V

0.1uF
C291
R779 0

1000P
C258

0.1uF
C178

C292
C180
0 C177 1
FG2 C259 0 R780 0
+3.3V_D1
R783 0
FG2
R784 0 R142 0
+1.5V_D1
+3.3V_D2
R143 0 DC_PROTECT2

R978
R144 0

OPEN
L106
GND_D1
100/16V

GND_DRV 120 R145 0 D256

1000P
0.1uF
C190

C191
Q253
C189

+3.3V_D3 OPEN OPEN


D257

OPEN

E
L107

120
100/16V

1000P
0.1uF
C186

C187

0.01uF
C185

0.1uF
C188
GND_D1
C131

R146 0
R147 0
R148 0
R149 0

C192 OPEN

C193 OPEN

C194 OPEN

C195 OPEN

GND_DA ANALOG L SIGNAL LINE


ANALOG R SIGNAL LINE
DIGITAL SIGNAL LINE
SW SIGNAL LINE
F
GND POWER + POWER - s SCHEMATIC DIAGRAMS (4/9)

62
1 2 3 4 5 6 7 8

PWM_HP-L

SW_OUT-
PWM_HP-R

PWM_HP+L

SW_OUT+
PWM_HP+R
PWM/AMP BLOCK
SHEET 005

R565

R569
R575

R545
R579

R542
0

0
PWM CONTROLLER
PARTS
A
+3.3V_D1 +3.3V_5508

AMP PARTS

22
R681

OPEN +32V
+12V_5142

R494
R543 OPEN R540 5142_OTW

0.1uF
C524
R544 OPEN LOW ESR 33 5142_SD 10/63V
C719 (RFO) RCD-N7 Not Used

0.01uF

0.01uF
R501

3.3
VALID
R101 OPEN

C505

R503

R502

C502
200

200

64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49
C523 L705 L706

33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48
L501 C501 VRA_PLL

1
120 120

PWM_HPL-

PWM_8-
R539

PWM_6-

PWM_5-
VR_PWM 0.1uF 100

MCLK

PWM_8+
0.1uF

0.1uF
0.1uF

PWM_HPR-
PWM_HPL+

PWM_6+

PWM_5+

10
DGND

DVDD_PWM
DGND_PWM
C503
C504

PWM_HPR+
PLL_FLT

*FOR EMI
0.1uF

0.1uF
0.1uF

0.1uF

0.1uF
PWM_4+

2
R538 100 IC71 C718 3300/50V

R701
100/16V

10
PLL_FLTM

C525
PWM_4- TAS5142
RCD-N7 Not Used

R708
3
R537 100
PLL_FLTP PWM_3+

C526
C701

4
R536 100 C717 0.1uF

36

C745

C746

C747

C748
1
PWM_3- GVDD_B

5
AGND R535 100 0.1uF GVDD_A L720 L703
C716 33N(20)

35
PWM_2+

10/50V

330pF(20)
0.1uF

2
6
R534 100

OPEN
AGND OTW

10K
C506

10K

10K
R492 COIL, 10uH

C739
PWM_2- BST_A HLZ9Z014Z

7
R533 100 C715 0.1uF(32)

0.47uF(M)

100N(20)

C744
2.2

34
VRD_PLL

3
0.1uF PWM_1+ SD 10N(20)

8
IC51 R532 100

C740
AGND_PLL PVDD_A

C742
C508

C507
PWM_1-

33

R714
16 15 14 13 12 11 10 9

4
TAS5508B

R507
R506
R505
R504

R716
C509 VDD_PLL VALID PWM_A
VALID OUT_A 18-F(20) 3R3-F(20)

B
VBGAP R531 0 5142_SD

32
R509

5
R508 33 1000P DGND RESET_AB
RESET R530 10K +3.3V_5508 DGND_A
H/P_ON 1K BKEND_ERR

31
R491

6
DVDD

R713
HP_SEL PWM_B

R715
100N(20)
R510 33 R702 DGND_B 18-F(20)
DGND 3R3-F(20)

330pF(20)
PDN 1.0

30

C741
7
R511 33

10/50V
OC_ADJ

0.1uF
DGND Q701

C521

C522

C738
MUTE OUT_B

28 SDIN4
29 SDIN3
30 SDIN2
31 SDIN1
19 XTL_O
20 XTL_I
56K

21 DGND
22 DGND
23 DGND

26 LRCK
27 SCLK
C714 0.1uF(32)

C743
29
L721

24 SDA
25 SCL
10/50V
C107S

8
0.1uF
DVDD VR_DIG

0.1uF
0.1uF

0.1uF
DGND

0.1uF

0.1uF
10N(20)

1.0
0.1uF
DGND PVDD_B HLZ9Z014Z L704
C713 33N(20)

17
18

32

28
9
AGND
BST_B COIL, 10uH

VR_DPLL
OSC_CAP
C702

PSVC
C712 33N(20)

4.7K

0.1uF
C513
C512
C511
C510

100K
100K
100K
C567

27
C517 VREG

10

330pF(20)
C520
33
33
33
33

33
R493
C516

C515
C514
BST_C L701
Q702 0.1uF L723

0.47uF(M)
C732

C737
100N(20)
0.1uF C711 0.1uF(32)

26
COIL, 10uH

11
A107S M3 HLZ9Z014Z 10N(20)

C733
PVDD_C L701-N7

C735
R703

1K
R516

R518
R519
R520
R526
R527
R528
R529
R517

25
12

R712
M2

R710
Q501 R514 OUT_C COIL, 10uH 3R3-F(20)
C703 18-F(20)

24
C107S

13
M1
1M DGND_C

R515
0.1uF

23
14
R521 2.2K GND_D1 PWM_C

R709

R711
DGND_D

100N(20)
X501

R704
Q504 18-F(20) 3R3-F(20)

C734
22
15
1K
ET72 R522 2.2K

330pF(20)
Q503 RESET_CD
A107S 13.5MHz
C107S OUT_D

C518

C731

C736
C519
R523 OPEN C710 0.1uF(32)

22P

18P

16

21
PWM_D 10N(20)
R524 OPEN PVDD_D L724
C580 0 R525 OPEN R705 C709 33N(20) L702

20
17
VDD

100/16V
GND_D1 BST_D COIL, 10uH

0.1uF
1 C706 HLZ9Z014Z

C704

C705
C581 0 C708 0.1uF

18

19
GVDD_C L702-N7
0.1uF GVDD_D JK71
C582

R706

R707
0 COIL, 10uH

10

10
ET71
Q502 1 +
ET72 L709 L710
GND_D1 A107S
-

SPEAKER OUT
120 120 C707 C891 2
ET72
R512

R513
OPEN
+12V_5142 +32V

0
10/50V GND_A1 0 3 +
C892
10/63V 4 -
+12V_5142

C
C769 (RFO) 0
C893
DC_PROTECT1 5 +
L707 L708
0 -
6
120 120

10
+32V ET71

R751
IC72

10
C768 3300/50V 7 +
TAS5142

R758
+3.3V_5508
8 -
C751 C767 0.1uF RCD-N7 Not Used

36
RESET_5508

1
5508_SDATA
5508_MCLK

GVDD_B
5508_MUTE

5508_LRCK
5508_SCLK
0.1uF GVDD_A L725 L753 9
5508_PDN

5508_SDA
5508_SCL
C766 33N(20)

35
5142_OTW

2
F_MUTE

330pF(20)
R979

OTW
10K

BST_A COIL, 10uH 10


CNVSS_M

C765 0.1uF(32) HLZ9Z014Z


1SS355T

0.47uF(M)
C789

R766
34
5142_SD

RA+
RA-

LA+

LA-
RB+
RB-

LB+
LB-
100N(20)
D240

16V

D262

D263 SD 3R3-F(20)

C790
Q256 PVDD_A

C792
KDS160

SP_PROTECT

33
$$$28292

4
KRC102S

R764
1SS355T PWM_A

C794
$$$6118 OUT_A
R969 18-F(20) 10N(20)

32
D241

20V

5
RESET_AB
51K DGND_A

5508_LRCK

5508_SCLK
5508_SCL
RESER_5508
5508_MCLK

31
D238

5508_SDA
F_MUTE

5508_MUTE
5508_PDN
R972

5508_SDATA
10K

C793
R763
PWM_B

100N(20)
DGND_B 18-F(20) 10N(20)
R752
R280

+32V
100

30

C791
330pF(20)
7
OC_ADJ

R765
56K OUT_B

C788
Q751 C764 0.1uF(32)

29
L726 3R3-F(20)

8
V-DET C107S DGND

LA-
LB-

CN61
RA-
RB-
HLZ9Z014Z +32V

LA+
LB+
RA+
RB+
PVDD_B

1
C763 33N(20) L754

28
AGND

9
BST_B +32V

0.01uF
GND_D1 COIL, 10uH
TO MAIN CPU BLOCK

0.1uF

1000P
C752

2
C762 33N(20)
TO CODEC BLOCK

27
DC_PROTECT2 VREG

330pF(20)
10
TO CODEC BLOCK TO MAIN CPU BLOCK Q752
SHEET 003

BST_C +32V
SHEET 002 L751

C782
0.1uF L727

3
A107S C761 0.1uF(32)

0.47uF(M)
+32V

100N(20)
26
M3 COIL, 10uH

11

C787 R762
HLZ9Z014Z
SHEET 002 SHEET 002 PVDD_C 3R3-F(20) GND_A1

C691
L751-N7

C690
C692
C783

C785

4
R753

25
1K
M2

12
R650
R649
R648

R633
R647
R646
R645
R644

R760
Q705 OUT_C COIL, 10uH GND_A1
18-F(20) 10N(20)

5
C753

24
KTA1504S M1

13
1000P
DGND_C

100K
R651
C571

10K
10K
10K

10K
10K
10K
10K
10K
0.1uF

23
PWM_C

14

C786
100N(20)
R759
DGND_D 10N(20) GND_A1

C784
D

R754
18-F(20)

22
1K

15

330pF(20)
RESET_CD
RCD-N7 Not Used

R761
100K
R652
OUT_D
C760 0.1uF(32) 3R3-F(20)

C781
16

21
PWM_D
C574 C575 PVDD_D L728

100K
R654
R755 C759 33N(20) L752
Q706

20
17
VDD
KTC3875S 220/25V 220/25V BST_D COIL, 10uH

100/16V

RCD-N7 Not Used


1 C756 HLZ9Z014Z

0.1uF
C758 0.1uF

0.1uF

0.1uF
C754

C755

0.1uF

0.1uF
19
18
GVDD_C L752-N7
R767 0 GVDD_D

R655

47K
0.1uF

*FOR EMI
1000P
C572
R656

100K
Q708

R757
R756
R768 COIL, 10uH

10

10
0 KTC3875S

C795

C796

C797

C798
Q707
KTA1504S L711 L712
C757
R546 0 R550 0 R554 0 120 120
+3.3V_D1 +3.3V_5508 +12V_A +12V_5142
*LEFT CHASSIS R547 0 R551 0 R555 0 10/50V

1000P
C573
R548 R552 R556

KDS160

KSD160
0 0 0 +12V_5142

D608
D607
GND_A1
R997 C726 0 R549 0 R553 0 R557 0
R434 OPEN R404 OPEN GND_A1
GND_A C544 OPEN C547 OPEN C550 0 R653
1000p C725 0 R435 OPEN R405 OPEN
R998 C545 OPEN C548 OPEN C551 OPEN R436 OPEN R406 OPEN 10K
0.01uF C724 0
C546 L402 L401
OPEN C549 OPEN C552 OPEN
100/25V

R999
100/25V

0.1uF
0.1uF

C404
C402

C403
C401

0.1uF

GND_D1 GND_A1
FG4 HT_GND GND_A GND_D1 GND_A
GND_A1

GND_D1
GND_A

ANALOG L SIGNAL LINE


ANALOG R SIGNAL LINE
DIGITAL SIGNAL LINE
SW SIGNAL LINE F
GND POWER + POWER - s SCHEMATIC DIAGRAMS (5/9)

63
1 2 3 4 5 6 7 8
+ 3.3V_CPU + 5V_CPU

+18V_OLED
BN85
+18V

1
+3.3V_OLED
+18V

LED_CONT2.

LED_CONT1.
2
C996 0.01uF GND

3
C991 0.1uF GND

4
C999 1000P

OPEN
R263
RC81 RPM6936 +5V_CPU

+16V_OLED IC83
BN81 BA00D00WHFP

A
R938 R939 R940 R941 R942 Q933
KEY1.

1
R964 AUX_L_IN GND_OELD3 Q935
KRA102S

CTL
ADJ
5 1 OPEN 150 180 270 390 KRA102S
VOUT GND Vcc

R934
PLAY/PAUSE

100
SKIP+/CH+
2

OPEN
0 GND_A

R937
JK83 HJJ2D003Y

VOL UP
1 2 3

S901

S902

S903

S904
POWER

S905
1

VOUT
L904 L801

VCC
R954

UP
4 2

3
7 AUX_R_IN GND

OPEN
R943
R965 120
Bead (60) 470 3 +5V_CPU
FRONTF IN 6 Q932 Q934

R845
1000p

47/35V
GND_A

15K
OPEN

180P
C950

R956

C952

0.01uF
47K

47/35V
0.1uF
3 KRC102S KRC102S

0.1uF

47/16V
1000P

10K

0.1uF
C931

C932
AUX 1 R944 R945 R946 R947 R948

R935

R936
2 H/P_L_OUT

680

680

R967
0.1uF

0.1uF
KEY2.

C941

1K

C979
8.2K
R846
4

R932
OPEN 150 180 270 390

100
6

R997

OPEN/CLOSE
GND_A

C839

C840

C841

C842

VOL DOWN
C837

C838
5

S908

S910
S906

S907

S909

LEFT
STOP

DOWN
R847

7
H/P_R_OUT Q931

OPEN
R949
1000p

A OPEN
180P
C951

R957

C953
47K

A OPEN
KRA102S

0.1uF

WHITE
2K

0.1uF
OPEN
0.1uF

10K
0.1uF

0.1uF
0.1uF

OPEN
OPEN
C933
C849

C850

C851

GND_OELD2

D931
GND_A
GND_A

RED

D932

WHITE
L905
R955 R950 R951 R952 R953

C934
KEY3.

D938 A

C954
R966
D939

D940

D943
D942 A

R968
Bead (60) 470 OPEN 150 180 270

SKIP-/CH-
R857 0

SOURCE

ENTER

RIGHT
S911

S912

S913

S914
R931
R858 0 +3.3V_OLED GND_OELD3
GND_A 0
GND_C3 R890 0
R891 0 C834 GND_A

R933

47K
C925 0 C922 0
0.1uF C945 C986
C926 0 C923 0 OPEN OPEN

L802

120
JK84 HJJ2D003Y
1 C946 0.1 C992 0.1
C927 0 C924 0
7 C835 C949 0.1 C987 OPEN GND_OELD2
L906 C988
6 Bead(60) OPEN
0.01uF
H/P OUT 3 C836
GND_C3
GND_OELD3
GND_OELD2
C993 0.1

REMOTE
2
GND_C1

KEY3

KEY2

KEY1
L907 0.1uF GND_OELD2
4 GND_C3
0.01uF

0.01uF

Bead(60)
C968

C969

B
+3.3V_OLED +3.3V_CPU
0.1uF

0.1uF
0.1uF

+3.3V_OLED
C955

C980

C985

R887 R825
GND_A R960

0.1uF

1000P
C806

C805
2.2 OPEN
330K
D D S
GND_C3 C831 6 5 4

4.7K
R826
4.7uF
C832 108107106105104103102101100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85 84 83 82 81 80 79 78 77 76 75 74 73

P121/CLK6
P24/A4
P25/A5
P16/D14
P17/D15
P20/A0
P21/A1
P22/A2
P23/A3

P26/A6
P27/A7

P120/TXD6
P14/D12
P15/D13

P33/A11
P34/A12
P30/A8

A122/RXD6

P32/A10

P35/A13
P36/A14
P37/A15

P41/A17
P31/A9
P124

P40/A16
A23
VSS

VCC

VSS
109 P10/D8 72
4.7uF 1 2 3
C833 110 P7/D7 71 D D G
P45/A21 R820 22 E
111 P6/D6 P46/A22 70
10/16V R821 22 R/W
112 P5/D5 P47/A23 69 Q937

P42/A18

P43/A19
Q936

VCC
P44/A20D8 R823 220

P13/D11
P12/D10
EL_DC KRC102S

P11/D9
C848 113 P4/D4 P125 68 FDC608PZ
R822 22 CS OPEN
114 P114 P126 67 C935 OPEN
USB HOST VSS 10/16V
115 P113 P127 66
R824 33 EL_RST.

1
R811 33 JW81 C936 OPEN
NC CE_CO
116 P112/RXD8 P50/WR0/WR/CE 65

2
L931 C846 NC C937 OPEN
VCC 117 P111 P51/WR1/BC1 64

3
Bead (220) R992 10K
TO ETHERNET BLOCK

VBUS VCOMH C847 1uF OPEN


4321

118 P110/TXD8 R52/RD 63


JK81

4
DATA- C938 OPEN
DATA+ VLSS 119 P03/D3 P53/BCLK 62 GND_OELD1

5
GND R958 0 OPEN
D7 R819 22 DA0 JW82 C939
DA7 120 D02/D2 P130 61
Sheet 006

6
R959 0 R818 22
D6 DA1 C940 OPEN
1

VBUS DA6 121 D01/D1 P131 60


A OPEN
A OPEN

7
D5 DA5
220/10V

122 P00/D0 VCC 59


8
2

DATA+ R817 22
0.1uF

0.01uF
L932

OPEN
0.1uF

DA2
OPEN

D4 IC81
A

1000P
OPEN

0.1uF

DA4 123 P157 P132 58


OPEN
0

9
OPEN

OPEN

D3 GND_OELD2
3

DA3
10

DATA- 124 P156 VSS 57


OPEN
R963

R816 22
D935 A

D936 A

D937 A

D2 DA3
11

DA2 125 P155/RXD6 P133 56

C
C960
C958

C959

C961
C967

4
C965

C966
R961
R962

C962

C963

C964

GND D1 DA1
12

126 P156/TXD6 P54/HLDA 55


D0 EPM_CO
5

DA0
13

GND 127 P153 P55/EPM 54


E R810 4.7K
E
14

BN82 128 P152/RXD7 ALE 53


R/W R809 4.7K
R/W
15

C956 129 P151/CLK7 RDY 52


BS0 R815 22 DA4
16

130 VSS P134/TSTXD2 51


0.1 R814 22 DA5
BS1 R859
17

C957 131 P150/TXD7 P135/ISRXD2 50


R813 22 DA6
D/C EL_DC
18

132 VCC P136/ISCLK2 49 0


CS R812 22 DA7
0.01 CS R860
19

133 P107/AN7 P137 48


RES EL_RST.
20

134 P106/AN6 P60/CTS0 47 0


GND_C3 NC
21

135 P105/AN5 P61/CLK0 46 R861 R862


IREF UART_MOSI.
22

136 P104/AN4 P62/RXD0 45


KEY3. UART_MISO. 0 0

P73//TXD8
NC
23

137 P103/AN3 P63/TXD0 44

P72/CLK2
P71/RXD2
R863 R864
VDDIO KEY2. R808 33 BUSY_CO

P94/CTS4
P93/CTS3
P95/CLK4
24

138 P102/AN2 P64 43

P96/TXD4
1000P
C830

R839

10K
VDD KEY1. P67/TXD1 R807 33 SCLK_CO 0 0
25

139 P101/AN1 P65/CLK1 42


R991 R993 P70/TXD2
AVCC
* Only M-CR603(N VERSION) VCI
26

Bracket GND 140 AVSS VSS 41


R838 OPEN 10K P97/RXD4 R806 33 RXD_CO GND_OELD3 GND_OELD2 GND_OELD1
VSL
27

141 P100/AN0 P66/RXD1 40

P145/INT7
P144/INT6

P141/(TN)
P146/INT8

P75/RXD8
P76/TXD5
P77/CLK5

P74/CLK8
P80/RXD5
P92/TXD3
VLSS

P91/RXD3
P90/CLK3
* F VERSION is OPEN. 0
28

142 VREF VCC 39


NC R805 33 TXD_CO

CNVSS

RESET
29

143 38

INT2
INT1
INT0
P143

XOUT
VDC0

VDC1

P86

P81
NMI
NSD

P87

VSS
XIN
VCC
VCC EL_MISO.
30

144 37
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36

4.7uF
4.7uF

0.1u
0.1u
0.1u
0.1u
0.1u
0.1u
C990 0.1uF
EL_MOSI. GND_OELD2
C995 0.01uF
DAB TUNER 30P(9631S)
CN84 EL_CLK.
CN88

10K

10K
1uF

1uF

1uF

R994
1M

+3.3V_CPU +5V_CPU

10K
4.7
C998 1000P GND
2.7V
D941

1
C810
C811
C812
C813
C814
C815
C808
C809

R801
0

1000P
10K
0

0.01
C978
C976

C977
GND

0.1

2
EL_CS
C827

C828

C829

R996
R840

C801

R995
+5V

3
YOBI.
R999
R998

C997 1000P +3.3V

4
OLED_BOOT
+3.3V

1000P
C994 0.01uF

0.01
C975

C974

C973

5
EL_RESET.

0.1
C989 0.1uF GND

6
D
C918 C816 C820 C823
R908 R910 GND

7
1000P
33P OPEN 0.1 LED_CONT2. LED_CONT2

8
22/50V 220 Q901 100

TO MAIN CPU BLOCK


Q903 C817 C821 C824 GND_OELD1 LED_CONT1
KTC2875B LED_CONT1.

9
KTC2875B R803
OLED_CPU_POWER

10
R909 R911 0.1 0.01 0.01

Sheet 003
100P
C920

C802
R907

R912
10K

47K

R913 C818 C822 OPEN NC


C825

11
3.3K 3.3K X802
Bracket GND GND_OELD1 REMOTE
16M REMOTE

12
0 0.01 OPEN 1000P
KEY1

1K
C826 KEY1

13
R914 C819
CN92 KEY2 KEY2

14
AUDR 0 1000P 33P KEY3

15
C919 R915 R917 KEY3

R802
29
30

AUDL EL_MISO.

16
GND_OELD1 EL_MISO.
A_GND 22/50V 220 100 GND_OELD3 GND_C1 GND_C3
GND_C1 EL_MOSI. EL_MOSI.

17
27
28

Q902

CNVSS_CO.

EL_RESET.
AUD_SDOUT0 Q904 EL_CLK.
R906

10K

EL_CLK.

18
NSD_CO.
KTC2875B KTC2875B
AUD_SCLK EL_CS.

19
EL_CS
0
0

R916 R918
100P
C921
R919
25
26

AUD_SFR
47K

YOBI.

20
YOBI.
AUD_MCLK 3.3K 3.3K UART_MOSI.
UART_MOSI.

21
R904

R905
24
23

SPI_SCLK UART_MISO.
UART_MISO.

22
CN91 +3.3V_OLED
SPI_MOSI EL_RESET.
EL_RESET.

23
21
22

SPI_MISO DAB_L
1

HP_DET. HP/DET.

24
S/PDIFOUT AGND
2

AUX1_DET. AUX1_DET.

25
R903
AUX1_DET.
19
20

SYS_RESET
3

DAB_R OLED_BOOT OLED_BOOT

26
4.7K

4.7K
100K
R849

R850

R851
GPIOA14 33 HP_DET.
4

T.MUTE +18V

27
+18V_OLED
17
18

SPICS2

DISPLAY BLOCK
5

DAB_SPDIF +18V

28
S1DOUT
6

DGND CN87 C972 1000P GND

29
R902 10
15
16

S1DIN DAB_DO
7

EL_RESET. C971 0.01 GND

30
R901 10 EL_RESET.

TO MAIN CPU BLOCK


SCP2CLK

SHEET 006
8

DAB_DI C843 C970 0.1


+3.3V_OLED

2
13
14

SCP2DATA +5V_DAB
9

TXD_CO TXD_CO
0.1

3
10

GPIOB10 DGND
C844 RXD_CO RXD_CO

4
11
12

11

GPIOB4 DAB_P.CONT GND_OELD3


GND

5
CPIOA12 D901 0.01
CNVSS_CO. CNVSS_CO.
C845

6
10

CPIOB1
9

KDS160 EPM_CO EPM_CO

7
CPIOC15 1000P
IC91 GND_C3 CE_CO CE_CO

8
GPIOA5
7
8

KIA78R033F NSD_CO. NSD_CO

Sheet 003
9
GPIO_B11 L901 GND_OELD1 GND_C3
VOUT

VIN

BUSY_CO BUSY_CO
3 1

10
GPIOC14 220
5
6

0.01uF

0.1uF

SCLK_CO
OPEN

SCLK_CO

11
GND
100/10V
VC
ADJ
100/16V

100/16V

4 2
C914

GND
0.01uF

0.01uF
KDS160

0.1uF

GND
C913
3
4

C910

C912
C909

C911
D903

5
3.3V
C916

C917
C915

4.7K

4.7K
S915
1.2V

OPEN
R856

R852

R853

R854

R855
1
2

10K

47K
+1.2V_DAB
30P WAFER D902

KDS160
L903 IC92 GND_OELD1

ANALOG L SIGNAL LINE


L902 220
VOUT

VIN

3 1
22K
C905

C906

220
100K
R893
47/16V

ANALOG R SIGNAL LINE


0.01uF
0.1uF

0.1uF

C904

VC
C903
C901

C902

ADJ

4 GND 2
100/10V
0.1uF
C907

C908
47/16V
0.1uF

R892

DIGITAL SIGNAL LINE


SW SIGNAL LINE F
GND POWER + POWER - s SCHEMATIC DIAGRAMS (6/9)

64
1 2 3 4 5 6 7 8

F
GND POWER + POWER - sd SCHEMATIC DIAGRAMS (7/9)

65
1 2 3 4 5 6 7 8
+15V_U/W
USB_P.CONT
DC_PROTECT2
VBUS

23P-FFC_BASE
(10022HS-NNC00)
USBVBUS
USBVBUSDRV
TO MAIN CPU BLOCK
Sheet 003
TO DM860

R0101

R0106

R0112

R0124
OPEN

OPEN

N4201
OPEN
+5V_D1

23
22
21
20
19
18
17
16
15
14
13
12
11
10
UDZS7.5B

9
8
7
6
5
4
3
2
1
0
OPEN
D0101

C0110

C0116
L0101

0.01
1 2
10uH

USBVBUSDRV
3 SW BS IN
CMI-SSP12L80F
7

MIIPHYCLK
R0125
- -

47K

860SPDIF
EN
E_POWER_CONT

NET3.3V
NET1.2V
USBVBUS
MIIRXD2
MIIRXD3
MIIRXDV
MIIRXER
MIITXD2
MIITXD3
MIITXEN

MIIMDIO
U0103

PHY_RST
C0129 220/35
OPEN

1000P

E_SPIMIEO
E_SPIMOEI
E_RXDMOEI
E_TXDMIEO
C0114 R0118

MIIMDC
0.01
R0115 R0114 R0113
A

-
5.1K 43K 3.9K

E_SPICLK

-
C0127 1000P
1000P 4.7
C0147 47/16
6 5 4

-
USBD-
USBD+
C0146 47/16
5 FB SI-8005Q-TL SS 8

C0128 0.01
0.5%

E_RESET
E_SPICS

DGND
VBUS_MONI

E_REQ

E_SPIMIEO
E_SPIMOEI
E_RXDMOEI
E_TXDMIEO
R0110 4.7K
GND COMP DGND

E_SPICLK
D0102

E_RESET
E_SPICS
4 6

KDS160
D0105

860SPDIF
0.5%

KRC104S
Q0103

E_REQ
SS35
C0112
C0113

C0126
C0111

R0122 C0121
30K 1000P

0.1

R0126

R0127
OPEN

OPEN
C0124

1005
1608

1005
180P
R0105
OPEN

Q0104
1 2 3

0.5%
DGND

R0111

KRC104S
100

FAULT_FLAG1
Q0101

HVBUS_CTL1

USBVBUSDRV
FDC608PZ

USBVBUS
DGND

NET1.2V
-

-
R0102

-
0

USBVBUS
3216

U0102 FAULT_FLAG1 D0104


OPEN KDS160 +5V_D1

NET3.3V
U0101 +15V_U/W

22/16 GV
microSMD175F D0103 +5V_D1 N0103

C0145
1000P
3 4
OC EN R0109 8P-PH
R0103 OPEN Q0105

C0134
2 G KDS160
R0104 OPEN OPEN DTC123EKA U105
O I 5 KIA78R033F/PI 1

E_SPIMIEO
E_SPIMOEI
E_RXDMOEI
E_TXDMIEO
1
C0105 0.1/10

100/6.3
U0104

C0141
E_SPICLK
C0104 0.01

3 OUT IN 1 2

E_RESET
E_SPICS

4.7/6.3
4.7/6.3
R0107 OPEN HVBUS_CTL1 PQ012FZ01ZP

GV
R0116 0 4 NC

E_REQ
1005 DGND 3 OUT GND CTL 3

C0136 10/6.3
IN 1 C0143

KRC102S
5 2 4
USBVBUSDRV 5 G 0.1

Q0102
R0108 OPEN 1005 1005 ON BIAS

100/6.3
5
R01191005OPEN USBVBUS

C0132
R0117 OPEN 4

0.1
2

C0138
C0135
1000P

C0137

C0139
OPEN
6

GV

100P
C0149
C0148
OPEN.
C0108
C0106

C0109

R0120 OPEN

GV
220/10

1
1/10

USBD-
USBD+
7
C0102 0.01
C0103 0.1Z
C01011000P

3
2 8
DGND

C0131

C0133
100/6.3
0.1
100/6.3
C0130
U0106

100/35 VE
NET3.3V OPEN
B

C0150
DGND DGND

C0144
C0142

1000P
C0140

0.1
OPEN
0.1Z

R0121
14

OPEN

MIIPHYCLK
VCC U0106
1608

OPEN

MIIRXD2
MIIRXD3
MIIRXDV
MIIRXER
MIITXD2
MIITXD3
MIITXEN

MIIMDIO

PHY_RST
DGND

MIIMDC
GND
C0107

7
BLOCK

USBD+
USBD-
4
6 Q0106
5 VBUS R0128 R0129 KRA102S
U0106 N0101 0 100 -

OPEN 5P-PH (SMD)


0
9 1
-
D0107
8 VBUS

-
TO USB SELECT

Q0107

UDZS5.1B
10 2
+Data OPEN KRC102S

C0123 100/6.3

C0151
U0106 D0106 -
C0120 0.1/10
-Data 3
C0119 0.1/10
OPEN

1608
C0122 0.1Z

100
GND 4
Sheet 003

12 5
11 SHIELD
13

R0123
0.01Z
C0117

U0106
OPEN

NET3.3V
DGND
C0115
0.1Z

DGND

4.7/6.3

4.7/6.3
C0125

0.1/10
0.1/10
0.1Z
ETHERNET
C0118
1000P

FG12 DGND

C4215
C4210
C4211

C4216
L4204 NET3.3V C
MIIRXDV 33x4 2 1
R4218 BLM21PG221
MIIMDC 33x4 4 3
R4218
BRACKET-PCB(ANAM) MIIMDIO 33x4 R4218 C8008 C8009 C8010
MIITXEN
6 5

OPEN OPEN OPEN


Z4201 33x4 8 7
R4218 R4219 1.5K

NET3.3V
PHY_RST
C4235 0.01

C4238 0.01

1.5K
C4236 0.1Z

C4239 0.1Z
C42341000P

C42371000P

R4220

1000P
R4211 33 R4213 10K R4221 10K
DGND

10K
R4214 OPEN R4222 10K

R4212
C4203 1000P L4202 BLM21PG221

C4209
R4237 0 9 8 7 6 5 4 3 2 1
C4244 0.1Z 1005
C4204

SPEED100
VDD18
VDD33
TX_EN
nRST
MDIO
CRS
MDC
nINT/TXEER
4.7/6.3
G406-0RJ45-004-0S C4243 0.01 L4200 R4202 U4201 R4206 51
NET3.3V

RDA-1D5B8K1A C42421000P OPEN 75 16 TXP4


1
2

28 29 30 31 32 33 34 35 36
18 17 16 15 14 13 12 11 10
1
R4205 LINK COL
C4207 C4219 0.1/10
15 2
TXN4 ACTIVITY VDDA33
10 OPEN R4215 10K R4223 R4224 5.6K
N4200 14 3 FDUPLEX EXRES1
3
4

TD+
DGND R4238 0 R4207 51 6.8K C4220 0.1/10
13 4 XTAL2 VDDA33
TD-
1
2
R4239
1005
0
1005
R4203
75
12 5
R4208 51
RXP4
MIIPHYCLK R4216 7 8
33x4 XTAL1
U4202
LAN8700
RXP
RXP4
RXN4 ETHERNET PHY
1
2

RD+
3 75
11 6 RXD3 RXN
R4200 C4208 R4216 33x4 C4221 0.1/10
CTTD
4 10 7
OPEN RXN4 MIIRXD3
5 6
RXD2 VDDA33 TXP4
CTRD R4216 33x4 RXD1 TXP
5 9 8 3 4

8U-310051(15/21)
3
4

RD- L4201 R4209 51 MIIRXD2 R4216 33x4 TXN4


6 PULSE-TRANS
1 2
RXD0 TXN
0.022

OPEN C4205 1000P

RX_CLK
RX_ERR
TX_CLK
DIGITAL_UNIT

RX_DV

VDDIO
7 1005
CT BELFUSE C4206 4.7/6.3 L4203 BLM21PG221

TXD0
TXD1

TXD2
TXD3
8

D
R4240
OPEN
(ORG) (GRN)

LED1A
1000P

9 0
CK0.1Z

C4200
C4245

C4246

LED1K R4210 510 19 20 21 22 23 24 25 26 27


C4202
0.1Z
1608

10 MIIRXER L4205 BLM21PG221


1608

1608
LED2K R4225 510 R4217 33x4
11
7 8

1608
R4217 33x4 L4206 BLM21PG221
R4236

LED2A
12
5 6

OPEN
MIITXD2 R4217 33x4

C4214 OPEN

OPEN
3 4

MIITXD3 R4217 33x4

4.7/6.3

4.7/6.3
C4240 OPEN
C4247 OPEN

C4213

C4218
1 2

C4217
C4212
R140 R145 DGND
OPEN 0
1608

DGND
D4000
OPEN
D4001
OPEN
D4002
OPEN
D4003
OPEN

Z4202
BRACKET-PCB(ANAM)
C4232 0
C4231 0

C4233 0
C4229 0.01
C4230 0.1Z
C42251000P

DGND

ANALOG L SIGNAL LINE


ANALOG R SIGNAL LINE
DIGITAL SIGNAL LINE
SW SIGNAL LINE F
GND POWER + POWER - SCHEMATIC DIAGRAMS (8/9)

66
1 2 3 4 5 6 7 8
SHELL
1
2
3 R3900 OPEN
4 -
Debug_RX
5 -
Debug_TX
3.3V
6
7 CORE 0.8W 0.67A SDRAM
N3900 DM_D0

10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
10Kx4
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 I/O 0.7W2
19 0.21A
0 DM_D0 P18
OPEN DM_D1
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20
DM_D1 P19 DM_D2
A

2
DM_D2 P20 DM_D3
A NC DM_D3 R18

1
DM_D4

R3905
R3905
R3905
R3905
R3906
R3906
R3906
R3906
R3919
R3919
R3919
R3919
JTAG USBD- NET3.3V DGND DGND NET3.3V NET3.3V DGND NET1.2V SSMCMD SSMD2 TXD1 RXD0 TDO TCK DM_D4
DM_D5
R19
R20
DM_D5
B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 DM_D6
1 JTAG DM_D6 T18
ETMS ETDO R3902 33x4 DM_D7
2 1 2
A19 TDO B NC DM_D7 T19
3 ETCK ETDI R3902 33x4 DM_D8
ETDI ETCK R3902
3 4
B19 TDI USBD+ NET3.3V DGND NRES33OUT NRES12OUT RREF SSMD0 SSMD3 RXD1 NRESET TDI TMS DM_D8 T20 DM_D9
4 33x4
ETDO ETMS R3902
5 6
A20 TCK DM_D9 U18 DDM_10
5
33x4 C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20
7 8
B20 TMS DDM_10 U19 DDM_11
6 C NC NC DDM_11 U20 DM_D12
N3901 DM_D12 V19
OPEN DGND USBREXT USBXTALO USBXTALI NRES33REF NRES12REF TEST1_DM HIGHZ SSMWP SSMCP SSMCLK SSMD1 TXD0 DM_A0 DM_A1 DM_A2 DM_D13
D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17 D18 D19 D20 DM_D13 V20 DM_D14
UART D1 D2 D3 D4 D5 D6
Debug_RX R3903 DM_D14 W19 DM_D15
33x4 D U3902
Debug_TX
-

R3903
3 4
B17 RXD1 - - - -
DM_D15 W20
33x4 NET1.2V NET1.2V W9825G6EH-6J
E_RXDMOEI
-

R3903
1 2
A17 TXD1 NET1.2V USBVBUS NET3.3V NET3.3V NET1.2V NET1.2V NET3.3V NET3.3V SPINCS1 SPINCS0 SPICLK DM_A3 DM_A4 DM_A5 DM_A0 C3950
33x4 0.1/10

28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54
A18 RXD0 DM_A0 C18

27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1
- 5 6
VDD VSS
E_TXDMIEO R3903 33x4 E17 E18 E19 E20 DM_A1 DM_D0 R3936 R3945 33x4 DM_D15

4.7Kx4
4.7Kx4
4.7Kx4
4.7Kx4
C17 E1 E2 E3 E4 DM_A1 C19 33
- 7 8

TXD0 DM_A2 C3951 0.1/10


DQ0 DQ15
R3945
7 8

E DM_A2 C20 VDDQ VSSQ


5 6

33x4
DM_A3 DM_D1 R3937 33x4 R3945 33x4 DM_D14
DM_A3 D18

8
DQ1 DQ14
DGND DGND RTCXIN DGND SPIDIN DM_A6 DM_A7 DM_A8 DM_A4 DM_D2 R3937
7 8

33x4 R3945
3 4

33x4 DM_D13
DM_A4 D19 5 6
DQ2 DQ13 1 2

7
F17 F18 DM_A5 R3937 33x4 C3956 0.1/10

R3932
R3932
R3932
R3932
SPI F1 F2 F3 F4 F19 F20 DM_A5 D20
3 4

VSSQ VDDQ
E_SPIMOEI R3904 33x4 DM_A6 DM_D3 R3937 33x4 R3946 33x4 DM_D12
1 2
E17 SPIDIN F DM_A6 E18 1 2
DQ3 DQ12 7 8

E_SPIMIEO R3904 33x4 DM_A7 DM_D4 R3938 33 R3946 33x4 DDM_11


E_SPICLK R3904
3 4
F17 SPIDOUT VDD33PLL USBVBUSDRV RTCXOUT NET3.3V SPIDOUT DM_A9 DM_A10 DM_A11 DM_A7 E19 DM_A8 C3952
DQ4 DQ11 5 6

33x4 0.1/10
E_SPICS
5 6
D17 SPICLK DM_A8 E20 DM_A9 DM_D5 R3939
VDDQ VSSQ
R3904 33x4 G1 G2 G3 G4 G17 G18 G19 G20 33x4 R3946 33x4 DDM_10
B
7 8
D16 SPINCS0 DM_A9 F18 DM_A10 DM_D6 R3939
7 8
DQ5 DQ10
R3946
3 4

DM_D9
R3907 10K G 33x4 33x4
R3908 10Kx4 D15 SPINCS1 -
DM_A10 F19 DM_A11
5 6
DQ6 DQ9
C3957
1 2

R3939 3 4

33x4 0.1/10
R3908
1 2

10Kx4 DGND XTALI NET3.3V NET1.2V DM_A12 DM_A13/RASDM_A14/CAS DM_A11 F20 DM_A12 DM_D7 R3939
VSSQ VDDQ
DM_D8
33x4 R3947 33
R3909
3 4

10Kx4 DM_A12 G18 DM_A13/RAS C3953


1 2
DQ7 DQ8
H1 H2 H3 H4 H17 H18 H19 H20 0.1/10
R3909
1 2

10Kx4 C10 HIGHZ H8 H9 H10 H11 H12 H13 DM_A13/RAS G19 DM_A14/CAS DM_A17/DQM0 R3940
VDD VSS
33x4
3 4

13K C9 TEST1_DM H DM_A14/CAS G20 DM_A15/BA0 R3940


7 8
LDQM NC
R3948 33 DM_A18/DQM1
R3917 33x4
VDD12PLL XTALO AOUTLP NET3.3V DGND DGND DGND DGND DGND DGND NET1.2V DM_A15/BA0DM_A16/BA1DM_A17/DQM0 DM_A15/BA0 H18 DM_A16/BA1 DM_A14/CAS R3940
5 6
/WE UDQM
R3918 6.2K RESET 33x4
R3909 10Kx4 DM_A16/BA1 H19 DM_A17/DQM0 DM_A13/RAS R3940
3 4
/CAS CLK
J1 J2 J3 J4 J17 J18 J19 J20 33x4
R3909
5 6

10Kx4 C7 NRES12REF J8 J9 J10 J11 J12 J13 DM_A17/DQM0 H20 DM_A18/DQM1 R3941
1 2
/RAS CKE
R3949 DM_A12
33x4 33x4
7 8
C6 NRES33REF J NC NC DM_A18/DQM1 J18 DM_A15/BA0 R3941
7 8
/CS NC
R3949
7 8

DM_A11
5 6
33x4 BA0 A11 5 6
33x4
R3908 10Kx4 VDD12DCO AOUTRP AOUTLN NET1.2V DGND DGND DGND DGND DGND DGND NET3.3V DM_A18/DQM1 DM_A16/BA1 R3941 33x4 R3949 33x4 DM_A9
R3908
7 8

10Kx4 B7 NRES12OUT DM_A10 R3941


3 4
BA1 A9
R3949
3 4

DM_A8
K1 K2 K3 K4 K17 K18 K19 K20 33x4 33x4
5 6
B6 NRES33OUT K8 K9 K10 K11 K12 K13 DM_A0 R3942
1 2
A10/AP A8
R3950
1 2

DM_A7
K 33x4 33x4
NC NC NC DM_A1 R3942
7 8

33x4
A0
A1
A7
R3950
7 8

33x4 DM_A6
5 6
A6 5 6

R3910 33 DGND AOUTRN AV0CTRL2 NET1.2V DGND DGND DGND DGND DGND DGND NET3.3V DM_A2 R3942 33x4 A2 R3950 33x4 DM_A5
KRA102S

3 4
A5 3 4

R3911 33 L1 L2 L3 L4 L17 L18 L19 L20 DM_A3 R3942 33x4 A3 R3950 33x4 DM_A4
L8 L9 L10 L11 L12 L13 A4
Q3900

1 2 1 2

C3954 0.1/10
L NC NWE N20 VDD VSS
AV0CTRL1
E_RESET R3912 33 E_REQ CPRST NET3.3V DGND DGND DGND DGND DGND DGND NET1.2V NCS0 NCS1
B18 NRESET
M1 M2 M3 M4 M17 M18 M19 M20
1000P
OPEN

M8 M9 M10 M11 M12 M13 MEMCKE M19 33


M R3951
AV0CTRL0 NC MEMCLK M20
PDOUT0 VCO0 NET3.3V DGND DGND DGND DGND DGND DGND NET1.2V MEMCKE MEMCLK
C3959 R3952
C3900

NCS0 L19
R3901

Q3901 E_REQ N1 N2 N3 N4 N17 N18 N19 N20 OPEN OPEN


KRC102S N8 N9 N10 N11 N12 N13 NCS1 L20

C
CLOCK N NC
R3913 10Kx4
E_RESET R3913
7 8
L1 E_REQ BCK VD3 VD2 NET1.2V DGND DGND DGND DGND DGND DGND NET3.3V NWAIT NWE
5 6
10Kx4 R3934 OPEN
R3913 10Kx4 P1 P2 P3 P4 P17 P18 P19 P20 R3935 OPEN
R3913
3 4
M1 PDOUT0 NWAIT N18
10Kx4 P
1 2
M2 VCO0
C3901 12P VD1 VD0 VD7 NET1.2V NET3.3V DM_D0 DM_D1 DM_D2
G2 XTALI
R1 R2 R3 R4 R17 R18 R19 R20 1G NAND Flash
1

R3920 H2 XTALO
NAND
R3914 1M R
3

C3902 12P FD0 U3901


VD6 VD5 VD4 AV2CLK FD2 DM_D3 DM_D4 DM_D5 FD0 U17 FD1
X3900 1.8K NAND01GW3B2CN6E
FCX-05(24.000MHz) FD1 T17 FD2

4.7K
4.7K
T1 T2 T3 T4 T17 T18 T20

25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48
FD2 R17 N.C N.C

24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1
T19 FD3
RTC T FD3 Y18 N.C N.C
R3915 10K AV2DATA3 FD4 N.C
E3 RTCXIN AV2CTRL1 AV2CTRL0 AV2DATA2 FD4 W18 N.C

R3943
R3944
FD1 DM_D6 DM_D7 DM_D8 FD5
F3 RTCXOUT FD5 V18 N.C N.C
U1 U2 U3 U4 U5 U6 U7 U8 U9 U10 U11 U12 U13 U14 U15 U16 U17 U18 U19 U20 FD6 N.C FD7
FD6 Y19 FD7 I/O7 FD6
U FD7 Y20 N.C I/O6
AV2DATA0 LCDD14 LCDD10 LCDD6 NFRB R/B# FD5
4.7K ESDA R3916 3K AV2DATA1 PHY_RST VPP NET3.3V NET3.3V NET1.2V NET1.2V NET3.3V NET3.3V NET1.2V NET1.2V FALE FD0 DM_D9 DDM_10 DDM_11 NFRE I/O5 FD4
R4229 RE#
4.7K ESCL B8 RREF
FALE NFCE0 I/O4
R4230 V1 V2 V3 V4 V5 V6 V7 V8 V9 V10 V11 V12 V13 V14 V15 V16 V17 V18 V19 V20 CE#
FALE U16 FCLE N.C
V NC NC NC FCLE Y17 N.C N.C
LCDD13 LCDD9 LCDD5 LCDCTRL3 N.C
ETHER ESDA ESCL AV3DATA1 MIITXEN MIIRXCLK MIIRXER MIIRXDV MIIMDC NFWE NFRB FD5 DM_D12 DM_D13 NFCE0 C3955 0.1/10 N.C C3958 0.1/10
15 14 13 12 11 NFCE0 Y16 Vcc Vcc
CPRST W15 W16 W17 W18 W19 W20
NC
MODE0
12C_SDA
12C_SCL
VSS

L2 CPRST W1 W2 W3 W4 W5 W6 W7 W8 W9 W10 W11 W12 W13 W14 Vss Vss


16 10 NFRB N.C
NC NC W12 MIIRXD0 W NFRB V17 N.C
LCDD16 LCDD12 LCDD8 LCDD4 LCDD1 LCDCTRL2 LCDCTRL0 MIITXD0 MIIRXD0 NFRE
D
17 NC NC 9 Y12 MIIRXD1 MIITXD2 NFRE FD4 DM_D14 DM_D15 NFRE W17 N.C N.C
U4204 MIIRXD2 E_I2SDATA 860SPDIF. MIIRXD2 MIICOL MIIMDIO NFWP NFWE FCLE
18 NC NC 8 W13 MIIRXD2 NFWE V16 CLE N.C
19 NC MFI341S21614 7 MIIRXD3 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y10 Y11 Y12 Y13 Y14 Y15 Y16 Y17 Y18 Y19 Y20 NFWP FALE ALE FD3
NC Y13 MIIRXD3 NFWP W16 NFWE I/O3 FD2
nRESET

20 NC 6 Y WE#
MODE1

NC
MIIRXDV V12 MIIRXCLK NFWP I/O2 FD1
AV4DATA0 LCDD17 LCDD15 LCDD11 LCDD7 LCDD3 LCDD0 LCDCTRL1 LCDCLK MIITXD1 MIIRXD1
VCC

V14 MIIRXDV WP# I/O1


NC

NC

MIIRXER MIITXD3 MIIRXD3 MIICRS MIIPHYCLK NFCE0 FCLE FD3 FD6 FD7 FD0
1 2 3 4 5 V13 MIIRXER N.C I/O0
OPEN

W10 MIITXD0 U3900 DM860 N.C N.C

4.7K
4.7K
OPEN
OPEN
Y10 MIITXD1 N.C N.C
R4231

R4235 MIITXD2
C4241

N.C

USBVBUSDRV
W11 MIITXD2 N.C
10K

E_I2SDATA

860SPDIF.
4.7K MIITXD3
LCDCTRL0
LCDCTRL1
LCDCTRL2
LCDCTRL3

AV2DATA0
AV2DATA3

AV2CTRL0
AV2CTRL1

AV3DATA1
AV4DATA0
AV0CTRL0
AV0CTRL1
AV0CTRL2
AV2DATA1
AV2DATA2

USBXTALO

C4 USBXTALI
Q4203 Y11 MIITXD3 N.C N.C

AOUTLP
AOUTLN
AOUTRP
AOUTRN
PHY_RST

USBVBUS

C2 USBREXT
LCDD10
LCDD11
LCDD12
LCDD13
LCDD14
LCDD15
LCDD16
LCDD17
LCDCLK

AV2CLK
KRC102S
Y7 LCDD0
W7 LCDD1

LCDD3
LCDD4
LCDD5
LCDD6
LCDD7
LCDD8
LCDD9

USBD+
USBD-

R3933
R3929
R3930
R3931
ESCL
ESDA
C4226 4.7/6.3 MIITXEN Z3900

BCK
VD0
VD1
VD2
VD3
VD4
VD5
VD6
VD7
OPEN V9 MIITXEN
OPEN
C4227

H3
J3
J2
K2
0.1/10

N1
P2
P1
N3
N2
R3
R2
R1
P3
Y6
W6
V6
U6
Y5
W5
V5
U5
Y4
W4
V4
U4
Y3
W3
Y2
Y9
W9
Y8
W8
V8

U2
T3
R4
T2
T1
W1
V3
Y1
M3
L3
K3
U1
T4

U3

W2
V2
V1

B1
A1
D2
C3
F2
C4228 W14 MIICOL

USB

DAC
LCD

AV

MIIMDC Y14 MIICRS

MIIMDIO V15 MIIMDC

MIIPHYCLK W15 MIIMDIO

Y15 MIIPHYCLK

860SPDIF R3953 33

2.7K
1.5K
SSMCLK
SSMCMD

OPEN
OPEN
B14 SSMD0
C14 SSMD1
A15 SSMD2
B15 SSMD3

SSMCP
SSMWP
SD/SDIO/MMC
E_RESET
E_SPICS
E_SPICLK
E_SPIMIEO
E_SPIMOEI
E_RXDMOEI
E_TXDMIEO
E_REQ

C13
A14
C12
C11

R3928
R3927
R3924
R3925

USBVBUSDRV
PHY_RST

USBVBUS
ESCL
ESDA
E
USBVBUSDRV
USBD-
USBD+
USBVBUS
MIIRXD2
MIIRXD3
MIIRXDV
MIIRXER
MIITXD2
MIITXD3
MIITXEN
MIIMDC
MIIMDIO
MIIPHYCLK
PHY_RST

860SPDIF

VDD12DCO

VDD12PLL
NET1.2V
VDD33PLL
NET3.3V
VPP
-

-
-

L3900
R3921 L3901 BLM21PG221
BLM21PG221
E_RESET

USBVBUSDRV
E_SPICS
E_SPICLK
E_SPIMIEO
E_SPIMOEI
E_RXDMOEI
E_TXDMIEO
E_REQ

PHY_RST

860SPDIF

NET3.3V
NET1.2V
DGND
MIIRXD2
MIIRXD3
MIIRXDV
MIIRXER
MIITXD2
MIITXD3
MIITXEN
MIIMDC
MIIMDIO
MIIPHYCLK

1.5K L3902 BLM21PG221


USBD-
USBD+
USBVBUS

4.7/6.3
4.7/6.3
4.7/6.3
4.7/6.3

4.7/6.3

4.7/6.3

4.7/6.3

4.7/6.3
4.7/6.3
4.7/6.3
4.7/6.3
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10

0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
0.1/10
OPEN

OPEN

OPEN
OPEN

OPEN
OPEN
OPEN
OPEN

OPEN

OPEN
OPEN
OPEN
OPEN

OPEN

OPEN

TO NET PHY ANALOG L SIGNAL LINE


C3903

C3904
C3905
C3906
C3907

C3908
C3909
C3910
C3911
C3912
C3913
C3914
C3915
C3916
C3917
C3918

C3919
C3920
C3921
C3922

C3923
C3924
C3925

C3926
C3927
C3928
C3929

C3930
C3931
C3932
C3933
C3934
C3935
C3936
C3937
C3938
C3939

C3940
C3941
C3942
C3943

C3944
C3945
C3946
C3947
C3948
C3949

ANALOG R SIGNAL LINE


DIGITAL SIGNAL LINE
SW SIGNAL LINE F
GND POWER + POWER - SCHEMATIC DIAGRAMS (9/9)

67
EXPLODED VIEW sd

WARNING:
Parts marked with this symbol have critical
characteristics.
Use ONLY replacement parts recommended by
the manufacturer.

68
PARTS LIST OF EXPLODED VIEW f
zParts for which "nsp" is indicated on this table cannot be supplied.
zP.W.B. ASS'Y for which "nsp" is indicated on this table cannot be supplied. When repairing the P.W.B. ASS'Y, check the board parts table and order replacement parts.
zPart indicated with the mark " ★ " is not illustrated in the exploded view.
zThe parts listed below are for maintenance only, might differ from the parts used in the unit in appearances or dimensions.
Ref. No. Part No. Part Name Remarks Q'ty New
C1 nsp M-CR603/NISG DISPLAY PCB ASS'Y COP12317B 1 *
C2 nsp M-CR603/NISG MAIN PCB ASS'Y COP12316B 1 *
z C3 nsp M-CR603/NISG SMPS PCB ASS'Y COP12319B 1 *
C4 943183009250S MODULE , TUNER (EUR) CNVMT104MS1-80A 1
C5 943189012720M MODULE DAB (DAB7.0) CNVFS2027B0291 1 * f
C6 nsp DAB PCB ASS'Y COP12317B 1 *
C7 8U-310055S M-CR603/NISG ETHERNET PCB ASS'Y COP12318B 1 *
C8 943302009630S MECHANISM ASS'Y (CJDL2130BZ) CJDMCR503ZA 1
C9 943179011170S OLED MODULE CFLMXS4003-A 1 *

M1 943402011120M FRONT ASS'Y N1SG CKMMCR603 1 *


M1 402410176001S FRONT ASS'Y N1B,U1B CKMMCR603BLACK 1 *
M2 406410040036M PANEL , REAR M-CR502/N1SG N1SG CKM1A198C62 1
M2 406410109003S PANEL , REAR N1B,U1B CKM1A198C32 1
M3 404410003031M PANEL , SIDE M-CR502/N1SG N1SG CKM1A199C62 2
M3 404410036000S PANEL , SIDE N1B,U1B CKM1A199C23 2
M4 943403011180S COVER, TOP(EUR) CKC1A185ZS61 1 *
M5 nsp CHASSIS, BOTTOM N1SG,N1B CUA4A287Z 1 *
M5 nsp CHASSIS, BOTTOM(U) U1B CUA6A287Y 1 *
M6 nsp COVER , SHIELD CMD1A678 1
M7 411010039032M BUTTON , POWER N1SG CGK1A135ZA 1
M7 411010126004S BUTTON , POWER N1B,U1B CGK1A135YA 1
M8 411010040032M BUTTON , VOLUME N1SG CGK1A136ZA 1
M8 411010128000S BUTTON , VOLUME N1B,U1B CGK1A136XA 1
M9 943411011190S BUTTON, VOLUME N1SG CGK1A136YA 1 *
M9 411010127007S BUTTON , VOLUME N1B,U1B CGK1A136WA 1 *
M10 nsp HEAT SINK CMY1A304 1
M11 nsp PLATE, EARTH A CMC1A407 1 *
M12 nsp PLATE, EARTH B CMC1A408 1 *
M13 nsp COVER , SCREW CMD1A495 1
M14 nsp COVER, SHIED OLED CMC1A405 1 *
M15 nsp NUT, DAB
M17 nsp WASHER , GROUND CNW1A035 2
M18 nsp LABEL , DENGEROUS MARK U1B CQB1A1052Z 2

P1 943416011220S WINDOW, OLED CGU1A452Z 1 *


P2 943416011350S WINDOW, DOOR CGU1A420X 1 *
P3 418510006007M DOOR , CD CGR1A451 1
P4 nsp PANEL, SUB CGW1A502 1 *
P5 943411011230S FUNCTION BUTTON ASS'Y CBT1A1076YA 2 *
P6 411510038003M BUTTON , ENTER CBT1A1077ZK92 1
P7 411510038010M BUTTON , PLAY CBT1A1089ZK92 1
P8 nsp HOLDER, OLED CMH1A309 1 *
P9 407010002000M FOOT CKL1A102 4
P10 943407002950M RUBBER ,FOOT CHG1A297 4
P11 nsp HOLDER , PCB CHE170 3
P12 nsp SUPPORT , MECHA CMH1A289 2
P13 nsp SUPPORT , REAR CR502/N CMH1A288 1
P14 nsp INSULATOR CMX1A284 1
P15 nsp RUBBER CHG1A113 1
P16 nsp CUSHION , SUPPORT CHG1A305 2
P17 nsp SUPPROT, PCB(MC-5) CRE1A097 1
P18 nsp SUPPORT, PCB(MC-10) CRE1A098 1
P19 nsp LOCKER CRE1A037 8
P20 nsp SHEET, PROTECTION CGX1A454Z 1
★ P21 nsp PLATE, EARTH C CMC1A413 1 *
★ P22 nsp PLATE, EARTH D CMC1A415 2 *

S12 nsp TAPE , BOTH SIDE C4FA101 -


S13 nsp LABEL , WINDOW7 CQB1A1011Z 1 *
S14 nsp SHIPPING LABEL CQB2A323Z 2

69
Ref. No. Part No. Part Name Remarks Q'ty New
S15 nsp SHEET, COVER CHS1A154 2
S16 nsp SHEET CMX1A180Z 1 *

SCREWS
S1 nsp SCREW , SPECIAL N1SG CHD1A063 10
S1 nsp SCREW , SPECIAL N1B,U1B CHD1A063ZR 10
S2 nsp SCREW CTB26+8JFZR 6
S3 nsp SCREW CTW3+8JR 12
S4 nsp SCREW CTB3+10JR 19
S5 nsp SCREW CTB3+8JFZR 12
S6 nsp SCREW CTW3+12JR 3
S7 nsp SCREW CTB3+10JFZR 5
S8 nsp SCREW CTB3+8JR 2
S9 nsp SCREW CTB3+6FFZR 7
S10 nsp SCREW CTB3+6JR 3
S11 nsp SCREW CTB3+6JR 3

WIRES
★ 101 943606010880S CABLE , CARD(16P, 180mm CD MECHA) CWC4F1A16A180A08 1 *
★ 102 943606011320S CABLE , CARD(1.0MM, 11P, 100MM, B TYPE) CWC4F4A11A100B08 1 *
★ 103 943606011340S CABLE , CARD(1.25MM, 11P, 80MM, B TYPE) CWC4F4A11B080B08 1 *
★ 104 943606011330S CABLE , CARD(1.0MM, 23P, 150MM, B TYPE) CWC4F4A23A150B08 1 *
★ 105 943606011480S CABLE , CARD(1.0MM, 30P, 180MM, B TYPE) CWC4F4A30A180B08 1 *

70
PACKING VIEW d
9

8
z
4 5
7

6
13
12
11 10

18

14
1

14
18
PARTS LIST OF PACKING & ACCESSORIES d
zParts for which "nsp" is indicated on this table cannot be supplied.
zThe parts listed below are for maintenance only, might differ from the parts used in the unit in appearances or dimensions.
zPart indicated with the mark " ★ " is not illustrated in the exploded view.
Ref. No. Part No. Part Name Remarks Q'ty New
1 531210163004M BOX, OUT CARTON CPG1A867V 1 *
2 943535006230S BAG , POLY(SET) CPB1A184Z 1
3 533610022006M PAD , SNOW CPS1A822 2
4 nsp BAG , POLY CPB1A008Z 1
z 5 943611000190S CORD , POWER EUR(H03VVH2-F 2X0.75MM NM) N1SG,N1B CJA2B108ZV 1
z 5 943611000230S POWER CORD UL CJA2A107ZV U1B CJA2A107ZV 1
6 307010079001M REMOCON TRANSMITER ASS'Y (M-CR603) CARTMCR603 1 *
7 943535001070D BAG , POLY(ENGLISH/FRANCH) CPB1061W 1
8 541110506005M MANUAL, INSTRUCTION(E2) N1SG,N1B CQX1A1561Z 1 *
8 541110611006M MANUAL, INSTRUCTION(E3) U1B CQX1A1588Z 1 *
9 943425100030M IPOD STAND ASS'Y CMH1A310ZA 1 k
10 943535001070D BAG , POLY(ENGLISH/FRANCH) CPB1061W 1
11 90M-ZA000240R ANT , AM LOOP CSA1A020Z 1
12 90M-ZA000220R FM 1 POLE ANT CSA1A018Z 1
13 nsp BATTERY , AAA 2PCS IN PACK CABR03PPB 2
14 nsp SHIPPING LABEL CQB2A323Z 2 *
★ 15 nsp LABEL LAST FM 1 *
★ 16 943429007990S ANT , DAB CSA1A036Z 1
★ 17 nsp LABEL MAC ADRESS 1 *
18 nsp BLACK LABEL N1B,U1B CQB1A1037Z 2 *

71
SEMICONDUCTORS
Only major semiconductors are shown, general semiconductors etc. are omitted to list.
The semiconductor which described a detailed drawing in a schematic diagram are omitted to list.

1. IC's
R5F64169DFD (MAIN : IC11, DISPLAY : IC81)

P4_3 / A19 / TXD3 / SDA3 / SRXD3 / OUTC2_0 / ISTXD2 / IEOUT


(Note 1)

P4_2 / A18 / RXD3 / SCL3 / STXD3 / ISRXD2 / IEIN


P3_0 / A8 / [A8/D8] / TA0OUT / UD0A / UD1A

P3_1 / A9 / [A9/D9] / TA3OUT / UD0B / UD1B


P2_0 / A0 / [A0/D0] / BC0 / [BC0/D0] / AN2_0
P2_1 / A1 / [A1/D1] / BC2 / [BC2/D1] / AN2_1

P3_4 / A12 / [A12/D12] / TA2OUT / W

P3_6 / A14 / [A14/D14] / TA4OUT / U


P12_0 / D16 / TXD6 / SDA6 / SRXD6

P3_2 / A10 / [A10/D10] / TA1OUT / V


P12_2 / D18 / RXD6 / SCL6 / STXD6

P3_5 / A13 / [A13/D13] / TA2IN / W


P1_5 / D13 / INT3 / IIO0_5 / IIO1_5
P1_6 / D14 / INT4 / IIO0_6 / IIO1_6
P1_7 / D15 / INT5 / IIO0_7 / IIO1_7

P3_7 / A15 / [A15/D15] / TA4IN / U


P3_3 / A11 / [A11/D11] / TA1IN / V
P12_3 / D19 / CTS6 / RTS6 / SS6

P4_0 / A16 / CTS3 / RTS3 / SS3


P1_2 / D10 / IIO0_2 / IIO1_2
P1_3 / D11 / IIO0_3 / IIO1_3
P1_4 / D12 / IIO0_4 / IIO1_4

P2_2 / A2 / [A2/D2] / AN2_2


P2_3 / A3 / [A3/D3] / AN2_3
P2_4 / A4 / [A4/D4] / AN2_4
P2_5 / A5 / [A5/D5] / AN2_5
P2_6 / A6 / [A6/D6] / AN2_6
P2_7 / A7 / [A7/D7] / AN2_7
P1_1 / D9 / IIO0_1 / IIO1_1

P12_1 / D17 / CLK6

P4_1 / A17 / CLK3


P12_4 / D20
VCC

VCC
VSS

VSS
108
107
106
105
104
103
102
101
100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
IIO0_0 / IIO1_0 / D8 / P1_0 109 72 P4_4 / CS3 / A20 / CTS6 / RTS6 / SS6
AN0_7 / D7 / P0_7 110 71 P4_5 / CS2 / A21 / CLK6
AN0_6 / D6 / P0_6 111 70 P4_6 / CS1 / A22 / RXD6 / SCL6 / STXD6
AN0_5 / D5 / P0_5 112 69 P4_7 / CS0 / A23 / TXD6 / SDA6 / SRXD6
AN0_4 / D4 / P0_4 113 68 P12_5 / D21
WR3 / BC3 / P11_4 114 67 P12_6 / D22
IIO1_3 / RTS8 / CTS8 / WR2 / CS3 / P11_3 115 66 P12_7 / D23
IIO1_2 / RXD8 / CS2 / P11_2 116 65 P5_0 / WR0 / WR
IIO1_1 / CLK8 / CS1 / P11_1 117 64 P5_1 / WR1 / BC1
IIO1_0 / TXD8 / CS0 / P11_0 118 63 P5_2 / RD
AN0_3 / D3 / P0_3 119 62 R5_3 / CLKOUT / BCLK
AN0_2 / D2 / P0_2 120 61 P13_0 / D24 / OUTC2_4
AN0_1 / D1 / P0_1 121 60 P13_1 / D25 / OUTC2_5

R32C/116 GROUP
AN0_0 / D0 / P0_0 122 59 VCC
IIO0_7 / RTS6 / CTS6 / SS6 / AN15_7 / P15_7 123 58 P13_2 / D26 / OUTC2_6
IIO0_6 / CLK6 / AN15_6 / P15_6 124 57 VSS
IIO0_5 / RXD6 / SCL6 / STXD6 / AN15_5 / P15_5 125 56 P13_3 / D27 / OUTC2_3
IIO0_4 / TXD6 / SDA6 / SRXD6 / AN15_4 / P15_4
PLQP0144KA-A P5_4 / HLDA / CS1 / TXD7
126 55
IIO0_3 / RTS7 / CTS7 / AN15_3 / P15_3 127 54 P5_5 / HOLD / CLK7
IIO0_2 / RXD7 / AN15_2 / P15_2
IIO0_1 / CLK7 / AN15_1 / P15_1
128
129
(144P6Q-A) 53
52
P5_6 / ALE / CS2 / RXD7
P5_7 / RDY / CS3 / CTS7 / RTS7

IIO0_0 / TXD7 / AN15_0 / P15_0


VSS 130
131
(Top view) 51
50
P13_4 / D28 / OUTC2_0 / ISTXD2 / IEOUT
P13_5 / D29 / OUTC2_2 / ISRXD2 / IEIN
VCC 132 49 P13_6 / D30 / OUTC2_1 / ISCLK2
KI3 / AN_7 / P10_7 133 48 P13_7 / D31 / OUTC2_7
KI2 / AN_6 / P10_6 134 47 P6_0 / TB0IN / CTS0 / RTS0 / SS0
KI1 / AN_5 / P10_5 135 46 P6_1 / TB1IN / CLK0
KI0 / AN_4 / P10_4 136 45 P6_2 / TB2IN / RXD0 / SCL0 / STXD0
AN_3 / P10_3 137 44 P6_3 / TXD0 / SDA0 / SRXD0
AN_2 / P10_2 138 43 P6_4 / CTS1 / RTS1 / SS1 / OUTC2_1 / ISCLK2
AN_1 / P10_1 139 42 P6_5 / CLK1
AVSS 140 41 VSS
AN_0 / P10_0 141 40 P6_6 / RXD1 / SCL1 / STXD1
VREF 142 39 VCC
AVCC 143 38 P6_7 / TXD1 / SDA1 / SRXD1
STXD4 / SCL4 / RXD4 / ADTRG / P9_7 144 37 P7_0 / TA0OUT / TXD2 / SDA2 / SRXD2 / IIO1_6 / OUTC2_0 / ISTXD2 / IEOUT / MSDA

(Note 2)
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
1
2
3
4
5
6
7
8
9
SRXD4 / SDA4 / TXD4 / ANEX1 / P9_6

XCIN / P8_7

INT2 / P8_4
INT1 / P8_3
INT0 / P8_2
UD0B / UD1B / IIO1_5 / RTS5 / CTS5 / SS5 / U / TA4IN / P8_1
CLK4 / ANEX0 / P9_5

CLK3 / TB0IN / P9_0


SS4 / RTS4 / CTS4 / TB4IN / DA1 / P9_4
SS3 / RTS3 / CTS3 / TB3IN / DA0 / P9_3

INT8 / P14_6
INT7 / P14_5
INT6 / P14_4

NMI / P8_5
NSD

XCOUT / P8_6

XIN
VCC
P14_3
VDC0
P14_1
VDC1

CLK2 / V / TA1OUT / P7_2


CNVSS

VSS
RESET
XOUT

UD0A / UD1A / RXD5 / SCL5 / STXD5 / U / TA4OUT / P8_0

IIO1_1 / CLK8 / W / TA2OUT / P7_4


IEIN / ISRXD2 / STXD3 / SCL3 / RXD3 / TB1IN / P9_1

IIO1_2 / RXD8 / W / TA2IN / P7_5


UD0B / UD1B / IIO1_4 / CLK5 / TA3IN / P7_7

IIO1_0 / TXD8 / SS2 / RTS2 / CTS2 / V / TA1IN / P7_3


IEOUT / ISTXD2 / OUTC2_0 / SRXD3 / SDA3 / TXD3 / TB2IN / P9_2

UD0A / UD1A / IIO1_3 / RTS8 / CTS8 / TXD5 / SDA5 / SRXD5 / TA3OUT / P7_6

MSCL / IEIN / ISRXD2 / OUTC2_2 / IIO1_7 / STXD2 / SCL2 / RXD2 / TA0IN / TB5IN / P7_1

Notes:
1. Pin names in brackets [ ] represent a functional signal as a whole and should not be considered as two separate pins.
2. The position of pin number 1 varies by product. Refer to the index mark in attached “Package Dimensions”.

72
5F64169DFD (MAIN : IC11) Terminal Function s
PU/ Network
Pin No Pin Name Port Name I/O STBY Note
PD STBY
1 P96/(TXD4) UART_MOSI - O O/L O/L Transfer Data for Display ucom at Updating
2 (P95)/CLK4 LED_CONT1 PD O O/L O/L LED Control1
3 P94/(CTS4) LED_CONT2 PD O O/L O/L LED Control2
4 P93/(CTS3) OLED_CPU_POWER PD O O/L O/L Power Control for Display ucom
5 P92/TXD3 EL_MOSI - O O/L O/L Display Data for Display ucom
6 P91/RXD3 EL_MISO PD I I I Display Data from Display ucom
7 P90/(CLK3) EL_CLK - O O/L O/L Clock of Display Data for Display ucom
8 (P146)/INT8 RDS_CLK PD I I I RDS Clock input(interrupt)
9 P145/INT7 P_DOWN_50/60 PU I I I Power Down Detection/signal for Clock
10 P144/INT6 SP_PROTECT PU I I I DC Protection Detection
11 P143 EL_CS - O O/H O/H Chip Select of Display Data for Display ucom
12 VDC0 VDC0 - - - - Smoothing capacitor connection terminal
13 P141(INPUT ONLY) CHECK-1 PD I I I NC
14 VDC1 VDC1 - - - - Smoothing capacitor connection terminal
15 NSD NSD_M PU I - - Emulator communication pin
Single-chip / Micro-processor mode switching
16 CNVSS(INPUT ONLY) CNVSS PD I - - (Normal single-chip : L, Rewrite boot program start : H
input set)
17 P87/(XCIN) YOBI - O O/L O/L Not Use
18 P86/(XCOUT) CHECK-2 PD I I I NC
19 RESET RESET PU I - - Reset
20 XOUT X2 - - - - Clock(Output)
21 VSS VSS - - - - GND
22 XIN X1 - - - - Clock(Input)
23 VCC VCC1 - - - - +3V
24 P85/NMI(INPUT ONLY) NMI PU I - - NC
25 P84/INT2 AUX1/DET PU I I I AUX1(Portable In) Insertion detection
26 P83/INT1 E_REQ PD I I I DM870/860 Interrupt
27 P82/INT0 RC_IN PU I I I IR Interrupt
28 P81 CHECK-3 PD I I I NC
29 P80/RXD5 HP/DET PU I I I H/P Detection
30 (P77)/CLK5 TU_SCL PU O O/L O/L Clock for TUNER (I2C)
31 (P76)/TXD5 TU_SDA PU O O/L O/L Data for TUNER (I2C)
32 P75/RXD8 E_SPIMIEO PU I I I Data from ETHERNET (SPI)
33 P74/CLK8 E_SPICLK PU O O/L O/L Clock for ETHERNET (SPI)
34 P73/TXD8 E_SPIMOEI PU O O/L O/L Data for ETHERNET (SPI)
35 P72/CLK2 OLED_BOOT PD O O/L O/L Boot for Display ucom
36 P71/RXD2/(MSCL) DAB_DI - SI I I Data from DAB (TX)
37 P70/TXD2/(MSDA) DAB_DO - SO O/L O/L Data for DAB (RX)
Data transfer to external terminal(AMX)/MITSUBISHI
38 P67/TXD1 TXD/232CMO - SO O/L O/L
writer
39 VCC VCC1 - - - - +3V
Data received from the external terminal(AMX)/
40 P66/RXD1 RXD/232CMI PD SI I I
MITSUBISHI writer
41 VSS VSS - - - - GND
42 P65/CLK1/SCLK(L) SCLK_M PD O O/L O/L Writing Mode Select
43 P64/BUSY BUSY_M/STEREO - I I I STREO Indicator input/BUSY at Updating
44 P63/TXD0 E_RXDMOEI PU SO O/L O/L Network Serial Data Output (DM870/860 RXD)
45 P62/RXD0 E_TXDMIEO PU SI I I Network Serial Data Input (DM870/860 TXD)
46 P61/CLK0 KILL_IR PU O O/H O/H Control terminal for Front IR Disable
47 P60/CTS0 /H/P_MUTE PD O O/L O/L H/P MUTE
48 P137 EL_RESET PD O O/L O/L Reset for Displey ucom
49 P136/ISCLK2 NC - O O/L O/L NC
50 P135/ISRXD2 NC - O O/L O/L NC
51 P134/ISTXD2 NC - O O/L O/L NC
52 P57/RDY RDY PU I I I NC
53 P56/ALE ALE PD O O/L O/L NC
54 P55/HOLD/EPM EPM_M PU I I I Rewrite boot program start : L input set
55 P54/HLDA /5142_OTW PU I I I TAS5508 Overtemperature warning signal
56 P133 NC - O O/L O/L NC
57 VSS VSS - - - - GND
58 P132 NC - O O/L O/L NC
59 VCC VCC2 - - - - +3V
60 P131 POWER_ON/OFF PD O O/L O/L ON/STANDBY Control H:ON/L:STANDBY
61 P130 B_POWER_ON/OFF PD O O/L O/L Bi-Amp Select for Power

73
PU/ Network
Pin No Pin Name Port Name I/O STBY Note
PD STBY
62 P53/BCLK NC - O O/L O/L NC
63 P52/RD CHECK-4 PD I I I NC
64 P51/(WR1)/BC1 SRAMSTB PU O O/L O/L Control for TC94A92FG SRAMSTB
65 P50/(WR0)/WR/CE CE_M PD I I I Rewrite boot program start : H input set
66 P127 CCE PU O O/L O/L Chip Enable for CD DSP
67 P126 BUCK PU O O/L O/L BUS Clock for CD DSP
68 P125 NC - O O/L O/L NC
69 P47/CS0/(A23) BUS3 PU O O/L O/L BUS3 for CD DSP
70 P46/(CS1)/A22 BUS2 PU O O/L O/L BUS2 for CD DSP
71 P45/(CS2)/A21 BUS1 PU O O/L O/L BUS1 for CD DSP
72 P44/(CS3)/A20 BUS0 PU O O/L O/L BUS0 for CD DSP
73 P43/A19 OPSW PU I I I Open SW from CD Mecha
74 VCC VCC - - - - +3V
75 P42/A18 CLSW PU I I I Close SW from CD Mecha
76 VSS VSS - - - - GND
77 P41/A17 INSW PU I I I Limit SW from CD Mecha
78 P40/A16 DECRST PU O O/L O/L Reset for TC94A92FG
79 P37/A15 DREQ - I I I TC94A92FG DREQ
80 P36/A14 SBSY PU I I I CD Monitor3 (Default: SBSY) from TC94A92FG
81 P35/A13 DRVMUTE PD O O/L O/L Motor stanby
82 P34/A12 OPEN - O O/L O/L Tray Open
83 P33/A11 CLOSE - O O/L O/L Tray Close
84 P32/A10 MX_DETECT PU I I I M-XPort Detection
85 P31/A9 /H/P_ON PU O O/L O/L TAS5508 Headphone in/out selector
86 P124 /PDN_5508 PD I I I TAS5508 Power down
87 P123 /5508_MUTE PD O O/L O/L TAS5508 Soft mute of outputs
88 P122/(RXD6) E2PROMSCL PU I/O I I Serial Clock for EEPROM (Default:O/L)
89 P121/(CLK6) E2PROMSDA PU I/O I I Serial Data for EEPROM (Default:O/L)
90 P120/(TXD6) /F_MUTE PD O O/L O/L TAS5508 Soft mute of outputs
91 VCC VCC - - - - +3V
92 P30/A8 5508_SCL PU I/O I I I2C serial Clock for TAS5508
93 VSS VSS - - - - GND
94 P27/A7 5508_SDA PU I/O I I I2C serial data for TAS5508
95 P26/A6 /RESET_5508 PD O O/L O/L System Reset for TAS5508
96 P25/A5 NC - O O/L O/L NC
97 P24/A4 NC - O O/L O/L NC
98 P23/A3 NC - O O/L O/L NC
99 P22/A2 4683_VOUT - I I I AK4683 VOUT Monitor
100 P21/A1 4683_I2C_CONT GND I O/L O/L AK4683 Communication format Select
101 P20/A0 5VCPU_ON/ /OFF PD O O/H O/H 5V Control
102 P17/D15 /4683_RESET PD O O/L O/L AK4683 Reset Signal
103 P16/D14 4683_CDTO - I I I AK4683 SCI Data Input
104 P15/D13 4683_INT - I I I AK4683 Request Signal
105 P14/D12 4683_CDTI - O O/L O/L AK4683 SCI Data Output
106 P13/D11 4683_CCLK - O O/L O/L AK4683 SCI Clock
107 P12/D10 4683_CSN - O O/L O/L AK4683 Chip Enable Signal
108 P11/D9 /5142_SD PU I I I TAS5508 Shutdown signal
109 P10/D8 DC_PROTECT1 PU I I I Power Protection Detect for USB
110 P07/D7 NC - O O/L O/L NC
111 P06/D6 NC - O O/L O/L NC
112 P05/D5 NC - O O/L O/L NC
113 P04/D4 IPOD_DET PU I I I iPod Detect (RCD-N7)
114 P114 SW_DOWN PU I I I SW LEVEL Detect
115 P113 AMUTE PD O O/L O/L AUX/SW MUTE
116 P112/(RXD8) MX_MUTE PD O O/L O/L NC
117 P111/ DAB-P_CONT PD O O/L O/L Power Control for DAB
118 P110/(TXD8) T.MUTE PD O O/L O/L Mute for TUNER
119 P03/D3 TUNED PU I I I Tuned
120 P02/D2 TU_POWER PD O O/L O/L Power Control for TUNER
121 P01/D1 RDS_DATA PD I I I RDS DATA for Eur Version
122 P00/D0 USB_P.CONT PD O O/L O/L USB Power Control
123 P157 DC_PROTECT2 PU I I I Power Down Detect
124 P156 E_POWER_CONT PD O O/L O/H Power Control for DM870/860
125 P155/RXD6 VBUS_MONI PD O O/L O/L USB Data Output Enable (RCD-N7)
126 P154/TXD6 /E_SPICS PU O O/L O/L DM870/860 SCI Chip Select
127 P153 /E_RESET PU O O/L O/H DM870/860 Reset

74
PU/ Network
Pin No Pin Name Port Name I/O STBY Note
PD STBY
128 P152/RXD7 HVBUS_CTL1 PD O O/L O/L USB1 VBUS Control
129 P151/(CLK7) FAULT_FLAG1 PU I I I USB1 VBUS Current Limit Signal
130 VSS VSS - - - - GND
131 P150/TXD7 /B1/B2 PD O O/L O/L USB BUS Switch Control (RCD-N7)
132 VCC VCC - - - - +3V
133 P107/(AN7)/(KI3) HVBUS_CTL2 PD O O/L O/L USB2 VBUS Control (RCD-N7)
134 P106/AN6/KI2 KEY3 PU I I I Key Input3
135 P105/AN5/KI1 KEY2 PU I I I Key Input2
136 P104/AN4/KI0 KEY1 PU I I I Key Input1
137 P103/AN3 FAULT_FLAG2 PU I I I USB2 VBUS Current Limit Signal (RCD-N7)
138 P102/AN2 LD_CHK - I I I Laser Current Check
139 P101/(AN1) MODEL_1 PU I I I Version Select
140 AVSS AVSS - - - - GND
141 P100/AN0 MODEL_2 PU I I I Model Select
142 VREF VREF - - - - +3V
143 AVCC AVCC - - - - +3V
144 (P97)/RXD4 UART_MISO - I I I Receive Data for Display ucom at Updating

75
R5F64169DFD (DISPLAY : IC81) Terminal Function s
Pin No Port Name Symbol I/O Pull-U/D Note
1 P96/(TXD4) NC O PD(OPEN) NC
2 (P95)/CLK4 NC O - NC
3 P94/(CTS4) NC O - NC
4 P93/(CTS3) NC O - NC
5 P92/TXD3 NC O - NC
6 P91/RXD3 NC O - NC
7 P90/(CLK3) NC O - NC
8 (P146)/INT8 NC O - NC
9 P145/INT7 NC O - NC
10 P144/INT6 NC O - NC
11 P143 NC O - NC
12 VDC0 VDC0 - - Smoothing capacitor connection terminal
13 P141(INPUT ONLY) NC I PD NC
14 VDC1 VDC1 - - Smoothing capacitor connection terminal
15 NSD NSD - PU Emulator communication pin
Single-chip / Micro-processor mode switching
16 CNVSS(INPUT ONLY) CNVSS I PD
(Normal single-chip : L, Rewrite boot program start : H input set)
17 P87/(XCIN) NC O - NC
18 P86/(XCOUT) NC I PD NC
19 RESET OLED_RESET I PU Reset input(Reset ="L")
20 XOUT X2 - - Clock output
21 VSS VSS - - GND
22 XIN X1 - - Clock input
23 VCC VCC1 - - +3V
24 P85/NMI NMI I PU NC
25 P84/INT2 NC O - NC
26 P83/INT1 YOBI O - Not use
27 P82/INT0 OLED_CS O - Chip Select of Display Data for Display ucom
28 P81 NC I PD NC
29 P80/RXD5 BOOT I PD Boot signal
30 (P77)/CLK5 NC O - NC
31 (P76)/TXD5 NC O - NC
32 P75/RXD8 OLED_POWER O PD OLED Power On/Off
33 P74/CLK8 NC O - NC
34 P73/TXD8 NC O - NC
35 P72/CLK2 OLED_CLK O - Clock of Display Data for Display ucom
36 P71/RXD2/(MSCL) OLED_TX O - Display Data for Display ucom
37 P70/TXD2/(MSDA) OLED_RX O - Not use
38 P67/TXD1 TXD CO O PD Data transfer to external terminal(AMX)/MITSUBISHI writer
39 VCC VCC1 - - +3V
40 P66/RXD1 RXD CO I PD Data received from the external terminal(AMX)/MITSUBISHI writer
41 VSS VSS - - GND
42 P65/CLK1/SCLK(L) SCLK_CO O PD Writing Mode Select
43 P64/BUSY BUSY_CO O - BUSY Output at updating
44 P63/TXD0 OLED_UART_RX O - Transfer Data for Display ucom at Updating
45 P62/RXD0 OLED_UART_TX I - Receive Data for Display ucom at Updating
46 P61/CLK0 NC O - NC
47 P60/CTS0 NC O - NC
48 P137 D7 O - Data Bus for OLED
49 P136/ISCLK2 D6 O - Data Bus for OLED
50 P135/ISRXD2 D5 O - Data Bus for OLED
51 P134/ISTXD2 D4 O - Data Bus for OLED
52 P57/RDY NC O - NC
53 P56/ALE NC O - NC
54 P55/HOLD/EPM EPM I PU Rewrite boot program start : L input set
55 P54/HLDA NC O - NC
56 P133 D3 O - Data Bus for OLED
57 VSS VSS - - GND
58 P132 D2 O - Data Bus for OLED
59 VCC VCC2 - - +3V
60 P131 D1 O - Data Bus for OLED
61 P130 D0 O - Data Bus for OLED
62 P53/BCLK NC O - NC
63 P52/RD NC I PD NC
64 P51/(WR1)/BC1 NC O - NC

76
Pin No Port Name Symbol I/O Pull-U/D Note
65 P50/(WR0)/WR/CE CE_M I PD Rewrite boot program start : H input set
66 P127 RES# O - Reset, Active "L" for OLED
67 P126 CS# O - Chip select : "L" for OLED (I/F communicate possible)
68 P125 RES# O - Reset, Active : "L" for OLED
69 P47/CS0/(A23) R/W#,WR# O - Write (This pin stays "L"(low) in Serial Interface Mode) for OLED
70 P46/(CS1)/A22 E,RD# O - Read (This pin stays "L"(low) in Serial Interface Mode) for OLED
71 P45/(CS2)/A21 NC O - NC
72 P44/(CS3)/A20 NC O - NC
73 P43/A19 NC O - NC
74 VCC VCC - - +3V
75 P42/A18 NC O - NC
76 VSS VSS - - GND
77 P41/A17 NC O - NC
78 P40/A16 NC O - NC
79 P37/A15 NC O - NC
80 P36/A14 NC O - NC
81 P35/A13 NC O - NC
82 P34/A12 NC O - NC
83 P33/A11 NC O - NC
84 P32/A10 NC O - NC
85 P31/A9 NC O - NC
86 P124 NC O - NC
87 P123 NC O - NC
88 P122/(RXD6) NC O - NC
89 P121/(CLK6) NC O - NC
90 P120/(TXD6) NC O - NC
91 VCC VCC - - +3V
92 P30/A8 NC O - NC
93 VSS VSS - - GND
94 P27/A7 NC O - NC
95 P26/A6 NC O - NC
96 P25/A5 NC O - NC
97 P24/A4 NC O - NC
98 P23/A3 NC O - NC
99 P22/A2 NC O - NC
100 P21/A1 NC O - NC
101 P20/A0 NC O - NC
102 P17/D15 NC O - NC
103 P16/D14 NC O - NC
104 P15/D13 NC O - NC
105 P14/D12 NC O - NC
106 P13/D11 NC O - NC
107 P12/D10 NC O - NC
108 P11/D9 NC O - NC
109 P10/D8 NC O - NC
110 P07/D7 NC O - NC
111 P06/D6 NC O - NC
112 P05/D5 NC O - NC
113 P04/D4 NC O - NC
114 P114 NC O - NC
115 P113 NC O - NC
116 P112/(RXD8) NC O - NC
117 P111/ NC O - NC
118 P110/(TXD8) NC O - NC
119 P03/D3 NC O - NC
120 P02/D2 NC O - NC
121 P01/D1 NC O - NC
122 P00/D0 NC O - NC
123 P157 NC O - NC
124 P156 NC O - NC
125 P155/RXD6 NC O - NC
126 P154/TXD6 NC O - NC
127 P153 NC O - NC
128 P152/RXD7 NC O - NC
129 P151/(CLK7) NC O - NC
130 VSS VSS - - GND
131 P150/TXD7 NC O - NC

77
Pin No Port Name Symbol I/O Pull-U/D Note
132 VCC VCC - - +3V
133 P107/(AN7)/(KI3) NC O - NC
134 P106/AN6/KI2 NC O - NC
135 P105/AN5/KI1 NC O - NC
136 P104/AN4/KI0 NC O - NC
137 P103/AN3 NC O - NC
138 P102/AN2 NC O - NC
139 P101/(AN1) NC O - NC
140 AVSS AVSS - - GND
141 P100/AN0 NC I PD Not use
142 VREF VREF - - +3V
143 AVCC AVCC - - +3V
144 (P97)/RXD4 NC O - NC

78
TC94A92FG (MAIN : IC21)

DVDD3R
DVDD3L

DVSS3R
DVSS3L
VDD1-2
XVDD3

XVSS3
VSS-2
Pio7
Pio6
Pio5
Pio4
Pio3
Pio2
Pio1
Pio0

Ro
Xo

Lo
Xi
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
VDD3 61 40 CDMoN3
BUS0 62 39 Pio14/CDMoN2
BUS1 63 38 Pio13/CDMoN1
BUS2 64 37 Pio12/CDMoN0/FGiN
BUS3 65 36 Pio11
BUCK 66 35 Pio10
/CCE 67 34 Pio9
MS 68 TC94A92FG 33 Pio8
/RST 69 32 VDD1-3
TEST 70 (Top View) 31 DMo
VDD1-1 71 30 FMoS
VSS-1 72 29 FMo
/SRAMSTB 73 28 VSS-3
VDDM1 74 27 TRo
PDo 75 26 Foo
TMAX 76 25 AVDD3
LPFN 77 24 TEi
LPFo 78 23 RFRP
PVREF 79 22 RFZi
VCoF 80 21 FSMoNiT
10
11
12
13
14
15
16
17
18
19
20
1
2
3
4
5
6
7
8
9
VCoi

RFi
RFRPi

AGCi

TPi
TNi

MDi
DCoFC

RVSS3

FPi2
FPi1
RVDD3

FNi2
FNi1

AVSS3
SLCo

RFo

VRo

LDo
RFEQo

3 2009-05-27

79
TC94A92FG
Block Diagram
TC94A92FG Block Diagram

BUCK / CLK / SCL


BUS3 / Si / SDA

BUS0 / Pio15
BUS1 / MS2
/SRAMSTB

BUS2 / So
VDDM1
PVREF

TMAX

VDD1

VDD3
/CCE
VCoF

TEST
LPFN

VSS1

/RST
LPFo

VCoi
PDo

MS
SRAM SRAM
- 512kbit 512kbit MCU I/F
SLCo PLL For
(4kw*128bit) (4kw*128bit) Resume
DSP-VCO
slicer

+ DSP
EFM

RFi VCO TMAX


PVREF PVREF I2C

SRAM I/F
RVDD3
RVSS3

Intrupt

IRQ
Cont.
Synchronous
RF Ripple Subcode
RFRPi guarantee EFM
DET.(RFRP) decoder
decoder
RFRP

round & limit round & limit Instruction


Auto Gain Decoder
AGCi Pio7
Cont.(AGC)

Pio0-7
40bit Pio6

General I/O

IO_CTR
/ATT

DCoFC 1024w Pio5


RF-EQ 4KB SRAM
IP

A3 A2 A1 A0

I/F
(40bit)
RFEQo Pio4
DC level ALU MAC PRAM Pio3
DET(RFDC) AY AX MZ MY MX 4kw*9=36kw Pio2
(40bit)
Correction

Pio1
Address

RFo PROM
RFDC

Error

register Pio0
X0/X1/X2 Program
FNi2 RF Y0/Y1/Y2 Control

FNi1 Y-Bus
Focus Bus
FEI X-Bus Switch
FPi2 Error
(FE)
servo
CLV

C-Pointer Y-Pointer X-Pointer


FPi1
Automatic adjustment

register register register 4kw*11=44kw 4kw 4kw 4kw


Digital equalizer

(24bit) (24bit) (24bit) (24bit)


circuit

Address Calc. CROM ERAM YRAM XRAM


Bias circuit 2sets
VREF
PVREF RESiN
Reference
Control
Servo

Voltage
VRo gene.(VRO)
ROM

RAM

Reference CD monitor General I/O VDD1


Voltage(APC) UART Digital out Audio out
I/F VSS1
Pio8-14
Auto laser
LDo Power Cont. IO_CTR DF
(APC) XVDD3
MDi

16.9MHz
RFRP

For CD/DAC
Stepping

Clock Gen

OSC
10bit ADC

FEI Motor
Control
To AudioDAC
TPi SBAD XVSS3
Tracking
Error TEI
Equalizer Output Control
TNi (TE&SBAD) DVSS3L
VREF
-
Lo

Multi-bit ΔΣ
DA converter
+
TEi DVDD3L
TEZI

- 5bit DAC PWM


+ DVDD3R
Ro
DVSS3R
RFRP

Pio8
Pio9
Pio10
Pio11

CDMoN0
CDMoN1
CDMoN2
CDMoN3
FSMoNiT
(FEi/SBAD/RFDC)

AVDD3

VDD1
VSS1
AVSS3

TRo

FMoS
Foo

FMo
DMo
RFZi

4 2009-05-27

80
TC94A92FG
1. Pin Descriptions
TC94A92FG Terminal Function
1.1 Pin Descriptions
Pin
Symbol I/O Description Default Remarks
No.

DSP VCO - EFM and PLCK Phase difference


O
1 VCoi signal output pin. O 3 state output
3AI/F
(DSP VCO control voltage inputr pin.)
CD-DSP-Power supply for 3.3V RF
2 RVDD3 - -
amplifier core and PLL circuit
O Connect capacitor according with se
3 SLCo EFM slice level output pin O
3AI/F rvo frequency band.
I
4 RFi RF signal input pin I Selectable Zin 20/10 kΩ
3AI/F
I
5 RFRPi RF ripple signal input pin I
3AI/F
O Connect to RFRPi by 0.1uF, to RFi by
6 RFEQo RF equalizer circuit output pin. O
3AI/F 4700pF.
O
7 DCoFC RFEQo offset compensation LPF output O Connect to Vro by more than 0.015uF
3AI/F
I
8 AGCi RF signal AGC amplifier input pin I
3AI/F
O
9 RFo RF signal generation amplifier output pin O
3AI/F
Grounding pin for 3.3 RF amplifier core and PLL
10 RVSS3 - -
circuit
I Main beam signal input pin.
11 FNI2 I
3AI/F To be connected to PIN diode C.
I Main beam signal input pin.
12 FNI1 I
3AI/F To be connected to PIN diode A.
I Main beam signal input pin.
13 FPI2 I
3AI/F To be connected to PIN diode D.
I Main beam signal input pin.
14 FPI1 I
3AI/F To be connected to PIN diode B.
I Sub beam signal input pin.
15 TPi I
3AI/F To be connected to PIN diode F.
I Sub beam signal input pin.
16 TNi I
3AI/F To be connected to PIN diode E.
Connected to PVREF,
O
17 VRo 1.65 V reference voltage output pin. O And connect to GNG by
3AI/F
0.1uF+100uF.

18 AVSS3 - Grounding pin for 3.3V CD analog circuits. -

I
19 MDi Monitor photodiode amplifier input pin. I Reference Voltage=178mVtyp.
3AI/F
O
20 LDo Laser diode amplifier output pin O
3AI/F

5 2009-05-27

81
TC94A92FG

Pin
Symbol I/O Description Default Remarks
No.

O Focus Error signal / Sub beam add signal


21 FSMoNiT O
3AI/F output pin(monitor pin/GND)
I
22 RFZi RF ripple zero-cross signal Input pin I
3AI/F
O
23 RFRP RF ripple signal output pin. O
3AI/F
O Bulit-in serises R=500Ω.
24 TEi Tracking error signal output pin. O Connect to VRo by
3AI/F capacitor.
25 AVDD3 - Power supply pin for 3.3 V CD analog circuits. -
O
26 FOo Focus servo equalizer output pin. O Bulit-in serises R=3.3 kΩ
3AI/F
O
27 TRo Tracking servo equalizer output pin. O Bulit-in output R=3.3 kΩ
3AI/F
Grounding pin for 1.5V Decoder-DSP
28 VSS-3 - -
CD circuit
O
29 FMo Feed servo equalizer output pin. O Bulit-in output R=3.3 kΩ
3AI/F
O Feed servo equalizer output pin.
30 FMoS O Bulit-in output R=3.3 kΩ
3AI/F (Stepper motor application)
O
31 DMo Disc servo equalizer output pin O Bulit-in output R=3.3 kΩ
3AI/F
I/O Power supply pin for 1.5V Decoder-DSP
32 VDD1-3 -
3I/F /CD circuit
I/O CMOS Port
33 Pio8 Port 8(General Input/Output Port ) I Schmitt input
3I/F
Refer to [1.2 Pin Assinment Table]
I/O CMOS Port
34 Pio9 Port 9(General Input/Output Port ) I Schmitt input
3I/F
Refer to [1.2 Pin Assinment Table]
I/O CMOS Port
35 Pio10 Port 10(General Input/Output Port ) I Schmitt input
3I/F
Refer to [1.2 Pin Assinment Table]
I/O CMOS Port
36 Pio11 Port 11(General Input/Output Port ) I Schmitt input
3I/F
Refer to [1.2 Pin Assinment Table]
Pio12/ I/O CMOS Port
Port 12(General Input/Output Port )
37 CDMoN0/ 3I/F I Schmitt input
/ CD Monitor 0 / FG signal input Refer to [1.2 Pin Assinment Table]
FGiN
I/O CMOS Port
Pio13/ Port 13(General Input/Output Port )
38 I Schmitt input
CDMoN1 3I/F / CD Monitor1 Refer to [1.2 Pin Assinment Table]
I/O CMOS Port
Pio14/ Port 14(General Input/Output Port )
39 I Schmitt input
CDMoN2 3I/F / CD Monitor 2 Refer to [1.2 Pin Assinment Table]
O CMOS Port
40 CDMoN3 CD Monitor3 (Default output : SBSY) O Refer to [1.2 Pin Assinment Table]
3I/F

6 2009-05-27
82
TC94A92FG

Pin
Symbol I/O Description Default Remarks
No.

41 DVSS3R - Grounding pin for 3.3V Muiti-Bit DAC circuit -

O
42 Ro R channel audio output pin of Audio DAC. O
3AI/F

43 DVDD3R - Power supply pin for 3.3V Audio DAC circuit. -

44 DVDD3L - Power supply pin for 3.3V Audio DAC circuit. -


O
45 Lo L channel audio output pin of Audio DAC O
3AI/F

46 DVSS3L - Grounding pin for 3.3V Muiti-Bit DAC Circuit -

47 XVSS3 - Grounding pin for 3.3V clock oscillator circuit -


I Xtal oscillation circuit.
48 Xi System clock Input pin I
3AI/F Connect feedback resistor 1
O MΩ between Xo and Xi
49 Xo System clock Output pin O
3AI/F
Power Supply pin for 3.3V clock
50 XVDD3 - -
oscillator circuit
51 VDD1-2 - Power Supply pin for 1.5V Digital circuit -

52 VSS-2 - Grounding pin for 1.5V digital circuit -


CMOS Port
I/O
53 Pio0 Port 0(General Input/Output Port ) I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
CMOS Port
I/O
54 Pio1 Port 1(General Input/Output Port ) I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
CMOS Port
I/O
55 Pio2 Port 2(General Input/Output Port ) I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
CMOS Port
I/O
56 Pio3 Port 3(General Input/Output Port ) I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
CMOS Port
I/O
57 Pio4 Port 4(General Input/Output Port ) I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
CMOS Port
I/O
58 Pio5 Port 5(General Input/Output Port ) I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
CMOS Port
I/O
59 Pio6 Port 6(General Input/Output Port) I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
CMOS Port
I/O
60 Pio7 Port 7(General Input/Output Port ) I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]

7 2009-05-27
83
TC94A92FG

Pin
Symbol I/O Description Default Remarks
No.

61 VDD3 - Power Supply pin for 3.3V Digital circuit -


CMOS Port
I/O
62 BUS0 Microprocessor I/F data input/output pin 0 I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
CMOS Port
I/O
63 BUS1 Microprocessor I/F data input/output pin 1 I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
CMOS Port
I/O
64 BUS2 Microprocessor I/F data input/output pin 2 I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
CMOS Port
I/O
65 BUS3 Microprocessor I/F data input/output pin 3 I Schmitt input
3I/F Refer to [1.2 Pin Assinment Table]
I Schmitt input
66 BUCK Microprocessor I/F BUS clock Input pin I Refer to [1.2 Pin Assinment Table]
3I/F
I Schmitt input
67 /CCE Microprocessor I/F chip enable input pin I Refer to [1.2 Pin Assinment Table]
3I/F
I Microprocessor I/F mode selection pin.
68 MS I Refer to [1.2 Pin Assinment Table]
3I/F “H”: Parallel I/F, “L”: Serial I/F
I
69 /RST Reset Input pin I Schmitt input
3I/F
I
70 Test Test pin (”L” fixed) I Connect to GND for normal operation
3I/F
71 VDD1-1 - Power Supply pin for 1.5V Digital circuit -
72 VSS-1 - Grounding pin for 1.5V Digital circuit -
I
73 /SRAMSTB 1Mbit SRAM stand by pin(/SRAMSTB="L") I
3I/F
74 VDDM1 - Power Supply for 1.5V 1Mbit SRAM circuit -
O 4-state output ( RVDD3,
75 PDo EFM and PLCK Phase difference signal output pin. O
3AI/F RVSS3,PVREF, Hiz)
O
76 TMAX TMAX detection result output pin O 3-state output ( RVDD3, RVSS3, Hiz)
3AI/F
I
77 LPFN PLL circuit LPF amplifier inversion input pin I
3AI/F
O
78 LPFo PLL circuit LPF amplifier Output pin O
3AI/F
Connected to VRO. Connect to
79 PVREF - PLL circuit 1.65 V reference voltage pin. -
GND by 0.1uF and 100uF.
O
80 VCoF VCO filter pin O Connect to GND by 0.01uF
3AI/F
3A I/F : 3 V analog circuit input/output pin.
1.5 I/F : 1.5Vdigital input/output pin.
3 I/F : 3 V digital input/output pin.

8 2009-05-27
84
The IP4001 is a monolithic integrated circuit, and
suitable for 5-ch motor driver which drives focus5-CH MOTOR DRIVE IC
actuator, tracking actuator, sled motor, spindle motor
and Tray motor of CDP & V-CD system.
PIN CONNECTIONS
IP4001CRLTF (MAIN : IC31) 28SSOP H/S

PGND2 DO4- DO4+ IN4 IN4A REF VCC2 (FIN) VCC1 CTL IN3 DO3+ DO3- DO5- DO5+
28 27 26 25 24 23 22 21 20 19 18 17 16 15

FEATURES ORDER INFORMATION


- 1ch(forward-reverse) control DC motor driver
IP4001
Device Package Operating Temp
- 4ch BTL(Balanced Transformerless) driver
- Built-in TSD (Thermal shutdown) circuit IP4001 28SSOPH-375 -35 OC ~ +85 OC
- Built-in 5V regulator with an internal NPN TR
- Built-in mute circuit IP4001TF 28SSOPH-375 -35 OC ~ +85 OC
- Built-in Tray motor speed control circuit
- Wide operating supply voltage range: 6.5V~13.2V

1 2 3 4 5 6 7 8 9 10 11 12 13 14
DO1- DO1+ IN1 IN1A N/C REO MUTE (FIN) SGND FWD IN2 DO2+ DO2- PGND1 REV

BLOCK DIAGRAM
IP4001CRLTF Block Diagram
PGND2 DO4- DO4+ IN4 IN4A REF VCC2 (FIN) VCC1 CTL IN3 DO3+ DO3- DO5- DO5+
28 27 26 25 24 23 22 21 20 19 18 17 16 15
PIN DESCRIPTIONS IP4001
D D D D D D
5-CH MOTOR DRIVE IC
NO SYMBOL I/O DESCRIPTION
10K NO SYMBOL 10K I/O DESCRIPTION
Level Shift Level Shift Level Shift
1 PIN CONNECTIONS
DO1- O CH1 OUTPUT (-) 15 DO5+ O CH5 OUTPUT (+)
MSC
10K 10K
2 DO1+ O CH1 OUTPUT (+) 16 DO5- O CH5 OUTPUT (-)
PGND2 DO4- DO4+ IN4 IN4A REF VCC2 (FIN) TSD
VCC1 CTL IN3 DO3+ DO3- DO5- DO5+ SWITCH
3 IN12 8 27 I 26 CH1
25 INPUT
24 231 22 17
21 20 DO3-
19 18 O17 16 CH31 5OUTPUT (-)
4 IN1A I CH1 INPUT 2 18 DO3+ O CH3 OUTPUT (+)
5 N/C - NO-CONNECTION 19 IN3 I CH3 INPUT
I N 1 IN2
REGULATOR
REGULATOR MUTE CH5 MOTOR SPEED
6 REO O
OUTPUT IP4001 20 CTL I
CONTROL
BIAS
10K MUTE 10K SUPPLY VOLTAGE 1
2.5V

7 MUTE I 21 VCC1 I
INPUT (CH2,CH3,CH5)
3.3K

3.3K

20K

20K

Level Shift 10K Level Shift


SIGNAL SUPPLY VOLTAGE 2
8 SGND - 10K 22 VCC2 I
VCC2

GROUNDPWR
(CH1,CH4,SIGNAL,REG)
1 2 3 4 5 6 7 8 9 10 11 12 13 14
D D TR D D
9 FWD
DO1- DO1+ I IN1 CH5
IN1A INPUT
N/C 1
REO MUTE (FIN) 23
SGND FWD REF
IN2 DO2+ I
DO2- PGND1 REV CH BIAS INPUT
1 2 3 4 5 6 7 8 9 10 11 12 13 14
10 IN2 I CH2 INPUT 24 IN4A I CH4 INPUT 1
DO1- DO1+ IN1 IN1A N/C REO MUTE (FIN) SGND FWD IN2 DO2+ DO2- PGND1 REV
11 DO2+ O CH2 OUTPUT (+) 25 IN4 I CH4 INPUT 2
IP4001CRLTF PIN DESCRIPTIONS
Pin Discriptions
12 2002
August. DO2-
(Rev.7) O CH2 OUTPUT (-) 26 DO4+ O
WWW.INTERPIONSEMI.COM CH4 OUTPUT (+) -1-
13 PGND1
NO SYMBOL - I/O POWER GROUND 1
DESCRIPTION NO 27 DO4-
SYMBOL O CH4 OUTPUT (-)
I/O DESCRIPTION

14 1 REVDO1- I O CH5
CH1INPUT
OUTPUT2 (-) 15 28 DO5+PGND2
O CH5
- OUTPUT
POWER(+) GROUND 2
2 DO1+ O CH1 OUTPUT (+) 16 DO5- O CH5 OUTPUT (-)
3 IN1 I CH1 INPUT 1 17 DO3- O CH3 OUTPUT (-)
4 IN1A I CH1 INPUT 2 18 DO3+ O CH3 OUTPUT (+)
August. 2002 (Rev.7) WWW.INTERPIONSEMI.COM -2-
5 N/C - NO-CONNECTION 19 IN3 I CH3 INPUT
REGULATOR CH5 MOTOR SPEED
6 REO O 20 CTL I
OUTPUT CONTROL
MUTE SUPPLY VOLTAGE 1
7 MUTE I 21 VCC1 I
INPUT (CH2,CH3,CH5)
SIGNAL SUPPLY VOLTAGE 2
8 SGND - 22 VCC2 I
GROUND (CH1,CH4,SIGNAL,REG)
9 FWD I CH5 INPUT 1 23 REF I CH BIAS INPUT
10 IN2 I CH2 INPUT 24 IN4A I CH4 INPUT 1
11 DO2+ O CH2 OUTPUT (+) 25 IN4 I CH4 INPUT 2
12 DO2- O CH2 OUTPUT (-) 26 DO4+ O CH4 OUTPUT (+)
13 PGND1 - POWER GROUND 1 27 DO4- O CH4 OUTPUT (-)
14 REV I CH5 INPUT 2 28 PGND2 - POWER GROUND 2

85
August. 2002 (Rev.7) WWW.INTERPIONSEMI.COM -2-
■ ピン配置

AVDD1
AVSS1
PVSS

RIN4

RIN3

RIN2

RIN1
RIN6

RIN5
LIN5

LIN4

LIN1
LIN6

LIN3

LIN2
AK4683 (MAIN : IC41)

R
64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 49
PVDD 1 48 RISEL
RX0 2 47 ROPIN
I2C 3 46 LOPIN
RX1 4 45 LISEL
RX2 5 44 AVSS2
RX3 6 43 AVDD2
INT 7 42 VCOM
VOUT 8
AK4683EQ 41 ROUT2
CDTO 9 Top View 40 LOUT2
LRCKB 10 39 ROUT2
BICKB 11 38 LOUT2
SDTOB 12 37 MUTET
OLRCKA 13 36 HPL
ILRCKA 14 35 HPR
BICKA 15 34 HVSS
SDTOA 16 33 HVDD
17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32
TVDD

DVDD

PDN

CSN
SDTIA1
SDTIA2
SDTIA3
MCKO

XTO

MCLK2
TX

CCLK

SDTIB
DVSS

XTI

CDTI

[AK4683]

■ ブロック図
AK4683 Block Diagram
■ AK4588 との相違点
RMCLK
RX0 Functions AK4588 AK4683
4:2 Clock
RX1 DAC, ADC 非同期動作 非対応 DAIF 対応 XTO
Input Recovery
RX2 DAC チャネル数 8chDecoder 4ch X’tal
HP-Amp Selector 非内蔵 2ch Oscillator
RX3
ADC 入力セレクタ 非内蔵 6:1 XTI
LISEL IPS0/1, OPS0/1 bit
MCLK2
LOPIN
LIN1
LIN2
LIN3 MCKO
HPF
LIN4 MS0427-J-02 ADC ADC 2007/04
LIN5 DVOL -4-
PORTB
LIN6 Audio
LIN0/1/2, RIN0/1/2 bit BICKB
RIN1
I/F LRCKB
RIN2 DIR
RIN3
RIN4 HPF, ADC
ADC SDOUT SDTOB
RIN5 DVOL SDTIA1
RIN6 off
ROPIN SDTOB0/1 bit
RISEL SDTIB
Through DIR
ADC I2C
TX DIT
DIT SDTIB
DIT bit SDTIA1 CSN
DIR CCLK
DIT0/1 bit μP I/F
ADC CDTI
LOUT1 SDTIB CDTO
LPF DAC DVOL DAC1
SDTIA1
Audio SDTIA2
ROUT1 LPF DAC DVOL I/F SDTIA3
DIR DIR PORTA

LPF DAC DVOL DAC2 ADC ADC


LOUT2 SDTOA
SDTIB SDTIB
Audio OLRCKA
SDTIA1 off
ROUT2 LPF DAC DVOL I/F
SDTIA2 SDTOA0/1 bit BICKA
SDTIA3
ILRCKA
HPL DAC10/11/12,
DAC20/21/22 bit SDTIA1
OPGA SDTIA2
HPR
SDTIA3

86
[AK4683]

ピン/機能
AK4683 Pin Discriptions
No. Pin Name I/O Function
1 PVDD - PLL Power supply Pin, 4.5V∼5.5V
2 RX0 I Receiver Channel 0 Pin (Internal biased pin. Internally biased at PVDD/2)
Control Mode Select Pin.
3 I2C I
“L”: 4-wire Serial, “H”: I2C Bus
4 RX1 I Receiver Channel 1 Pin
5 RX2 I Receiver Channel 2 Pin
6 RX3 I Receiver Channel 3 Pin
7 INT O Interrupt Pin
VOUT O V-bit Output Pin for Receiver Input
Zero Input Detect Pin
DZF O When the input data of DAC follow total 8192 LRCK cycles with “0” input data, this
8
pin goes to “H”. And when RSTN1 bit is “0”, PWDA bit is “0”, this pin goes to “H”.
Analog Input Overflow Detect Pin
OVF O
This pin goes to “H” if the analog input of Lch or Rch overflows.
9 CDTO O Control Data Output Pin in Serial Mode and I2C pin = “L”.
10 LRCKB I/O Channel Clock B Pin
11 BICKB I/O Audio Serial Data Clock B Pin
12 SDTOB O Audio Serial Data Output B Pin
13 OLRCKA I/O Output Channel Clock A Pin
14 ILRCKA I/O Input Channel Clock A Pin
15 BICKA I/O Audio Serial Data Clock A Pin
16 SDTOA O Audio Serial Data Output A Pin
17 MCKO O Master Clock Output Pin
18 TVDD - Output Buffer Power Supply Pin, 2.7V∼5.5V
19 DVSS - Digital Ground Pin, 0V
20 DVDD - Digital Power Supply Pin, 4.5V∼5.5V
21 XTI I X'tal Input Pin
22 XTO O X'tal Output Pin
Transmit Channel Output pin
23 TX O When DIT bit = “0”, RX0~3 Through.
When DIT bit = “1”, Internal DIT Output.
24 MCLK2 I Master Clock Input Pin
Power-Down Mode & Reset Pin
25 PDN I When “L”, the AK4683 is powered-down, all registers are reset. And then all digital
output pins go “L”. The AK4683 must be reset once upon power-up.
CDTI I Control Data Input Pin in Serial Mode and I2C pin = “L”.
26
SDA I/O Control Data Pin in Serial Mode and I2C pin = “H”.
27 CCLK I Control Data Clock Pin in Serial Mode and I2C pin = “L”
SCL I Control Data Clock Pin in Serial Mode and I2C pin = “H”
CSN I Chip Select Pin in Serial Mode and I2C pin = “L”.
28
TEST I This pin should be connected to DVSS in Serial Mode and I2C pin = “H”.
29 SDTIA1 I Audio Serial Data Input A1 Pin
30 SDTIA2 I Audio Serial Data Input A2 Pin
31 SDTIA3 I Audio Serial Data Input A3 Pin
32 SDTIB I Audio Serial Data Input B Pin
33 HVDD - HP Power Supply Pin, 4.5V∼5.5V
34 HVSS - HP Ground Pin, 0V
35 HPR O HP Rch Output Pin
36 HPL O HP Lch Output Pin
HP Common Voltage Output Pin
37 MUTET -
1μF capacitor should be connected to HVSS externally.

MS0427-J-02 2007/04
-7-

87
[AK4683]

No. Pin Name I/O Function


38 LOUT2 O DAC2 Lch Positive Analog Output Pin
39 ROUT2 O DAC2 Rch Positive Analog Output Pin
40 LOUT1 O DAC1 Lch Positive Analog Output Pin
41 ROUT1 O DAC1 Rch Positive Analog Output Pin
DAC/ADC Common Voltage Output Pin
42 VCOM -
2.2μF capacitor should be connected to AVSS2 externally.
43 AVDD2 - DAC Power Supply Pin, 4.5V∼5.5V
44 AVSS2 - DAC Ground Pin, 0V
45 LISEL O Lch Feedback Resistor Output Pin
46 LOPIN O Lch Feedback Resistor Input Pin, 0.5xAVDD1
47 ROPIN O Rch Feedback Resistor Input Pin. 0.5xAVDD1
48 RISEL O Rch Feedback Resistor Output Pin
49 AVSS1 - ADC Ground Pin, 0V
50 AVDD1 - ADC Power Supply Pin, 4.5V∼5.5V
51 LIN1 I Lch Input 1 Pin
52 RIN1 I Rch Input 1 Pin
53 LIN2 I Lch Input 2 Pin
54 RIN2 I Rch Input 2 Pin
55 LIN3 I Lch Input 3 Pin
56 RIN3 I Rch Input 3 Pin
57 LIN4 I Lch Input 4 Pin
58 RIN4 I Rch Input 4 Pin
59 LIN5 I Lch Input 5 Pin
60 RIN5 I Rch Input 5 Pin
61 LIN6 I Lch Input 6 Pin
62 RIN6 I Rch Input 6 Pin
63 PVSS - PLL Ground pin
External Resistor Pin
64 R -
12kΩ +/-1% resistor should be connected to PVSS externally.

Notes: 内部バイアスピン(RX0)とアナログ入力ピン(LIN1-6, RIN1-6)を除くすべての入力ピンはフローティン


グにしないで下さい。

■ 使用しないピンの処理について

使用しない入出力ピンは下記の設定を行い、適切に処理して下さい。

Classification Pin Name Setting


Analog RX0, LOUT1-2, ROUT1-2, LIN1-6, RIN1-6 These pins should be open.
INT, XTO, MCKO, VOUT/DZF/OVF, SDTOA-B, These pins should be open.
CDTO, TX
Digital RX1-3, CSN, CCLK, CDTI, XTI, MCLK2, These pins should be connected to DVSS.
OLRCKA, ILRCKA, BICKA, SDTIA1-3,
LRCKB, BICKB, SDTIB

MS0427-J-02 2007/04
-8-

88
The TAS5142 is available in two thermally enhanced packages:
• 36-pin PSOP3 package (DKD)
• 44-pin HTSSOP PowerPad™ package (DDV)
Both package types contain a heat slug that is located on the top side of the device for convenient thermal
coupling to the heatsink.

TAS5142 (MAIN : IC71,72)


DKD PACKAGE
(TOP VIEW)
DDV PACKAGE
(TOP VIEW)

GVDD_B 1 44 GVDD_A
GVDD_B 1 36 GVDD_A OTW 43 BST_A
2
OTW 2 35 BST_A NC 42 NC
3
SD 3 34 PVDD_A NC 41 PVDD_A
4
PWM_A 4 33 OUT_A SD 40 PVDD_A
5
RESET_AB 5 32 GND_A PWM_A 39 OUT_A
6
PWM_B 6 31 GND_B RESET_AB 38 GND_A
7
OC_ADJ 7 30 OUT_B PWM_B 37 GND_B
8
GND 8 29 PVDD_B OC_ADJ 9 36 OUT_B
AGND 9 28 BST_B GND 35 PVDD_B
10
VREG 10 27 BST_C AGND 11 34 BST_B
M3 11 26 PVDD_C VREG 12 33 BST_C
M2 12 25 OUT_C M3 13 32 PVDD_C
M1 13 24 GND_C M2 14 31 OUT_C
PWM_C 14 23 GND_D M1 15 30 GND_C
RESET_CD 15 22 OUT_D PWM_C 16 29 GND_D
PWM_D
VDD
16
17
21
20
PVDD_D
BST_D
RESET_CD 17 28 OUT_D TAS5142
PWM_D 18 27 PVDD_D
GVDD_C 18 19 GVDD_D NC 19 26 PVDD_D
www.ti.com
NC 20 SLES126B
25 – DECEMBER 2004 – REVISED MAY 2005
NC
P0018-01
VDD 21 24 BST_D
TerminalPin
TAS5142 Functions
Discriptions GVDD_C 22 23 GVDD_D
TERMINAL P0016-02
FUNCTION (1) DESCRIPTION
NAME DKD NO. DDV NO.
AGND 9 11 P Analog ground
BST_A 35 43 P HS bootstrap supply (BST), external capacitor to OUT_A required
BST_B 28 34 P HS bootstrap supply (BST), external capacitor to OUT_B required
BST_C 27 33 P HS bootstrap supply (BST), external capacitor to OUT_C required
BST_D 20 24 P HS bootstrap supply (BST), external capacitor to OUT_D required
GND 8 10 P Ground
GND_A 32 38 P Power ground for half-bridge A
GND_B 31 37 P Power ground for half-bridge B
GND_C 24 30 P Power ground for half-bridge C
GND_D 23 29 P Power ground for half-bridge D
GVDD_A 36 44 P Gate-drive voltage supply requires 0.1-µF capacitor to AGND
GVDD_B 1 1 P Gate-drive voltage supply requires 0.1-µF capacitor to AGND
2
GVDD_C 18 22 P Gate-drive voltage supply requires 0.1-µF capacitor to AGND
GVDD_D 19 23 P Gate-drive voltage supply requires 0.1-µF capacitor to AGND
M1 13 15 I Mode selection pin
M2 12 14 I Mode selection pin
M3 11 13 I Mode selection pin
NC – 3, 4, 19, 20, 25, – No connect. Pins may be grounded.
42
OC_ADJ 7 9 O Analog overcurrent programming pin requires resistor to ground
OTW 2 2 O Overtemperature warning signal, open-drain, active-low
OUT_A 33 39 O Output, half-bridge A
OUT_B 30 36 O Output, half-bridge B
OUT_C 25 31 O Output, half-bridge C
OUT_D 22 28 O Output, half-bridge D
PVDD_A 34 40, 41 P Power supply input for half-bridge A requires close decoupling of
0.1-µF capacitor to GND_A.
PVDD_B 29 35 P Power supply input for half-bridge B requires close decoupling of
0.1-µF capacitor to GND_B.
PVDD_C 26 32 P Power supply input for half-bridge C requires close decoupling of
0.1-µF capacitor to GND_C.
PVDD_D 21 26, 27 P Power supply input for half-bridge D requires close decoupling of
0.1-µF capacitor to GND_D.
PWM_A 4 6 I Input signal for half-bridge A
PWM_B 6 8 I Input signal for half-bridge B
PWM_C 14 16 I Input signal for half-bridge C
PWM_D 16 18 I Input signal for half-bridge D
RESET_AB 5 7 I Reset signal for half-bridge A and half-bridge B, active-low
RESET_CD 15 17 I Reset signal for half-bridge C and half-bridge D, active-low
SD 3 5 O Shutdown signal, open-drain, active-low
VDD 17 21 P Power supply for digital voltage regulator requires 0.1-µF capacitor
to GND.
VREG 10 12 P Digital regulator supply filter pin requires 0.1-µF capacitor to AGND.

(1) I = input, O = output, P = power

89
TAS5142
www.ti.com
SLES126B – DECEMBER 2004 – REVISED MAY 2005

FUNCTIONAL BLOCK
TAS5142 Block DIAGRAM
Diagram
VDD
Under- 4
OTW voltage
Protection
Internal Pullup VREG VREG
Resistors to VREG

SD
Power
On
M1
Reset AGND
Protection
M2 and
I/O Logic
M3 Temp.
Sense GND

RESET_AB
Overload
RESET_CD Isense OC_ADJ
Protection

GVDD_D
BST_D
PVDD_D
PWM Gate
PWM_D Ctrl. Timing OUT_D
Rcv. Drive
BTL/PBTL−Configuration
Pulldown Resistor

GND_D
GVDD_C
BST_C
PVDD_C
PWM Gate
PWM_C Ctrl. Timing OUT_C
Rcv. Drive
BTL/PBTL−Configuration
Pulldown Resistor

GND_C
GVDD_B
BST_B
PVDD_B
PWM Gate
PWM_B Ctrl. Timing OUT_B
Rcv. Drive
BTL/PBTL−Configuration
Pulldown Resistor

GND_B
GVDD_A
BST_A
PVDD_A
PWM Gate
PWM_A Ctrl. Timing OUT_A
Rcv. Drive
BTL/PBTL−Configuration
Pulldown Resistor

GND_A
B0034-02

90
2.1 Physical Characteristics

2.1.1 Terminal Assignments


PAG PACKAGE
TAS5508 (MAIN : IC51) (TOP VIEW)

PWM_HPMR

DVDD_PWM
PWM_HPPR

PWM_HPML

DVSS_PWM
PWM_HPPL

PWM_M_6

PWM_M_5

PWM_M_8

PWM_M_7
RESEVED

PWM_P_6

PWM_P_5

PWM_P_8

PWM_P_7
MCLK
64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 49
VRA_PLL 1 48 VR_PWM
PLL_FLT_RET 2 47 PWM_P_4
PLL_FLTM 3 46 PWM_M_4
PLL_FLTP 4 45 PWM_P_3
AVSS 5 44 PWM_M_3
AVSS 6 43 PWM_P_2
VRD_PLL 7 42 PWM_M_2
AVSS_PLL 8 41 PWM_P_1
AVDD_PLL 9 40 PWM_M_1
VBGAP 10 39 VALID
RESET 11 38 DVSS
HP_SEL 12 37 BKND_ERR
PDN 13 36 DVDD
MUTE 14 35 DVSS
DVDD 15 34 DVSS
DVSS 16 33 VR_DIG
17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32
SDIN4
SDIN3
SDIN2
SDIN1
OSC_CAP

XTL_IN
RESERVED
RESERVED
RESERVED
SDA

PSVC
SCL

SCLK
LRCLK
XTL_OUT
VR_DPLL

TAS5508
8-Channel Digital Audio PWM Processor www.ti.com
P0010-01
SLES091C – FEBRUARY 2004 – REVISED AUGUST 2005

2.1.2 Ordering Information 2.1.3 Terminal Descriptions


TAS5508 Pin Discriptions
TA TERMINAL 5-V PLASTIC 64-PIN(2)PQFP (PN)
TYPE (1) TERMINATION DESCRIPTION
NAME NO. TOLERANT
0°C to 70°C TAS5508PAG
AVDD_PLL 9 P 3.3-V analog power supply for PLL. This terminal can be connected to the same
power source used to drive power terminal DVDD, but to achieve low PLL jitter,
this terminal should be bypassed to AVSS_PLL with a 0.1-µF low-ESR
capacitor.
AVSS 5, 6 P Analog ground
AVSS_PLL 8 P Analog ground for PLL. This terminal should reference the same ground as
terminal DVSS, but to achieve low PLL jitter, ground noise at this terminal must
be minimized. The availability of the AVSS terminal allows a designer to use
optimizing techniques such as star ground connections, separate ground planes,
or other quiet ground-distribution techniques to achieve a quiet ground reference
at this terminal.
BKND_ERR 37 DI Pullup Active-low. A back-end error sequence is generated by applying logic low to this
terminal. The BKND_ERR results in no change to any system parameters, with
all H-bridge drive signals going to a hard-mute (M) state.
DVDD 15, 36 P 3.3-V digital power supply
DVDD_PWM 54 P 3.3-V digital power supply for PWM
DVSS 16, 34, P Digital ground
35, 38 Description 17
DVSS_PWM 53 P Digital ground for PWM
HP_SEL 12 DI 5V Pullup Headphone in/out selector. When a logic low is applied, the headphone is
selected (speakers are off). When a logic high is applied, speakers are selected
(headphone is off).
LRCLK 26 DI 5V Serial-audio data left/right clock (sampling-rate clock)
MCLK 63 DI 5V Pulldown MCLK is a 3.3-V master clock input. The input frequency of this clock can range
from 4 MHz to 50 MHz.
MUTE 14 DI 5V Pullup Soft mute of outputs, active-low (muted signal = a logic low, normal operation =
a logic high). The mute control provides a noiseless volume ramp to silence.
Releasing mute provides a noiseless ramp to previous volume.
OSC_CAP 18 AO Oscillator capacitor
PDN 13 DI 5V Pullup Power down, active-low. PDN powers down all logic and stops all clocks
whenever a logic low is applied. The internal parameters are preserved through
a power-down cycle, as long as RESET is not active. The duration for system
recovery from power down is 100 ms.
PLL_FLT_RET 2 AO PLL external filter return
PLL_FLTM 3 AO PLL negative input. Connected to PLL_FLT_RTN via an RC network
PLL_FLTP 4 AI PLL positive input. Connected to PLL_FLT_RTN via an RC network
PSVC 32 O Power-supply volume control PWM output
PWM_HPML 59 DO PWM left-channel headphone (differential –)
PWM_HPMR 61 DO PWM right-channel headphone (differential –)
PWM_HPPL 60 DO PWM left-channel headphone (differential +)
PWM_HPPR 62 DO PWM right-channel headphone (differential +)
PWM_M_1 40 DO PWM 1 output (differential –)
PWM_M_2 42 DO PWM 2 output (differential –)
PWM_M_3 44 DO PWM 3 output (differential –)
PWM_M_4 46 DO PWM 4 output (differential –)
PWM_M_5 55 DO PWM 5 output (differential –)
PWM_M_6 57 DO PWM 6 output (differential –)
PWM_M_7 49 DO PWM 7 (lineout L) output (differential –)
PWM_M_8 51 DO PWM 8 (lineout R) output (differential –)
PWM_P_1 41 DO PWM 1 output (differential +)
PWM_P_2 43 DO PWM 2 output (differential +)

(1) Type: A = analog; D = 3.3-V digital; P = power/ground/decoupling; I = input; O = output


(2) All pullups are 200-mA weak pullups and all pulldowns are 200-mA weak pulldowns. The pullups and pulldowns are included to ensure
proper input logic levels if the terminals are left unconnected (pullups => logic-1 input; pulldowns => logic-0 input). Devices that drive
inputs with pullups must be able to sink 200 mA, while maintaining a logic-0 drive level. Devices that drive inputs with pulldowns must be
able to source 200 mA, while maintaining a logic-1 drive level.

18 Description

91
TAS5508
www.ti.com
8-Channel Digital Audio PWM Processor
SLES091C – FEBRUARY 2004 – REVISED AUGUST 2005

TERMINAL 5-V
TYPE (1) TERMINATION (2) DESCRIPTION
NAME NO. TOLERANT

PWM_P_3 45 DO PWM 3 output (differential +)


PWM_P_4 47 DO PWM 4 output (differential +)
PWM_P_5 56 DO PWM 5 output (differential +)
PWM_P_6 58 DO PWM 6 output (differential +)
PWM_P_7 50 DO PWM 7 (lineout L) output (differential +)
PWM_P_8 52 DO PWM 8 (lineout R) output (differential +)
RESERVED 21, 22, Connect to digital ground
23, 64
RESET 11 DI 5V Pullup System reset input, active-low. A system reset is generated by applying a logic
low to this terminal. RESET is an asynchronous control signal that restores the
TAS5508 to its default conditions, sets the valid output low, and places the
PWM in the hard mute (M) state. Master volume is immediately set to full
attenuation. On the release of RESET, if PDN is high, the system performs a 4-
to 5-ms device initialization and sets the volume at mute.
SCL 25 DI 5V I2C serial-control clock input/output
SCLK 27 DI 5V Serial-audio data clock (shift clock) input
SDA 24 DIO 5V I2C serial-control data-interface input/output
SDIN1 31 DI 5V Pulldown Serial-audio data input 1 is one of the serial-data input ports. SDIN1 supports
four discrete (stereo) data formats and is capable of inputting data at 64 Fs.
SDIN2 30 DI 5V Pulldown Serial-audio data input 2 is one of the serial-data input ports. SDIN2 supports
four discrete (stereo) data formats and is capable of inputting data at 64 Fs.
SDIN3 29 DI 5V Pulldown Serial-audio data input 3 is one of the serial-data input ports. SDIN3 supports
four discrete (stereo) data formats and is capable of inputting data at 64 Fs.
SDIN4 28 DI 5V Pulldown Serial-audio data input 4 is one of the serial-data input ports. SDIN4 supports
four discrete (stereo) data formats and is capable of inputting data at 64 Fs.
VALID 39 DO Output indicating validity of PWM outputs, active-high
VBGAP 10 P Band-gap voltage reference. A pinout of the internally regulated 1.2-V reference.
Typically has a 1-nF low-ESR capacitor between VBGAP and AVSS_PLL. This
terminal must not be used to power external devices.
VR_DIG 33 P Voltage reference for 1.8-V digital core supply. A pinout of the internally
regulated 1.8-V power used by digital core logic. A 4.7-µF low-ESR capacitor (3)
should be connected between this terminal and DVSS. This terminal must not
be used to power external devices.
VR_DPLL 17 P Voltage reference for 1.8-V digital PLL supply. A pinout of the internally
regulated 1.8-V power used by digital PLL logic. A 0.1-µF low-ESR capacitor (3)
should be connected between this terminal and DVSS_CORE. This terminal
must not be used to power external devices.
VR_PWM 48 P Voltage reference for 1.8-V digital PWM core supply. A pinout of the internally
regulated 1.8-V power used by digital PWM core logic. A 0.1-µF low-ESR
capacitor (3) should be connected between this terminal and DVSS_PWM. This
terminal must not be used to power external devices.
VRA_PLL 1 P Voltage reference for 1.8-V PLL analog supply. A pinout of the internally
regulated 1.8-V power used by PLL logic. A 0.1-µF low-ESR capacitor (3) should
be connected between this terminal and AVSS_PLL. This terminal must not be
used to power external devices.
VRD_PLL 7 P Voltage reference for 1.8-V PLL digital supply. A pinout of the internally
regulated 1.8-V power used by PLL logic. A 0.1-µF low-ESR capacitor (3) should
be connected between this terminal and AVSS_PLL. This terminal must not be
used to power external devices.
XTL_IN 20 AI XTL_OUT and XTL_IN are the only LVCMOS terminals on the device. They
provide a reference clock for the TAS5508 via use of an external fundamen-
tal-mode crystal. XTL_IN is the 1.8-V input port for the oscillator circuit. A
13.5-MHz crystal (HCM49) is recommended.
XTL_OUT 19 AO XTL_OUT and XTL_IN are the only LVCMOS terminals on the device. They
provide a reference clock for the TAS5508 via use of an external fundamen-
tal-mode crystal. XTL_OUT is the 1.8-V output drive to the crystal. A 13.5-MHz
crystal (HCM49) is recommended.

(3) If desired, low-ESR capacitance values can be implemented by paralleling two or more ceramic capacitors of equal value. Paralleling
capacitors of equal value provides an extended high-frequency supply decoupling. This approach avoids the potential of producing
parallel resonance circuits that have been observed when paralleling capacitors of different values.

Description 19

92
www.ti.com

AVSS_PLL
VRA_PLL

VR_PLL
DVSS
AVSS

AVDD_PLL
VBGAP
VRD_PLL

AVDD_REF
DVDD
AVDD
Power Supply

MCLK PWM_HPP and MR


XTL_OUT Digital Audio Processor PWM Section
PWM_HPP and ML
XTL_IN
0 7 Soft Soft Loud DC De Interpolate SRC NS PWM
Det Biquads Tone Vol Comp DRC Block Emph PWM AP and AM1 L Front
PLL_FLTM
0 7 Soft Soft Loud DRC DC De Interpolate SRC NS PWM
PLL_FLTP Det Biquads Tone Vol Comp Block Emph
OSC CAP
PWM AP and AM2 R Front
SCLK 0 7 Soft Soft Loud DC De Interpolate SRC NS PWM
TAS5508 Block Diagram

LRCLK Det Biquads Tone Vol Comp DRC Block Emph


Control
SDIN1 PWM AP and AM3 L Rear
SDIN2 0 7 Soft Soft Loud DC De Interpolate SRC NS PWM
Det Biquads Tone Vol Comp DRC

Clock, PLL, and Serial Data I/F


SDIN3 Block Emph
PWM AP and AM4 R Rear
SDIN4
0 7 Soft Soft Loud DC De Interpolate SRC NS PWM
Det Biquads Tone Vol Comp DRC Block Emph
SDA Serial PWM AP and Am7 Center

8 × 8 Crossbar Mixer
Output Control

8 × 2 Crossbar Mixer
Control 0 7 Soft Soft Loud DC De Interpolate SRC NS PWM
SCL I/F Det Biquads Tone Vol Comp DRC Block Emph PWM AP and AM8
Sub woofer
0 7 Soft Soft Loud DC De Interpolate SRC NS PWM
RESET Det Biquads Tone Vol Comp DRC Block Emph PWM AP and AM5 L Surround
PDN
PWM L Lineout
0 7 Soft Soft Loud DC De Interpolate SRC NS PWM

DAP Control
MUTE Det Biquads Tone Vol Comp DRC Block Emph PWM AP and AM6 R Surround

System Control
HP_SEL PWM R Lineout

Figure 1-1. TAS5508 Functional Structure


BKND_ERR 8 8 8
8 4 8 2
VALID
2
Volume
9 Cotrol PSVC PSVC

PWM Control

93
B0011-01

Introduction PWM
SLES091C – FEBRUARY 2004 – REVISED AUGUST 2005
8-Channel Digital Audio PWM Processor
TAS5508

13
CS (Current Sense)
The Current Sense pin senses the voltage developed
1 Pin Configuration and Functionality
1.1resistor
on the series Pininserted
Configuration with of
in the source PG-DIP-8-6
the 1.2 Pin F
Package PG-DIP-8-6 integrated Depl. CoolMOS™. If CS reaches the internal
ICE3B0365J (SMPS : IC91) threshold of the Current Limit Comparator, the Driver SoftS (Soft Sta
output is immediately switched off. Furthermore the Jittering Control)
Pin
current information Symbol Function
is provided for the PWM- The SoftS pin co
SoftS 1 8 GND Comparator to realize the Current Mode. during Start Up a
1 SoftS Soft-Start Mode. These func
adjusted by mean
Drain (Drain2of integrated
FB Depl.
Feedback
CoolMOS™)
ground. This cap
Pin Drain is the
3 connection
CS to the DrainSense/
Current of the internal blanking window
FB 2 7 VCC Depl. CoolMOSTM. 650V1) Depl. CoolMOS™ Source enters into Auto R
also used to cont
4 Drain 650V1) Depl. CoolMOS™ Drain
VCC (Power supply) during normal load
CS 3 6 N.C The VCC pin 5 is the Drain 650V1) Depl.
positive supply of theCoolMOS™
IC. The Drain
operating range is between 10.3V and 26V. FB (Feedback)
6 N.C. Not Connected
The information ab
7 CoolSET™-F3
VCC Controller Supply Voltage FB Pin to the intern
GND (Ground)
Drain 4 5 Drain ICE3Bxx65J PWM-Comparator
The GND pin8is the ground
GND of the
Controller Ground
controller.
Signal controls in
1)
at Tj = 110°C Mode of the contro

2 FigureRepresentative
1 Blockdiagram
Pin Configuration PG-DIP-8-6(top
ICE3B0365J Block Diagram
view) CS (Current Sens
Note: Pin 4 and 5 are shorted within the DIP The Current Sens
DC Output
Converter

package. on the series res


VOUT

Package PG-DIP-8-6
+

integrated Depl. C
threshold of the C
output is immedia
current informati
SoftS 1 8 GND Comparator to rea

Drain (Drain of in
Pin Drain is the co
RSense

Version 2.4 5 FB 2 14 Nov 72006 VCC Depl. CoolMOSTM


GND

CS
Snubber

VCC (Power supp


Depl. CoolMOS™
Drain

10kΩ

D1

CS 3 6 N.C
Current Limiting

The VCC pin is


Startup Cell

operating range is
1pF
Driver
Gate

G9
&
Section

Blanking
Vcsth Leading
PWM

220ns
Edge
R Q
FF1

GND (Ground)
CVCC

Drain 4 5 Drain
0.32V

The GND pin is th


1
G8

Propagation-Delay
0.75

Compensation

C10

C12
VCC

Oscillator
Duty Cycle

Clock
max

Jitter
Freq

G10
&

Figure 1 Pin Configuration PG-DIP-8-6(top view)


Note: Pin 4 and 5 are shorted within the DIP
Comparator

Comparator
5V

package.
Undervoltage Lockout

Soft Start Soft-Start

G7
&

PWM
18V

x3.2

Current Mode
C8
Reference
Voltage

PWM OP
C7
10.3V

0.6V
Auto Restart

Active Burst
Power Management

Mode

Mode

G11

ICE3xxx65J / CoolSET™- F3 Jitter version


&
Power-Down
Internal Bias

Reset
CBulk

Blanking
Spike

8.0us

Thermal Shutdown

G6
&

Version 2.4 5
Tj >140°C

G5
&
0.8V

G13
5V

&

S Q
T3

G12

FF2
&

R
T1
T2

UVLO
C13
3.25kΩ

C6a

C6b
C2

C3

C4

C5
3.1V

4.5V
4.0V

1.35V

3.61V
20.5V

3.0V
VCC
RSoftS

3V

Control Unit
25kΩ
S1

2pF
RFB
5V
85 ... 270 VAC

SoftS

FB
CSoftS

Figure 2 Representative Blockdiagram

Version 2.4 6 94 14 Nov 2006


Pin Confi

1 Pin Configuration and Functionalit


Package PG-DIP-8-6 1.1Package
Pin PG-DIP-7-1
Configuration with PG-DIP-8-6 1.2 Pin C
ICE2B265 (SMPS : IC92)

Pin Symbol Function Pin Symbol


SoftS 1 8 GND SoftS GND
1 1
SoftS 8
Soft-Start 1 SoftS
2 FB Feedback 2 FB
FB 2 7 VCC FB
3 2
Isense 7
Controller VCC Sense Input,
Current 3 Isense
CoolMOS™ Source Output

3 6 4 Drain 650V1)/800V2) CoolMOS™ Drain 4 N.C.


Isense N.C Isense 3
5 Drain 650V /800V 1) 2)
CoolMOS™ Drain 5 Drain
CoolSET™-F2
4 5 6 N.C Not connected 7 VCC
Drain Drain 4 5
n.c. Drain
7 VCC Controller Supply Voltage 8 GND
8 GND Blockdiagram
Representative Controller Ground 1)
at Tj = 110°C
1)
at Tj = 110°C
2Figure 1 Representative BlockdiagramFigure 2
Pin Configuration PG-DIP-8-6 (top view) Pin Configuration PG-DIP-7-1 (top view)
2)
at Tj = 25°C
2)
at Tj = 25°C
ICE2B265 Block Diagram
DC Output
Converter

VOUT
+

Package PG-DIP-8-6 Pac


Version 2.6 6 25 Dec 2006
Optocoupler
RSense

Isense

SoftS 1 8 GND SoftS


Snubber

CoolMOS™
Drain

D1
10k

FB 2 7 VCC FB
Leading Edge
Blanking
220ns
Driver
Gate

Isense 3 6 N.C Isense


Vcsth

ICE2Bxxxx
PWM-Latch
Q

Q
Duty Cycle Max

67kHz

20kHz
R
S
0.72

Propagation-Delay
Compensation

4 5
Current Limiting
G4

Current-Limit
Comparator

Drain Drain n.c.


ICE2Axxxx

21.5kHz
100kHz
fstandby-fnorm
Oscillator
Duty Cycle
max

Clock

Comparator
6.5V
5.3V
4.8V
4.0V

Comparator

fstandby
Improved Current Mode
Soft-Start

PWM

fnorm

Figure 1 Pin Configuration PG-DIP-8-6 (top view)


C5

Figure 2 Pin C
x3.65
Internal Bias

Reference
Voltage
Power Management

PWM OP
Soft Start

0.3V

0.8V
13.5V
Undervoltage

UFB
Power-Down

Error-Latch
Lockout

Power-Up
Reset

R
S
Reset
8.5V

Blanking
Spike

Standby Unit
fosc
5 s
CVCC
CLine

fstandby
fnorm
G3

Version 2.6 6
G2
G1

Thermal Shutdown

GND
Protection Unit
C1

C2

C4

C3
RStart-up

Tj >140°C

CoolSET™-F2
4.0V

4.8V
16.5V

5.3V
VCC

5.6V
RSoft-Start

RFB
6.5V

6.5V
T1
SoftS

FB
CSoft-Start
85 ... 270 VAC

Figure 5 Representative Blockdiagram

Version 2.6 9 25 Dec 2006


95
6 OUT gate driver output Pin Configurati
7 VCC IC supply voltage CS (Current Sensing)
8 GND Common ground 1 Pin Configuration and Functionality
This pin is connected to the shunt resistor for the
primary current sensing, externally, and the PWM
signal generator for switch-off determination (together
1.2 Package PG-DIP-8 1.1
with the regulation voltage), Pin Configuration
internally. Moreover, short-
REG (Regulation)
ICE2QS01 (SMPS : IC93) winding protection is realised by monitoring the voltage Normally, an external capa
Vcs during on-time of the main power switch. for a smooth voltage V
connected to the PWM sig
ZC 1 8 GND HV (High Voltage) Pin Symbol Function determination (together
The pin HV is connected to the bus voltage, externally, signal), the digital signal p
1
and to the power cell, internally. Zero Crossing
ZC current through
The reduction with decreas
this pin pre-charges the VCC capacitor once the supply
REG 2 7 VCC 2
bus voltage is applied. Additionally, REG Regulation
the current through
operation, and the burst m
this pin supplies the IC in case that the output voltage burst mode operation de
3 CS Primary Current Sensing
is lowered during active burst Quasi-Resonant
mode operation, or PWM Controllercontrol during burst mode
CS 3 6 OUT during latch-off mode. 4, 5 HV High Voltage input open-loop / over-load pro
ICE2QS01monitoring the voltage at t
OUT (Gate drive output) 6 OUT gate driver output
HV 4 5 HV Representative
This output signal drives7 the external
switch, which is a power MOSFET in most case.
voltage block diagram
VCC main power
IC supply CS (Current Sensing)
8 GND Common ground This pin is connected to

2 Representative block diagram VCC (Power supply)


This is the IC power supply pin. Externally, this pin is
primary current sensing,
signal generator for switch
Figure 1 Pin Configuration PG-DIP-8(top view)
ICE2QS01 Block Diagram 1.2 whichPackage
connected to the VCC capacitor, is supplied byPG-DIP-8 with the regulation voltage)
the inside power cell during VCC charge-up, burst winding protection is realis
mode operation at lowered output voltage or during Vcs during on-time of the m
latched-off of the IC, and the auxiliary winding during
VZCT2
1.3 Pin Functionality normal operation or burst mode operation with high
ringing
ZCwinding.
enough voltage across the auxiliary 1 on
Based 8 GND HV (High Voltage)
suppression
this voltage, the VCC under- or over-voltage protection
VZCT1 are implemented. time control The pin HV is connected to
ZC (ZeroZCCrossing) and to the power cell, inte
At this pin,
1 the voltage from the auxiliary winding after this pin pre-charges the VC

PWM generator
a time delay circuit is applied. Internally, this pin is GND (Ground) REG Zero-crossing2 7 VCC
VOLP ThisOLP counter
is the common ground of the controller. bus voltage is applied. Add
connected to the zero-crossing detector for switch-on
determination. Additionally, the output overvoltage this pin supplies the IC in
detection is realized by comparing the voltage Vzc with up/down is lowered during active
an internal preset threshold. VVCCOVP VCC during latch-off mode.
auto CS counter
3 6 OUT
OVP
restart
VCC active burst OUT (Gate drive output)
REG UVP control
This output signal drives
2
Version 2.0 5 4 2006
HVDecember 5 HV
RReg Vvccuvp switch, which is a power M

VOPOVP output
OVP VCC (Power supply)
VREF
This is the IC power supp
Figure 1 Pin Configuration PG-DIP-8(top view) connected to the VCC cap
latch on/off FF
VcsSW off
the inside power cell du
SWP
mode operation at lowere
latched-off of the IC, and
gate driver
1.3 Pin Functionality normal operation or burs
enough voltage across the
current limitation /
CS foldback correction OUT this voltage, the VCC unde
3 6 are implemented.
ZC (Zero Crossing)
Vcsth At this pin, the voltage from the auxiliary winding after
a time delay circuit is applied. Internally, this pin is GND (Ground)
power management connected to the zero-crossing detector for switch-on This is the common groun
determination. Additionally, the output overvoltage
current detection
measurementis realized by comparing the voltage Vzc with
HV power cell
an internal preset threshold. GND
4, 5 v1
8
controller
Vos
VCC
7
Version 2.0 5
Figure 2 Representative Blockdigram

96
W9825G6EH-6J (ETHERNET : U3902)

VCC 1 54 V SS

DQ0 2 53 DQ15

V C CQ 3 52 V SS Q

DQ1 4 51 DQ14

DQ2 5 50 DQ13

V SS Q 6 49 V C CQ

DQ3 7 48 DQ12

DQ4 8 47 DQ11

V C CQ 9 46 V SS Q

DQ5 10 45 DQ10

DQ6 11 44 DQ9

V SS Q 12 43 V C CQ

DQ7 13 42 DQ8

VCC 14 41 V SS

LDQM 15 40 NC

WE 16 39 UDQM

CAS 17 38 CLK

RAS 18 37 CKE

CS 19 36 A12

BS0 20 35 A11

BS1 21 34 A9

A10/AP 22 33 A8

A0 23 32 A7

A1 24 31 A6

A2 25 30 A5

A3 26 29 A4

VCC 27 28 V SS

W9825G6EH-6J Block Diagram


CLK
CLO CK
BUFFER

CKE

CS CO NTRO L
SIG NAL

G ENERATO R
RAS
CO MMAND
CAS DECO DER

CO LUMN DECODER COLUMN DECO DER


WE
RO W DECODER

RO W DECODER

CELL ARRAY CELL ARRAY

BANK #0 BANK #1
A10

MO DE
REGIST ER
A0 SENSE AMPLIFIER SENSE AMPLIFIER
ADDRESS
BUFFER
A9
A11
A12
BS0
BS1

DQ0
DAT A CO NT RO L DQ
CIRCUIT BUFFER
DQ15

REFRESH COLUMN
CO UNT ER CO UNT ER
LDQM
UDQM

CO LUMN DECO DER COLUMN DECO DER


RO W DECO DER

RO W DECO DER

CELL ARRAY
CELL ARRAY
BANK #2 BANK #3

SENSE AMPLIFIER SENSE AMPLIFIER

Note: The cell array configuration is 8192 * 512 * 16.

97
W9825G6EH

W9825G6EH-6J
5. PINPin Description
DESCRIPTION
PIN NUMBER PIN NAME FUNCTION DESCRIPTION
23−26, 22, Multiplexed pins for row and column address.
A0−A12 Address
29−36 Row address: A0−A12. Column address: A0−A8.
Select bank to activate during row address latch time, or
20, 21 BS0, BS1 Bank Select
bank to read/write during address latch time.
2, 4, 5, 7, 8, 10,
11, 13, 42, 44, Data
DQ0−DQ15 Multiplexed pins for data output and input.
45, 47, 48, 50, Input/Output
51, 53
Disable or enable the command decoder. When
19 CS Chip Select command decoder is disabled, new command is
ignored and previous operation continues.
Command input. When sampled at the rising edge of
Row Address
18 RAS the clock, RAS , CAS and WE define the operation
Strobe
to be executed.
Column
17 CAS Address Referred to RAS
Strobe
16 WE Write Enable Referred to RAS
The output buffer is placed at Hi-Z(with latency of 2)
LDQM, Input/Output when DQM is sampled high in read cycle. In write
15, 39
UDQM Mask cycle, sampling DQM high will block the write operation
with zero latency.
System clock used to sample inputs on the rising edge
38 CLK Clock Inputs
of clock.
CKE controls the clock activation and deactivation.
37 CKE Clock Enable When CKE is low, Power Down mode, Suspend mode,
or Self Refresh mode is entered.
1, 14, 27 VCC Power (+3.3V) Power for input buffers and logic circuit inside DRAM.
28, 41, 54 VSS Ground Ground for input buffers and logic circuit inside DRAM.
Power (+3.3V) Separated power from VCC, to improve DQ noise
3, 9, 43, 49 VCCQ
for I/O Buffer immunity.
Ground Separated ground from VSS, to improve DQ noise
6, 12, 46, 52 VSSQ
for I/O Buffer immunity.
No connection. (NC pin should be connected to GND
40 NC No Connection
or floating)

Publication Release Date:Dec. 24, 2007


-5- Revision A02

98
LAN8700 (HDMI : U4202)

COL/RMII/CRS_DV

VDDA3.3

VDDA3.3

VDDA3.3
EXRES1

RXN

TXN
RXP

TXP
36

35

34

33

32

31

30

29

28
nINT/TX_ER/TXD4 1 27 TXD3

MDC 2 26 TXD2

CRS/PHYAD4 3 25 VDDIO

MDIO 4 USB3300 24 TXD1


LAN8700/LAN8700I
Hi-Speed USB2
nRST 5 MII/RMII Ethernet PHY 23 TXD0
ULPI PHY
TX_EN 6 36 Pin QFN 22 TX_CLK
32 Pin QFN
VDD33 7 21 RX_ER/RXD4
GND FLAG
VDD_CORE 8 20 RX_CLK/REGOFF

SPEED100/PHYAD0 9 19 RX_DV
10

11

12

13

14

15

16

17

18
ACTIVITY/PHYAD2

FDUPLEX/PHYAD3

RXD2/MODE2

RXD1/MODE1

RXD0/MODE0
LINK/PHYAD1

XTAL2

RXD3/nINTSEL
CLKIN/XTAL1

LAN8700 Block Diagram

MODE0 HP Auto-MDIX
MODE1 Auto- 10M Tx 10M
MODE Control
MODE2 Negotiation Logic Transmitter TXP / TXN

Management Transmit Section


nRST SMI RXP / RXN
Control 100M Tx 100M
MII Logic Transmitter
MDIX
Control
TXD[0..3] XTAL1
TX_EN PLL
100M Rx DSP System: Analog-to-
TX_ER XTAL2
TX_CLK Logic Clock Digital
RMII / MII Logic

Data Recovery nINT


Interrupt
Equalizer
RXD[0..3] Generator
RX_DV 100M PLL PHY
RX_ER Receive Section Address PHYAD[0..4]
RX_CLK Latches
10M Rx Squelch & SPEED100
CRS LINK
Logic Filters LED Circuitry ACTIVITY
COL/CRS_DV FDUPLEX
MDC
MDIO 10M PLL Central
Bias

99
±15kV ESD Protected MII/RMII Fast-Ethernet PHY with HP Auto-MDIX and SMSC flexPWRTM in a Small Footprint

Datasheet

LAN8700 Pin Description Table 2.1 LAN8700/LAN8700I 36-PIN QFN Pinout

PIN NO. PIN NAME PIN NO. PIN NAME

1 nINT/TX_ER/TXD4 19 RX_DV

2 MDC 20 RX_CLK/REGOFF

3 CRS/PHYAD4 21 RX_ER/RXD4

4 MDIO 22 TXCLK

5 nRST 23 TXD0

6 TX_EN 24 TXD1

7 VDD33 25 VDDIO

8 VDD_CORE 26 TXD2

9 SPEED100/PHYAD0 27 TXD3

10 LINK/PHYAD1 28 TXN

11 ACTIVITY/PHYAD2 29 TXP

12 FDUPLEX/PHYAD3 30 VDDA3.3

13 XTAL2 31 RXN

14 CLKIN/XTAL1 32 RXP

15 RXD3/nINTSEL 33 VDDA3.3

16 RXD2/MODE2 34 EXRES1

17 RXD1/MODE1 35 VDDA3.3

18 RXD0/MODE0 36 COL/RMII/CRS_DV

SMSC LAN8700/LAN8700I 11 Revision 1.0 (02-09-07)


DATASHEET

100
S020-MXS4003A-A
2. DISPLAY
15. IO インターフェイス 
MXS4003A IO Interfaces
(DISPLAY : CN84)
端子番号 端子名 入出力 機能
Pin No. Pin Name IO Functions
グランド
1 VSS P
GND
2 NC -
ドライバー系陽極電源
3 VCC P
Power supply for Anode Driver
ドライバー系陰極電源
4 VCOMH O
Power supply for Cathode Driver
アナロググランド
5 VLSS P
Analog system ground
データバス
6 D7 I
Data Bus
データバス
7 D6 I
Data Bus
データバス
8 D5 I
Data Bus
データバス
9 D4 I
Data Bus
データバス
10 D3 I
Data Bus
データバス
11 D2 I
Data Bus
D1 データバス、またはシリアルデータ入力
12 I
(SDIN) Data Bus or Serial Date Input
D0 データバス、またはシリアルクロック入力
13 I
(SCLK) Data Bus or Serial Clock Input
E, 読み出し (シリアルインターフェース時、内部で "L" 固定になる)
14 I
RD# Read (This pin stays "L"(low) in Serial Interface Mode)
R/W#, 書き込み (シリアルインターフェース時、内部で "L" 固定になる)
15 I
WR# Write (This pin stays "L"(low) in Serial Interface Mode)
インターフェース選択子
16 BS0 I
Select MCU bus interface setting
・BS0=0, BS1=0 : 4 line SPI  ・BS0=0, BS1=1 : 8bit 8080 Parallel
17 BS1 I
・BS0=1, BS1=0 : 3 line SPI  ・BS0=1, BS1=1 : 8bit 6800 Parallel
データ/コマンド切替制御 "H":データ, "L":コマンド
18 D/C# I
Data/Command Control. "H" :Data, "L":Command
チップセレクト  "L" でI/F通信可能
19 CS# I
Chip Select, Active "L"
リセット  "L"でリセット
20 RES# I
Reset, Active "L"
NCとしてください
21 Reserved O
Please No Connect.
陽極出力基準電流設定端子
22 IREF O
Reference current setting
23 NC -
インターフェイス系電源
24 VDDIO P
Power supply for Interface logic level
内部ロジック系電源
25 VDD O
Power supply for Core logic operation
外部ロジック系電源
26 VCI P
Low voltage power supply
陽極基準電位
27 VSL P
Segment Reference voltage
アナロググランド
28 VLSS P
Analog system ground
29 NC -
ドライバー系陽極電源
30 VCC P
Power supply for Anode Driver
印刷用m

Display Side

1Pin 30Pin

東北パイオニア株式会社 Tohoku Pioneer Corporation 35

101
PARTS LIST OF P.C.B. UNIT
zParts for which "nsp" is indicated on this table cannot be supplied.
zThe parts listed below are for maintenance only, might differ from the parts used in the unit in appearances or dimensions.

DISPLAY PCB ASSY


Ref. No. Part No. Part Name Remarks Q'ty New
SEMICONDUCTORS GROUP
IC81 8R2431005500S MCU, IC (R32C/100, 144P6Q-A) CVIR5F64169DFD *
IC83 943231011200S 2A Low Drop Regulator (HRP-5) CVIBA00DD0WHFP *
IC91 943239007760S I.C , REGULATOR (3.3V, DPAK-5) CVIKIA78R033F
IC92 943239008020S I.C , REGULATOR (ADJ, DPAK-5) CVIKIA78R000F

Q901-904 90M-HT300970R T.R , CHIP NOTE : HVTKTC2875B


Q931 90M-HX600010R T.R , CHIP , SOT-23 When update Firmware, please HVTKRA102S
confirm a last version in SDI.
Q932 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Use the service board after
Q933 90M-HX600010R T.R , CHIP , SOT-23 updating it. HVTKRA102S
Q934 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q935 90M-HX600010R T.R , CHIP , SOT-23 HVTKRA102S
Q936 00D2757001907 MOSFET (P-CH, 2.5V POWER TRENCH) CVTFDC608PZ
Q937 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S

D901-903 00D2760794900 DIODE , CHIP CVDKDS160RTKP


D931 90M-HI101040R L.E.D , RED HVD342VCTB7T089
D932 943263003130S L.E.D , WHITE CVD1L0345W31BOCT201
D937 nsp ESD SUPPRESSOR (ASQS 12U 02 0R2, 0.2pF/12V 1005) CLZ9Z120Z
D940 943263003130S L.E.D , WHITE CVD1L0345W31BOCT201
D941 nsp DIODE , ZENER(CHIP,2.7V) HVDUDZS2.7BSR

CAPACITORS GROUP
C801 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C802 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C805 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C806 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C808,809 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C810-815 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C816 nsp CAP , CHIP (1608, 50V/33pF) CCUS1H330JA
C817 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C818 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C819 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C823 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C824 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C825 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C826 nsp CAP , CHIP (1608, 50V/33pF) CCUS1H330JA
C827 nsp CAP, CHIP 1UF/25V/2012/Y5V CCUC1E105ZF
C828,829 nsp CAP , CHIP (1608, 10V/1uF) CCUS1A105KC
C830 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C831,832 nsp CAP , CHIP (25V/4.7uF, 2125 SIZE) CCUC1E475KC
C833 nsp CAP , ELECT CCEA1HKS100T
C834 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C835 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C836,837 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C838 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C839 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C840 nsp CAP , ELECT ( 47uF/50V ) CCEA1HKS470T
C841 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C842 nsp CAP , ELECT ( 47uF/50V ) CCEA1HKS470T
C843 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C844 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C845 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C847 nsp CAP, CHIP (1uF/25V, 2012, MURATA GRM21) CCUMUC1H105KC
C848 nsp CAP , CHIP (3216, 100V/1uF) CCUP2A105KC
C849-851 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C901,902 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C903 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C904 nsp CAP , ELECT CCEA1CKS470T
C905 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC

102
Ref. No. Part No. Part Name Remarks Q'ty New
C906 nsp CAP , ELECT CCEA1CKS470T
C907 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C908 nsp CAP , ELECT CCEA1AKS101T
C909 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C910 nsp CAP , ELECT CCEA1CKS101T
C911 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C912 nsp CAP , ELECT CCEA1CKS101T
C913 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C914 nsp CAP , ELECT CCEA1AKS101T
C915 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C916 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C917 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C918,919 nsp CAP , ELECT CCEA1HKS220T
C920,921 nsp CAP , CHIP (1608, 50V/100pF) CCUS1H101JA
C931 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C932 nsp CAP , ELECT CCEA1CKS470T
C933,934 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C941 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C946 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C949 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C950,951 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C952,953 nsp CAP , CHIP (1608, 50V/180pF) CCUS1H181JA
C954-956 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C957 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C958 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C959 nsp CAP , ELECT CCEA1AKS221T
C964 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C965 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C966 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C967 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C968,969 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C970 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C971 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C972 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C973 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C974 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C975 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C976 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C977 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C978 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C979,980 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C985 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C989-993 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C994-996 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C997-999 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC

OTHER PATRS GROUP


BN81 nsp WIRE ASS'Y (SHIELD, 7P, 2MM, 200MM) CWB1C90720047001
BN82 nsp WIRE ASS'Y (SHIELD, 5P, 2MM, 400MM) CWB1C905400NG003

BR91,92 nsp BRACKET , PCB CMD1A569

CN84 nsp WAFER, SMD (30P, 0.5mm, FRONT FLIP) CJP30GB278ZY


CN87 nsp WAFER , CARD CABLE (10008HR-NNL1mm PITCH, ANGLE) CJP11GB210ZY
CN88 nsp WAFER, STRSIGHT, 1MM, SMD CJP30GA193ZY
CN91 nsp WAFER , CARD CABLE CJP11GB113ZY
CN92 nsp 2.54mm 30PIN WAFER CJP30GA221ZB

JK81 943643001320S JACK , USB STRAIGHT(BLACK) CJJ9X006Z


JK83,84 90M-YT004320R JACK , HEADPHONE HJJ2D003Z

L801,802 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z


L802 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z
L901-903 nsp FERRITE , CHIP BEAD(220ohm, 2012) CLZ9R006Z
L904-907 nsp CHIP , BEAD HLZ9Z014Z
L931 nsp FERRITE , CHIP BEAD(220ohm, 2012) CLZ9R006Z

103
Ref. No. Part No. Part Name Remarks Q'ty New
L932 nsp RES , CHIP , 0 OHM, 5% , 1/8W , 2012 CRJ18AJ0R0T

RC81 00MHW10004210 SENSOR , REMOCON CRVRPM6936V4

S901-915 90M-SP001210R SW , TACT CST1A012ZT

X802 943142011280S 16M Resonator COXCSTCE16M0V51 *

104
MAIN PCB ASS'Y
Ref. No. Part No. Part Name Remarks Q'ty New
SEMICONDUCTORS GROUP
IC11 8R2431005400S MCU, IC (R32C/100, 144P6Q-A) CVIR5F64169DFD *
IC12 943231011000S I,C , REGULATOR(3.3V SMD Type) BVIBA33BC0FP
IC13 943231011210S I.C , REGULATOR (SWITCH LOW DROP,5V,DPAK-5) CVIKIA78R050F *
IC18 90M-HC900160R I.C , REGULATOR(SOT-223) CVIKIA1117S15
IC21 943245006980S I.C , CD DSP (SERVO,AMPLIFIER,DSP,LQFP-80P) CVITC94A92FG
IC22 943249011290S I.C EEPROM(256K, 32-kword x 8 bit) CVIR1EX24256ASAS0A *
IC25 943239011300S Reset IC (3V, SSOP5) CVIBD4730G *
IC26 943231011220S I.C , REGULATOR (9V, DPAK-5) CVIKIA78R09F *
IC31 943239006900S I.C , 5-CH MOTOR DRIVE IC (WITH REG,SSOP-28P) CVIIP4001CRLTF
IC32 943231011210S I.C , REGULATOR (SWITCH LOW DROP,5V,DPAK-5) CVIKIA78R050F *
IC41 00D2623762008 I.C , AUDIO CODEC(64PIN_LQFP, 0.5MM) CVIAK4683EQ
IC42,43 00MHC008005K0 I.C , INVERTER(CMOS) NOTE : CVITC7WHU04FU
IC44 00MHC10102090 I.C , OP AMP (JRC) When update Firmware, please HVINJM2068MDTE1
IC51 00MHC12273370 I.C , PWM PROCESSOR confirm a last version in SDI. CVITAS5508BPAG
Use the service board after
IC52 00MHC10102090 I.C , OP AMP (JRC) HVINJM2068MDTE1
updating it.
IC53 00MHC10200090 I.C , HEADPHONE (JRC) HVINJM4556AL
IC63 00MHC10102090 I.C , OP AMP (JRC) HVINJM2068MDTE1
IC64 90M-HC900150R I.C , REGULATOR(SOT-223) CVIKIA1117S33
IC71,72 00D2623503911 I.C , STEREO DIGITAL AMP CVITAS5142DKDG4

Q201 90M-HX600020R T.R , CHIP HVTKTA1504SYRTK


Q202 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q203 90M-BA001600R T.R , CHIP , SOT-23 HVTKRA107S
Q204 90M-HX800100R T.R , CHIP HVTKTC3875SYRTK
Q235,236 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q237 90M-BA001600R T.R , CHIP , SOT-23 HVTKRA107S
Q238 90M-HX800080R T.R , CHIP , SOT-23 HVTKRC107S
Q240 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q251,252 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q256 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q301 90M-HX800100R T.R , CHIP HVTKTC3875SYRTK
Q303 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q311 90M-HX600010R T.R , CHIP , SOT-23 HVTKRA102S
Q312 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q404-407 90M-HT300970R T.R , CHIP HVTKTC2875B
Q501 90M-HX800080R T.R , CHIP , SOT-23 HVTKRC107S
Q502 90M-BA001600R T.R , CHIP , SOT-23 HVTKRA107S
Q503 90M-HX800080R T.R , CHIP , SOT-23 HVTKRC107S
Q504 90M-BA001600R T.R , CHIP , SOT-23 HVTKRA107S
Q601 90M-HX800080R T.R , CHIP , SOT-23 HVTKRC107S
Q608,609 90M-HT800110R T.R , CHIP HVTKTC4075GR
Q610-615 90M-HT300970R T.R , CHIP HVTKTC2875B
Q616 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q617 90M-HX600010R T.R , CHIP , SOT-23 HVTKRA102S
Q618 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q622 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q623 90M-HX600010R T.R , CHIP , SOT-23 HVTKRA102S
Q624 90M-HX800010R T.R , CHIP , SOT-23 HVTKRC102S
Q701 90M-HX800080R T.R , CHIP , SOT-23 HVTKRC107S
Q702 90M-BA001600R T.R , CHIP , SOT-23 HVTKRA107S
Q705 90M-HX600020R T.R , CHIP HVTKTA1504SYRTK
Q706 90M-HX800100R T.R , CHIP HVTKTC3875SYRTK
Q707 90M-HX600020R T.R , CHIP HVTKTA1504SYRTK
Q708 90M-HX800100R T.R , CHIP HVTKTC3875SYRTK
Q751 90M-HX800080R T.R , CHIP , SOT-23 HVTKRC107S
Q752 90M-BA001600R T.R , CHIP , SOT-23 HVTKRA107S

D103 00D2760794900 DIODE , CHIP CVDKDS160RTKP


D201 00MHZ21303210 DIODE , CHIP HVD1SS355T
D202-204 00D2760794900 DIODE , CHIP CVDKDS160RTKP
D207 nsp DIODE , RECT CVD1N4003T
D208-211 00MHZ21303210 DIODE , CHIP HVD1SS355T
D214,215 00MHZ21303210 DIODE , CHIP HVD1SS355T
D230 nsp DIODE , ZENER HVDMTZJ16BT

105
Ref. No. Part No. Part Name Remarks Q'ty New
D231 nsp DIODE , ZENER HVDMTZJ11BT
D232 nsp DIODE , ZENER HVDMTZJ20BT
D233 nsp DIODE , ZENER HVDMTZJ5.6BT
D234 nsp DIODE , ZENER HVDMTZJ7.5BT
D235 nsp DIODE , ZENER HVDMTZJ20BT
D238,239 00D2760794900 DIODE , CHIP CVDKDS160RTKP
D240 nsp DIODE , ZENER HVDMTZJ16BT
D241 nsp DIODE , ZENER HVDMTZJ20BT
D251-255 00MHZ21303210 DIODE , CHIP HVD1SS355T
D262,263 00MHZ21303210 DIODE , CHIP HVD1SS355T
D302 00D2760794900 DIODE , CHIP CVDKDS160RTKP
D401,402 00D2760794900 DIODE , CHIP CVDKDS160RTKP
D501-504 00MHZ21303210 DIODE , CHIP HVD1SS355T
D605,606 00MHZ21303210 DIODE , CHIP HVD1SS355T
D607,608 00D2760794900 DIODE , CHIP CVDKDS160RTKP
D609 00MHZ21303210 DIODE , CHIP HVD1SS355T
D612,613 00D2760794900 DIODE , CHIP CVDKDS160RTKP
D615,616 00D2760794900 DIODE , CHIP CVDKDS160RTKP

CAPACITORS GROUP
C131 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C143 nsp CAP , ELECT CCEA1CKS101T
C144 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C145 nsp CAP , ELECT CCEA1CKS101T
C146 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C174 nsp CAP , ELECT CCEA1CKS101T
C175 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C176 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C177 nsp CAP , ELECT CCEA1CKS101T
C178 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C180 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C185 nsp CAP , ELECT CCEA1CKS101T
C186 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C187 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C188 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C189 nsp CAP , ELECT CCEA1CKS101T
C190 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C191 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C201 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C202 nsp CAP , CHIP (1608, 50V/2200pF) CCUS1H222KC
C203 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C204 nsp CAP , CHIP (1608, 50V/4700pF) CCUS1H472KC
C205 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C206 nsp CAP , CHIP (1608, 50V/0.015uF) CCUS1H153KC
C207 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C209 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C210 nsp CAP , CHIP ELECT HCEC0JRV102T
C211 nsp CAP , CHIP (1608, 50V/0.033uF) CCUS1H333KC
C212 nsp CAP , CHIP (1608, 50V/5600pF) CCUS1H562KC
C213 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C214,215 nsp CAP , CHIP (1608, 50V/470pF) CCUS1H471JA
C216 nsp CAP , CHIP ELECT HCEC0JRV102T
C217 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C219,220 nsp CAP , CHIP (1608, 50V/0.047uF) CCUS1H473KC
C221 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C224 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C225 nsp CAP , CHIP (1608, 50V/15pF) CCUS1H150JA
C226 nsp CAP , CHIP (1608, 50V/18pF) CCUS1H180JA
C227 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C228 nsp CAP , ELECT CCEA1AKS101T
C229-231 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C232 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C233 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C234,235 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C237 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C238 nsp CAP , CHIP (1608, 50V/0.015uF) CCUS1H153KC

106
Ref. No. Part No. Part Name Remarks Q'ty New
C239 nsp CAP , ELECT CCEA1AKS101T
C240 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C241 nsp CAP , CHIP (1608, 50V/47pF) CCUS1H470JA
C242 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C243 nsp CAP , ELECT CCEA1AKS470T
C244 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C245 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C246 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C247,248 nsp CAP , CHIP(2012 SIZE) CCUC1A475ZF
C251 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C252 nsp CAP , ELECT CCEA1AKS101T
C253 nsp CAP , ELECT CCEA1CH471T
C254 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C255 nsp CAP , ELECT CCEA1CH471T
C256,257 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C258 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C259 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C260-263 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C264,265 nsp CAP , ELECT CCEA1CH101T
C266 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C270 nsp CAP , CHIP(2012 SIZE) CCUC1A475ZF
C271 nsp CAP , ELECT CCEA1HH100T
C272 nsp CAP , CHIP(2012 SIZE) CCUC1A475ZF
C274 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C275 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C276 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C278 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C279 nsp CAP , ELECT CCEA1CH101T
C280 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C285 nsp CAP , ELECT CCEA1CH101T
C286 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C291 nsp CAP , ELECT CCEA1CKS101T
C292 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C293 nsp CAP , ELECT CCEA1CKS101T
C294 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C304 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C306 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C307 nsp CAP , ELECT CCEA1CH471T
C308 nsp CAP , SMD ELECT(MANLEX RV, 16V/470, 10X10) CCEC1CRV471T
C309 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C310 nsp CAP , ELECT CCEA1AH101T
C311 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C315 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C316 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C317,318 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C319 nsp CAP , ELECT CCEA1CH470T
C320 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C321 nsp CAP , ELECT CCEA1CH101T
C322 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C323 nsp CAP , ELECT CCEA1EH101T
C331,332 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C334,335 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C346 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C347 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C348 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C349 nsp CAP , ELECT CCEA1CH101T
C350 nsp CAP , CHIP (1608, 50V/2200pF) CCUS1H222KC
C351 nsp CAP , ELECT CCEA1CH470T
C352-355 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C389 nsp CAP , CHIP(2012 SIZE) CCUC1A475ZF
C392 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C401 nsp CAP , ELECT CCEA1EH101T
C402 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C403 nsp CAP , ELECT CCEA1EH101T
C404 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C405 nsp CAP , CHIP (1608, 50V/47pF) CCUS1H470JA
C406 nsp CAP , CHIP (1608, 50V/56pF) CCUS1H560JA

107
Ref. No. Part No. Part Name Remarks Q'ty New
C407 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C409 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C410 nsp CAP , ELECT CCEA1HH100T
C411 nsp CAP , ELECT CCEA1HH1R0T
C412 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C413 nsp CAP , ELECT CCEA1HH2R2T
C414 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C415 nsp CAP , ELECT CCEA1HH100T
C416 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C417 00D2544692901 CE04W1J100MT(RFO) CCEA1HH100T
C418-429 nsp CAP , ELECT CCEA1HH1R0T
C430 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C431 nsp CAP , ELECT CCEA1HH100T
C432,433 nsp CAP , ELECT CCEA1HH220T
C434 nsp CAP , ELECT CCEA1EH470T
C435 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C436,437 nsp CAP , CHIP (1608, 50V/330pF) CCUS1H331JA
C438 nsp CAP , ELECT CCEA1EH470T
C439 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C440,441 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C442,443 nsp CAP , ELECT CCEA1HH220T
C447-450 nsp CAP , CHIP (1608, 50V/100pF) CCUS1H101JA
C453,454 nsp CAP , CHIP (1608, 50V/100pF) CCUS1H101JA
C458 nsp CAP , ELECT CCEA1EH470T
C459 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C460-463 nsp CAP , CHIP (1608, 50V/100pF) CCUS1H101JA
C464 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C465 nsp CAP , ELECT CCEA1EH470T
C466-469 nsp CAP , CHIP (1608, 50V/270pF) CCUS1H271JA
C470,471 nsp CAP , ELECT CCEA1HH100T
C472,473 nsp CAP , CHIP (1608, 50V/100pF) CCUS1H101JA
C477 nsp CAP , ELECT CCEA1CH470T
C478,479 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C480 nsp CAP , CHIP (1608, 50V/4700pF) CCUS1H472KC
C481,482 nsp CAP , CHIP (1608, 10V/1uF) CCUS1A105KC
C483 nsp CAP , ELECT CCEA1EH470T
C484 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C485 nsp CAP , ELECT CCEA1EH470T
C486,487 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C488 nsp CAP , CHIP (1608, 50V/100pF) CCUS1H101JA
C489,490 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C491 nsp CAP , ELECT CCEA1EH470T
C492,493 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C494 nsp CAP , ELECT CCEA1EH470T
C495,496 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C497,498 nsp CAP , CHIP (1608, 50V/100pF) CCUS1H101JA
C499,500 nsp CAP , ELECT CCEA1HH100T
C501 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C502 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C503,504 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C505 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C506,507 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C508 nsp CAP , ELECT CCEA1HH100T
C509 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C510 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C511 nsp CAP , ELECT CCEA1HH100T
C512-517 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C518 nsp CAP , CHIP (1608, 50V/22pF) CCUS1H220JA
C519 nsp CAP , CHIP (1608, 50V/18pF) CCUS1H180JA
C520,521 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C522 nsp CAP , ELECT CCEA1HH100T
C523,524 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C525 nsp CAP , ELECT CCEA1CH101T
C526 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C527 nsp CAP , ELECT CCEA1EH470T
C528 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C531 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC

108
Ref. No. Part No. Part Name Remarks Q'ty New
C532 nsp CAP , ELECT CCEA1EH470T
C535,536 nsp CAP , ELECT CCEA1HH100T
C537,538 nsp CAP , CHIP (1608, 50V/100pF) CCUS1H101JA
C539-543 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C550 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C553-555 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C557 nsp CAP , ELECT CCEA1HH100T
C558,559 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C560 nsp CAP , ELECT CCEA1HH100T
C561 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C567 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C571-573 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C574,575 nsp CAP , ELECT CCEA1EH221T
C580 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C580-582 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C587 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C591 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C596 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C601 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C602 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C603 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C604 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C605 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C606 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C607,608 nsp CAP , ELECT CCEA1EH221T
C656 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C658 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C659 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C661 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C662 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C663 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C664 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C665 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C666 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C667 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C680 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C681 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C682 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C683 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C684 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C685 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C686 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C687 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C688 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C689 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C690 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C691 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C692 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C693 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C694 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C695 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C696 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C697 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C698 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C699 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C701-703 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C704 nsp CAP , ELECT CCEA1CH101T
C705,706 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C707 nsp CAP , ELECT CCEA1HH100T
C708 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C709 00MDK56333300 CAP , CHIP(2012, 33nF/50V) CCUC1H333KC
C710,711 943132003120S CAP , CHIP (3216, 100V/0.1uF) CCUP2A104KC
C712,713 00MDK56333300 CAP , CHIP(2012, 33nF/50V) CCUC1H333KC
C714,715 943132003120S CAP , CHIP (3216, 100V/0.1uF) CCUP2A104KC
C716 00MDK56333300 CAP , CHIP(2012, 33nF/50V) CCUC1H333KC
C717 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C718 943134003040S CAP , ELECT CCET1HKLH332KK8

109
Ref. No. Part No. Part Name Remarks Q'ty New
C719 00D2544692901 CE04W1J100MT(RFO) CCEA1HH100T
C724-726 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C731,732 00MDD95331300 CAP , CHIP CCUC1H331JA
C733 nsp CAP , METALIZED FILM(100V/0.47UF, 5%) CCME2A474JR08T *
C734,735 943132003110S CAP , CHIP (2012, 100V/0.1uF) CCUC2A104KC
C736,737 943132003100S CAP , CHIP (2012, 100V/0.01uF) CCUC2A103KC
C738,739 00MDD95331300 CAP , CHIP CCUC1H331JA
C740 nsp CAP , METALIZED FILM(100V/0.47UF, 5%) CCME2A474JR08T *
C741,742 943132003110S CAP , CHIP (2012, 100V/0.1uF) CCUC2A104KC
C743,744 943132003100S CAP , CHIP (2012, 100V/0.01uF) CCUC2A103KC
C745-748 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C751-753 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C754 nsp CAP , ELECT CCEA1CH101T
C755,756 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C757 nsp CAP , ELECT CCEA1HH100T
C758 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C759 00MDK56333300 CAP , CHIP(2012, 33nF/50V) CCUC1H333KC
C760,761 943132003120S CAP , CHIP (3216, 100V/0.1uF) CCUP2A104KC
C762,763 00MDK56333300 CAP , CHIP(2012, 33nF/50V) CCUC1H333KC
C764,765 943132003120S CAP , CHIP (3216, 100V/0.1uF) CCUP2A104KC
C766 00MDK56333300 CAP , CHIP(2012, 33nF/50V) CCUC1H333KC
C767 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C768 943134003040S CAP , ELECT CCET1HKLH332KK8
C769 00D2544692901 CE04W1J100MT(RFO) CCEA1HH100T
C781,782 00MDD95331300 CAP , CHIP CCUC1H331JA
C783 nsp CAP , METALIZED FILM(100V/0.47UF, 5%) CCME2A474JR08T *
C784,785 943132003110S CAP , CHIP (2012, 100V/0.1uF) CCUC2A104KC
C786,787 943132003100S CAP , CHIP (2012, 100V/0.01uF) CCUC2A103KC
C788,789 00MDD95331300 CAP , CHIP CCUC1H331JA
C790 nsp CAP , METALIZED FILM(100V/0.47UF, 5%) CCME2A474JR08T *
C791,792 943132003110S CAP , CHIP (2012, 100V/0.1uF) CCUC2A104KC
C793,794 943132003100S CAP , CHIP (2012, 100V/0.01uF) CCUC2A103KC
C795-798 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C891-893 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C900,901 nsp CAP , ELECT CCEA1HH100T
C902,903 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C991 nsp CAP , ELECT CCEA1CH100T
C992 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C993 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C994 nsp CAP , ELECT CCEA1CH100T
C995,996 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC

RESISTOR GROUP
R111,112 nsp RES , METAL OXIDE FILM CRG1SANJ222RT
R115 nsp RES , METAL OXIDE FILM CRG1SANJ222RT
R429 nsp RES , CHIP 1% CRJ10DF1202T
R709,710 943124003380S RES , CHIP , 18 OHM, 1% , 1/8W , 2012 CRJ18AF18R0T
R711,712 943124003360S RES , CHIP , 3.3 OHM, 1% , 1/8W , 2012 CRJ18AF3R30T
R713,714 943124003380S RES , CHIP , 18 OHM, 1% , 1/8W , 2012 CRJ18AF18R0T
R715,716 943124003360S RES , CHIP , 3.3 OHM, 1% , 1/8W , 2012 CRJ18AF3R30T
R718,719 nsp RES , METAL OXIDE FILM CRG1SANJ222RT
R722 nsp RES , METAL OXIDE FILM CRG1SANJ222RT
R759,760 943124003380S RES , CHIP , 18 OHM, 1% , 1/8W , 2012 CRJ18AF18R0T
R761,762 943124003360S RES , CHIP , 3.3 OHM, 1% , 1/8W , 2012 CRJ18AF3R30T
R763,764 943124003380S RES , CHIP , 18 OHM, 1% , 1/8W , 2012 CRJ18AF18R0T
R765,766 943124003360S RES , CHIP , 3.3 OHM, 1% , 1/8W , 2012 CRJ18AF3R30T
R997 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
R998 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
R999 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC

OTHER PATRS GROUP


BK51 nsp BRACKET , PCB CMD1A569
BN62 nsp WIRE ASS'Y (8P, 2MM, 250MM) CWB1C008250NG

CN24 nsp WAFER CJP11GA117ZY

110
Ref. No. Part No. Part Name Remarks Q'ty New
CN25 nsp WAFER , STRAIGHT(DVD LOADER) CJP06GA19ZY
CN26 nsp WAFER , STRAIGHT CJP05GA19ZY
CN31 nsp WAFER(11P, ST 1.25MM) CJP11GA115ZY
CN32 nsp WAFER CJP11GA117ZY
CN41 nsp WAFER, STRSIGHT, 1MM, SMD CJP30GA193ZY
CN42 nsp WAFER , CARD CABLE (SMD, 1MM) CJP23GA193ZY
CN43,44 nsp LOCKING TYPE , STRAIGHT WAFER , 2MM CJP07GI236ZW
CN45 nsp LOCKING TYPE , STRAIGHT WAFER , 2MM CJP13GI236ZW
CN61 nsp LOCKING TYPE , STRAIGHT WAFER , 2.5MM CJP05GI237ZW

ET51 nsp PALTE , EARTH HJT1A025


ET61 nsp PALTE , EARTH HJT1A025
ET71 nsp PALTE , EARTH HJT1A025
ET81 nsp PALTE , EARTH HJT1A025

JK51 943643002710S JACK , BOARD CJJ4M046Z


JK62 943643008490S JACK , DIN 9P CJS6V001Z
JK63 90M-YT004010R TERMINAL , IN/OUT CJJ4R019W
JK64 262010004005S MODULE , OPTICAL (RX,3.3V) HJSTORX147L
JK71 943643003280S TERMINAL , 8P SPEAKER(SCREW, RD/BK/BK/RD) CJJ5Q020Z

L101,102 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z


L104-107 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z
L201 nsp INDUCTOR CHIP 10UH (3225 PKG) HLQ10E100KRZ
L301 nsp FERRITE , CHIP BEAD(220ohm, 2012) CLZ9R006Z
L303,304 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z
L401,402 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z
L406 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z
L501 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z
L601 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z
L603-605 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z
L701-704 nsp COIL , CHOKE(10UH) CLZ9Z089Z
L705-712 nsp BEAD , FERRITE (FCM2012KF-121T08 , 120 OHM) CLZ9R010Z
L720,721 nsp CHIP , BEAD HLZ9Z014Z
L723-728 nsp CHIP , BEAD HLZ9Z014Z
L751-754 nsp COIL , CHOKE(10UH) CLZ9Z089Z

WN24 nsp WAFER , CD MECHA CJP16GA117ZY

X201 943141001190S CRYSTAL, 16.934MHz, HC-49/SMD, 12pF, 25PPM COX16934E120S


X202 943142011280S 16M Resonator COXCSTCE16M0V51 *
X401 90M-JX001070R CRYSTAL, 12.288MHz, HC-49/S, 32pF, 50PPM HOX12288E320C
X501 943141003490S CRYSTAL, 13.500MHz, HC-49/SMD, 16pF, 30PPM COX13500E160S

nsp HEAT SINK CMY1A304


nsp MICA KMKCM08A

111
ETHER NET PCB ASS'Y
Ref. No. Part No. Part Name Remarks Q'ty New
SEMICONDUCTORS GROUP
D0101 nsp DIODE , ZENER (CHIP,7.5V) HVDUDZS7.5BSR
D0102 nsp DIODE , SCHOTTKY (40V,3A, DO-214AC) DELTA CVDSS34SR
D0103-0105 nsp DIODE , CHIP CVDKDS160RTKP

Q0101 nsp MOSFET (P-CH, 2.5V POWER TRENCH) CVTFDC608PZ


Q0102 nsp T.R , CHIP , SOT-23 HVTKRC102S
Q0103 nsp T.R , CHIP , SOT-23 HVTKRC104S
Q0104 nsp T.R , CHIP , SOT-23 CVTKRC104S
Q0105 nsp T.R , CHIP , SOT-23 HVTKRC102S
Q3900 nsp T.R , CHIP , SOT-23 HVTKRA102S
Q3901 nsp T.R , CHIP , SOT-23 HVTKRC102S
Q4203 nsp T.R , CHIP , SOT-23 HVTKRC102S

CAPACITORS GROUP
C0101 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C0102 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C0103 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C0104 nsp CAP , CHIP (1005, 25V/0.01uF) CCUI1E103KC
C0105 nsp CAP , CHIP (1005, 10V/0.1uF) CCUI1A104KC
C0106 nsp CAP , CHIP ELECT(220UF/6.3V) HCEC0JRV2221T
C0107 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C0108 nsp CAP , CHIP (1608, 10V/1uF) CCUS1A105KC
C0112 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C0113 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C0114 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C0115 nsp CAP , CHIP (1005, 16V/0.1uF) CCUI1C104KC
C0116 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C0117 nsp CAP , CHIP (1005, 50V/0.01uF) CCUI1H103KC
C0118 nsp CAP , CHIP (1005, 50V/1000pF) CCUI1H102KC
C0119,0120 nsp CAP , CHIP (1005, 10V/0.1uF) CCUI1A104KC
C0121 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C0122 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C0123 nsp CAP , CHIP ELECT HCEC0JRV2101T
C0124 nsp CAP , CHIP (1608, 50V/180pF) CCUS1H181JA
C0125 nsp CAP , CHIP (1005, 16V/0.1uF) CCUI1C104KC
C0126 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C0127 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C0128 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C0129 nsp CAP, ELECT, SMD, 220UF/35V, RV2 CCEC1VRV2221T
C0130 nsp CAP , CHIP ELECT HCEC0JRV2101T
C0131,0132 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C0133 nsp CAP , CHIP ELECT HCEC0JRV2101T
C0134 nsp CAP , CHIP ELECT HCEC1CRV2220T
C0135 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C0136 nsp CAP , CHIP (10UF/6.3V K X5R 2012) CCUC0J106KC
C0137 nsp CAP , CHIP (1608, 50V/100pF) CCUS1H101JA
C0139 nsp CAP , CHIP ELECT HCEC0JRV2101T
C0141 nsp CAP , CHIP ELECT HCEC0JRV2101T
C0142,0143 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C0144,0145 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C0146,0147 nsp CAP , CHIP (3225, 16V/47uF) CCUR1C476KC
C0148,0149 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C0150 nsp CAP, ELECT, SMD, 100UF/35V, RV2 CCEC1VRV2101T
C3900 nsp CAP , CHIP (1005, 50V/1000pF) CCUI1H102KC
C3901,3902 nsp CAP , CHIP (1005, 50V/12pF) CCUI1H120JA
C3904-3907 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C3908-3918 nsp CAP , CHIP (1005, 10V/0.1uF) CCUI1A104KC
C3923 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C3926-3929 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C3930-3939 nsp CAP , CHIP (1005, 10V/0.1uF) CCUI1A104KC
C3944 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C3947 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C3950-3958 nsp CAP , CHIP (1005, 10V/0.1uF) CCUI1A104KC

112
Ref. No. Part No. Part Name Remarks Q'ty New
C4200 nsp CAP , CHIP (1005, 50V/1000pF) CCUI1H102KC
C4202 nsp CAP , CHIP (1608, 50V/0.022uF) CCUS1H223KC
C4203 nsp CAP , CHIP (1005, 50V/1000pF) CCUI1H102KC
C4204 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C4205 nsp CAP , CHIP (1005, 50V/1000pF) CCUI1H102KC
C4206 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C4209 nsp CAP , CHIP (1005, 50V/1000pF) CCUI1H102KC
C4210,4211 nsp CAP , CHIP (1005, 10V/0.1uF) CCUI1A104KC
C4213 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C4215,4216 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C4218 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C4219-4221 nsp CAP , CHIP (1005, 10V/0.1uF) CCUI1A104KC
C4225 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C4226 nsp CAP, CHIP (4.7uF/6.3V, 1608, MURATA GRM18) CCUS0J475KC
C4228 nsp CAP , CHIP (1005, 10V/0.1uF) CCUI1A104KC
C4229 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C4230 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C4231-4233 nsp RES , CHIP(0 OHM , 5% , 1608) CRJ10DJ0R0T
C4234 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C4235 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C4236 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C4237 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C4238 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C4239 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC
C4242 nsp CAP , CHIP (1608, 50V/1000pF) CCUS1H102KC
C4243 nsp CAP , CHIP (1608, 50V/0.01uF) CCUS1H103KC
C4244-4246 nsp CAP , CHIP (1608, 50V/0.1uF) CCUS1H104KC

RESISTORS GROUP
R0113 nsp RES, CHIP 3.9KOHM/1608/1% CRJ10DF3901T
R0114 nsp RES , CHIP(1608, 1% , 43K OHM) CRJ10DF4302T
R0115 nsp RES. CHIP (5.1K 1%) CRJ10DF5101T
R3916 nsp RES , CHIP(1608, 1% , 3K OHM) CRJ10DF3001T
R3917 nsp RES , CHIP 1% CRJ10DF1302T
R3918 nsp RES, CHIP 6.2KOHM/1608/1% CRJ10DF6201T
R4200 nsp RES, CHIP 1% 75 OHM CRJ10DF75R0T
R4202,4203 nsp RES, CHIP 1% 75 OHM CRJ10DF75R0T
R4206-4209 nsp RES , CHIP(1608, 1% , 51 OHM) CRJ10DF51R0T
R4223 nsp RES, CHIP 6.8KOHM/1608/1% CRJ10DF6801T
R4224 nsp RES, CHIP 5.6KOHM/1608/1% CRJ10DF5601T

OTHER PATRS GROUP


L0101 nsp COIL , SMD POWER (22UH/3A) CLQ13E220MRZ
L3900-3902 nsp FERRITE , CHIP BEAD(220ohm, 2012) CLZ9R006Z
L4202-4206 nsp FERRITE , CHIP BEAD(220ohm, 2012) CLZ9R006Z

N0101 nsp WAFER , SMD (2MM PITCH) CJP05GA208ZY


N0103 nsp WAFER, 2mm, SMD, Vertical, 8P CJP08GA208ZY
N4200 nsp RJ45 with LED CJJ9L019Z
N4201 nsp WAFER , CARD CABLE (SMD, 1MM) CJP23GA193ZY

U0101 nsp POLY SWITCH (1.6A, 8V) CBA5H1600PSUYT


U0103 nsp IC , DCDC Converter (3.5A, SOP8) SANKEN CVISI8005QTL
U0104 nsp REGULATOR (SHARP) HVIPQ012FZ01ZP
U105 943239007760S I.C , REGULATOR (3.3V, DPAK-5) CVIKIA78R033F
U3900 nsp Network Media processor CVIDM860
U3901 nsp 1G NAND Flash CVINAND01GW3B2CN6E
U3902 nsp IC, SDRAM (4M x 16Bit x 4Banks, 166Mhz, DRAM) CVIM12L2561616A6TG2K
U4201 nsp Pulse-Trans (HIGH SPEED LAN MAGNETICS) CVIS558-5999U7F
U4202 nsp I.C, ETHERNET PHY CVILAN8700CAEZGTR
U4204 nsp I.C , IPOD AUTHENTICATION CHIP FROM MARANTZ CVI236710076509S-DM

X3900 nsp X-tal (SMD, 24Mhz, FCX-05) COX24000I060SD

Z4201,4202 nsp BRACKET , PCB CMD1A569

113
SMPS PCB ASS'Y
Ref. No. Part No. Part Name Remarks Q'ty New
SEMICONDUCTORS GROUP
IC90 90M-HC300960R I.C , REGULATOR(TO220IS-4) CVIKIA78D33PI
IC91 943235003220S IC, CooLSET ICE3B0365J CVIICE3B0365J
IC92 943235003200S IC , COOLSET CVIICE2B265
IC93 943235003210S I.C , PWM CONTROLLER(PG-DIP-8) CVIICE2QS01
IC94 00MHC3891899F I.C , VOL REGULATOR(18V, TO-220IS) CVIKIA7818API
IC95,96 90M-HC300770R I.C , REGULATOR HVIKIA431BAT
IC97 00MHC3891299F I.C , REGULATOR HVIKIA7812API
IC98 00D2631099022 I.C , REGULATOR HVIKIA7912PI
IC99 90M-HC300770R I.C , REGULATOR HVIKIA431BAT

D901,902 90M-HD201860R DIODE , SCHOTTKY HVDUF4004T


D903 90M-HD201870R DIODE , SCHOTTKY HVDUF4007T
D904 90M-HD201860R DIODE , SCHOTTKY HVDUF4004T
D905 90M-HD201870R DIODE , SCHOTTKY HVDUF4007T
D906,907 90M-HD201860R DIODE , SCHOTTKY HVDUF4004T
D908 90M-HD201870R DIODE , SCHOTTKY HVDUF4007T
D909,910 943203003150S DIODE HVD1N4007T
D911 nsp DIODE , FAST SWITCHING(0.5W, SOD-123) CVD1N4448W
D912 nsp DIODE , ZENER HVDMTZJ20BT
D913 nsp DIODE , ZENER HVDMTZJ16BT
D914,915 nsp DIODE , ZENER HVDMTZJ20BT
D916 nsp DIODE , ZENER HVDMTZJ24BT
D917 nsp DIODE , FAST SWITCHING(0.5W, SOD-123) CVD1N4448W
D918 90M-HD201890R DIODE , ULTRA FAST (DELTA) HVDUF5404H
D919 nsp DIODE , ZENER(27V/0.5W, SOD-123) CVDMM1Z27
D921 nsp DIODE , ZENER(20V/0.5W, SOD-123) CVDMM1Z20
D922 943203003150S DIODE HVD1N4007T
D923,924 nsp DIODE , FAST SWITCHING(0.5W, SOD-123) CVD1N4448W
D927-929 nsp DIODE , FAST SWITCHING(0.5W, SOD-123) CVD1N4448W
D931 90M-HD201990R DIODE HVD31DQ06H
D933 90M-HD201860R DIODE , SCHOTTKY HVDUF4004T
D934 nsp DIODE , ZENER(27V/0.5W, SOD-123) CVDMM1Z27
D935 nsp DIODE , ZENER HVDMTZJ16BT
D942 943204003160S DIODE , SCHOTTKY (100V/3A) HVD31DQ10FC5
D943 90M-HD201890R DIODE , ULTRA FAST (DELTA) HVDUF5404H
D944,945 90M-HD201860R DIODE , SCHOTTKY HVDUF4004T
D951 943203003140S DIODE , SCHOTTKY(20A, 200V) CVDFCH20A20

Q901,902 90M-HT600060R T.R HVTKSA708YT


Q903 943235003230S I.C CVISPP11N60C3
Q925 90M-HT800050R T.R HVTKTC3198YT
Q932 90M-BA001460R T.R , TO-92M HVTKRC107MT
Q934 00D2710323907 T.R HVTKTA1273YT
Q936 00MBA20001000 T.R , TO-92M HVTKRC102MT
Q937 00MBA10001000 T.R , TO-92M HVTKRA102MT

CAPACITORS GROUP
C901 nsp CAP , ELECT(K3J, 450V/270UF, 105C, 35X40) CCET450VK3J271AK *
C902 943134010940S CAP, ELECT(22uF/100V, 6X11) CCEA2AH220TS
C903 00MDK96472300 CAP , CHIP CCUC1H472KC
C904 943132003090S CAP , CHIP CCUC1H474KC
C905 nsp CAP , CERAMIC CCKT3A222KBL
C906 90M-OA000320R CAP , ELECT CCEA1HH220TS
C907 943134010940S CAP, ELECT(22uF/100V, 6X11) CCEA2AH220TS
C908 00MDK96472300 CAP , CHIP CCUC1H472KC
C909 943132003090S CAP , CHIP CCUC1H474KC
C910 nsp CAP , CERAMIC CCKT3A222KBL
C911 943134003060S CAP , ELECT(KRH, 450V/22UF, 12.5X25) CCET450VKRH220KS
C912 00MDK56222300 CAP , CHIP(2012, 2200PF/50V) CCUC1H222KC
C913 00MDD95101300 CAP , CHIP CCUC1H101JA
C914 nsp CAP , CERAMIC CCKT3A471KBL
C915 943134010940S CAP, ELECT(22uF/100V, 6X11) CCEA2AH220TS
C916 nsp CAP , CHIP CCUC1H471JA

114
Ref. No. Part No. Part Name Remarks Q'ty New
C917 nsp CAP , CERAMIC CCKT3A222KBL
C918 943132002470S CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C919 nsp CAP , CHIP CCUC1H103KC
C921 943132002470S CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C922 943134003030S CAP , ELECT(KRM, 100V/1UF, 105C, 5X11) CCEA2AH1R0TS
C923 943132002470S CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C924 90M-OA000320R CAP , ELECT CCEA1HH220TS
C925-928 943132002470S CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C929 nsp CAP , CHIP CCUC1H103KC
C930 943132002470S CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C931 nsp CAP , ELECT (105'C, 10X25) 10V 2200uF, KLH SERIES CCEA1AKLH222EKS *
C932 nsp CAP , CHIP(2012, 1uF/50V) CCUC1H105KC
C933 00MOA47701020 CAP , ELECT CCEA1AH471TS
C934 nsp CAP , CHIP CCUC1H103KC
C935 90M-OA000320R CAP , ELECT CCEA1HH220TS
C936 00MOA47701020 CAP , ELECT CCEA1AH471TS
C937 nsp CAP , ELECT CCEA1EH102ES
C938 943134003000S CAP , ELECT CCEA1EH101TS
C939 90M-OA000320R CAP , ELECT CCEA1HH220TS
C940 nsp CAP , CHIP(2012, 1uF/50V) CCUC1H105KC
C941 943134007810S CAP , ELECT(10V/2200UF, 105C, 10X20) CCEA1AH222ES
C942 90M-OA000310R CAP , ELECT CCEA1HH1R0TS
C943 00MOA47701020 CAP , ELECT CCEA1AH471TS
C944 90M-OA000320R CAP , ELECT CCEA1HH220TS
C945 943132002470S CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C946 nsp CAP , ELECT CCEA1EH102ES
C947,948 943134003000S CAP , ELECT CCEA1EH101TS
C949 90M-OA000320R CAP , ELECT CCEA1HH220TS
C950 943134003000S CAP , ELECT CCEA1EH101TS
C951 nsp CAP , ELECT CCEA1EH220TS
C952 nsp CAP , CERAMIC CCKT3A102KBL
C953 nsp CAP , CHIP CCUC1H103KC
C954 nsp CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C955 nsp CAP , CHIP CCUC1H103KC
C956 nsp CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C957 nsp CAP , CHIP CCUC1H103KC
C958 nsp CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C959 nsp CAP , CHIP CCUC1H103KC
C960 nsp CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C961 nsp CAP , CHIP CCUC1H103KC
C962 nsp CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C963 nsp CAP , CHIP CCUC1H103KC
C965 nsp CAP , CERAMIC CCKT3A102KBL
C967,968 nsp CAP , ELECT CCEA1HH102ES
C972-975 nsp CHIP, CAP 0.1UF/50V/2012 CCUC1H104KC
C991 nsp CAP , CHIP CCUC1H224KC

RESISTORS GROUP
R910 nsp RES , WIRE WOUND (1W/0.68 OHM) CRW1PJ0R68V
R911 nsp RES , METAL OXIDE FILM CRG2ANJ683R
R920,921 nsp RES , WIRE WOUND (1 W/ 0.2 OHM) CRW1PJR20V
R928 nsp RES , METAL OXIDE FILM (2W, 68K) CRG2SANJ683RT
R934 nsp RES, CHIP, 6.8K OHM, 1%, 1/8W, 2012 CRJ18AF6801T
R937 nsp RES , METAL OXIDE FILM (1W, 10K OHM) CRG1ANJ103R
R958 nsp RES , METAL OXIDE FILM(1W, 20ohm V) CRG1ANJ220R
R960 nsp RES , METAL OXIDE(270/1W) CRG1ANJ271H
R968 nsp RES , METAL OXIDE FILM CRG1ANJ100H
R985 nsp RES, CHIP, 43K OHM, 1%, 1/4W, 3216 CRJ14CF4302T

OTHER PATRS GROUP


BD89-99 90M-FC900340R CHIP , BEAD HLZ9Z014Z

BN25 nsp WIRE ASS'Y CWB5A906120EG


BN26 nsp WIRE ASS'Y CWB1B005120EG

115
Ref. No. Part No. Part Name Remarks Q'ty New
z CN91 943641009280S INLET , AC (250V/2.5A PCB MOUNT TYPE) CJJ8A012Z
CN92 nsp WIRE ASS'Y (5P, 2.5MM, 350MM, WITH HEMELON) CWB1D90535058001
CN93 nsp WIRE ASS'Y (13P, 2MM, 120MM) CWB1C91312047
CN94 nsp WIRE ASS'Y (7P, 2MM, 150MM) CWB1C90715047

z CX91 943133003080S CAP , POLYPROPYLENE FILM CCQF2E224KZE


z CX92 90M-OF100550R CAP , X2 CCQF2E104KZC

z CY91-93 90M-DK100930R CAP , CERAMIC (400V Y-CAP) CCKDHS102ME


z CY94 90M-DK100870R CAP , CERAMIC (400V Y-CAP) CCKDHS222ME

z DB91 943203003170S DIODE , BRIDGE HVDGBJ606

z F901 00D2061090060 FUSE, 215 SERIES, 4A, 250V CBA2C4000TLHEY

FH91,92 nsp HOLDER , FUSE KJCFC5S

HS91 nsp PROTECTOR , THERMAL (80'C, 100mm) ST-22 SEIRES CRTST22080100W

JS91-99 00MNI05000110 RES , CHIP , 0 OHM, 5% , 1/8W , 2012 CRJ18AJ0R0T

L921-923 943111003320S COIL , CHOCK(6.8uH) CLZ9Z040Y


L925 943111003320S COIL , CHOCK(6.8uH) CLZ9Z040Y
L928 943111003330S COIL , CHOKE(7UH) CLZ9Z090Z
L929,930 nsp CORE , BEAD HLZ93001Z

z LF91,92 943149003340S LINE FILTER(10MH) CLZ9Z086Z

z PC91-95 90M-HW100710R I.C , PHOTO COUPLER HVIPC17L1CB

z TF91 943102011250S TRANS , SWITCHING (EE1625, M-CR603) CLT9Z064ZE *


z TF92 943102011260S TRANS , SWITCHING (EE2525, M-CR603) CLT9Z065ZE *
z TF93 943102011270S TRANS , SWITCHING (EER4042, M-CR603) CLT9Z066ZE *

z TH91 90M-HH000110R NTC , THERMISTOR (10MM PITCH) KRT5D15MSFC

z VT91 943251011310S VARISTOR(560V, 14mm) CRVSVC561D14A *

nsp HOLDER, IC CMH1A251


nsp BRACKET , THERMAL SENSOR CMD1A720
nsp HEAT SINK CMY2A283
nsp HEAT, SINK CMY3A222X
nsp SCREW CTB3+10JR
nsp SCREW CTB3+10JR
nsp SCREW CTB3+8JR

116

Вам также может понравиться