Вы находитесь на странице: 1из 8

Virtuoso Characterization Suite

A complete solution for fast and accurate characterization and validation

The Cadence® Virtuoso® Characterization Suite delivers the industry’s most comprehensive and
robust solution for the characterization and validation of your foundation IP—from standard cells,
I/Os, and complex multi-bit cells, to memories and mixed-signal blocks. Its patented Inside View
technology delivers better correlation to silicon by improving library throughput and ensuring
timing, power, noise, and statistical coverage of your IP.

A Complete Solution Standard cells


• Speed, automation (Inside View),
The Virtuoso Characterization Suite Characteriz accurate modeling (CCS, ECSM, power, noise)
is a suite of high-performance tools oso ati
irtu on
for nominal characterization, statis- V ced Mod
van elin
Ad g Complex I/Os
tical characterization, validation, and Com
• Speed, control, accuracy, modeling
generation of Liberty libraries for lls pl (CCS, ECSM, power, noise)
Ce
SIM Integration

Pro
ex
Std

standard cells, I/Os, memories, and


cess Variation
I/O

Inside Memory and mixed-signal blocks


s

mixed-signal blocks. The suite achieves • Speed, accuracy, capacity (hybrid partitioning)
View
C ust

both accuracy and high speed through • Modeling (CCS, ECSM, power, noise)
y
or
om
MM

the powerful combination of the Inside


m

Bl e
ock M
s
View approach—patented technology Variation and validation
for generating and optimizing Lib on • Accuracy, modeling (AOCV, SOCV, LVF)
r ar y Valid ati
• Completeness, integration (Tempus, Voltus,
characterization stimulus—coupled
ETS/EPS, PT/PT-PX), ease of use
with a parallel processing capability
that takes advantage of enterprise-
wide compute resources (Figure 1). Figure 1: The Virtuoso Characterization Suite with Inside View technology
The solution includes the Virtuoso®
Liberate™ Characterization Solution,
Virtuoso Liberate LV Library Validation Benefits • Support for larger macro blocks,
Solution, Virtuoso Variety Statistical such as memories and custom
• Ultra-fast cell library characterization
Characterization Solution, Virtuoso mixed-signal blocks, employing
for standard cells and complex I/Os
Liberate MX Memory Characterization a unique “hybrid partitioning”
Solution, and Virtuoso Liberate • Automatic pre-characterization technology to optimize runtime
AMS Mixed-Signal Characterization of each cell using the Inside View
• Ultra-fast throughput to complete
Solution. transistor-level circuit analysis
library validation overnight on
technology to learn all internal logic
The Virtuoso Characterization Suite a small number of multi-core
states and enable automatic vector
also integrates with the Spectre® computers
generation
Circuit Simulator, the industry- • Variation-aware timing model
standard SPICE simulator, delivering • Complex cell characterization for
creation accounting for process
even greater throughput than when low-power and/or high-speed
variations (systematic and random)
used with standalone third-party designs
for any set of correlated or
simulators (Figure 2). uncorrelated process parameters
Virtuoso Characterization Suite

MMSIM

Spectre
tom IC Design oso
Characteriz
Cus ati
so Pla rtu on
uo alog
Prototyp
in t Vi van
ced Model
in
An g Spectre APS Spectre RF Spectre XPS AMS Designer Ad g
fo
rt
Vi

rm

Ve
Accurate, high- Analog and RF-IC High-speed, high- Mixed-signal Com
n lls pl
r performance Ce
g

SIM Integration
ig noise analysis verification at all
capacity full-chip
ustom Routin

Pre

Pro
ify

ex
s
De

Std
simulatioin abstraction levels
cision Layout

cess Variation
I/O
Correct by variation analysis Inside

s
Construction View

C ust
M an

y
en

or
ll C

om
MM
uf

ac Spectre Infrastructure

m
le Bl e
Fu

tur
e Imp ock
s M
Pa n
Common infrastructure, advanced simulation database,
ras ig versatile front-end parser, robust device library n
i t i c - A a re D es Lib
ra ry Vali d ati o
w

Spectre Applications
Comprehensive coverage, integrated with Virtuoso, LEA, Liberate,
Allegro, and Encounter Timing System technologies

Figure 2: A complete solution for custom simulation and characterization, with integrated Spectre technology

Virtuoso Liberate Virtuoso Liberate LV Virtuoso Variety Virtuoso Liberate MX Virtuoso Liberate AMS

Standard Cells and


Library Validation
Process Variation Memory and Mixed-Signal becoming common to offer alternative
Complex I/Os Modeling Custom Blocks Characterization
cell libraries that improve yield at the
• Ultra-fast library • Comprehensive • Generates libraries • Unique “dynamic • “Hybrid partitioning” expense of area and performance.
characterization validation system that can be used by partitioning” technology
• Advanced timing, • Library function multiple SSTA tools technology for • One-step .lib Consequently, creation and upkeep of all
power, and noise equivalence and data • Local and global optimal runtime generation for timing,
models consistency checking process variation • Timing constraints and power, leakage, these library views is becoming a major
• CCS, ECSM, NLDM, • Revision analysis • AOCV/SOCV tables current source models and noise bottleneck in the design flow.
NLPM, CCSN, ECSMN • Timing and power and LVF for timing and noise
correlation Inside View
The Liberate solution uses Inside View
Figure 3: The suite comprises five solutions
pre-characterization circuit analysis to
perform vector generation and pruning
Liberate Solution Creation and upkeep of library views (binning), and automatic indices selection,
as well as optimization of timing-
The Cadence Virtuoso Liberate Designing in advanced-node nanometer
constraint characterization. This results
Characterization Solution is an ultra- process technologies requires many
in an order-of-magnitude speedup
fast standard cell and I/O library creator. additional library views to achieve high-
over traditional characterization flows,
As part of the complete Virtuoso quality silicon and avoid silicon re-spins
enabling fully automated library creation
Characterization Suite (Figure 3), it due to inaccurate signoff analysis. To
overnight.
generates electrical cell views for timing, manage leakage power, it is common to
power, and signal integrity including have low-, nominal-, and high-threshold
advanced current source models (CCS and cells, each with different power and
Parallel characterization
ECSM). performance characteristics.
The Liberate solution can fully exploit
Our Inside View approach automati- Furthermore, for accurate modeling of
a large network of multi-core CPUs via
cally pre-characterizes each cell using instance-specific voltage variation or
intelligent job distribution to achieve
transistor-level circuit analysis, which temperature gradients, it is necessary to
almost linear speedup per CPU (Figure
yields all the necessary stimulus and characterize each library process corner
5). The Liberate solution is autonomous,
internal logic states to ensure a complete, over many voltages and temperatures.
greatly reducing network traffic, file I/
accurate, and highly efficient character- For the most advanced processes, it is
Os, and queue requests. Furthermore,
ization of that cell (Figure 4).

The Liberate solution supports complex


cells including those required for high- Complex cell
Patented “Inside Transistor-level
speed and/or low-power design such as recognition and
View” technology analysis
pulse latches, multi-bit flip-flop arrays, vector reduction
custom cells, state retention flip-flops,
level shifters, power switches, and cells Figure 4: Pre-characterization circuit analysis
with sleep modes.

www.cadence.com 2
Virtuoso Characterization Suite

probe points for characterizing timing


Existing .lib
constraints. For noise view generation, it
Liberate Server
Subckts, automatically determines the input and
Spice Model Inside View output channel-connected logic stages
Circuit Analysis and all the intermediate internal probe
Tcl
Parallel Job Manager points.

Model generation

Liberate Liberate Liberate Liberate The Liberate solution generates Liberty,


.ldb
Client Client Client Client Verilog, Vital, and IBIS models, supporting
the latest approved format updates. The
models are generated from a central
ALAPI library characterization database (.ldb).
.lib (ccs, ecsm, ccsn,
Model Creation Multiple versions of library models can be
nldm, nlpm, .v, .vhd
generated from the database to support
Model Validation datasheet
tools that use older versions of the
formats without re-characterization.

All the data in the database is accessed


Spectre Spectre via a Tcl API that can generate propri-
Characterization Characterization etary model formats and user-specific
Simulator Simulator datasheets by modifying provided
examples. The database supports incre-
Figure 5: Liberate flow with multiple clients demonstrates parallel characterization mental updates and can be used to
recover from characterization failures
caused by network problems.
multiple characterization tasks consisting to minimize the volume of data while
of arcs from a number of cells can be ensuring accuracy and consistency with
grouped into “packets” of work for non-linear delay models (NLDMs). For
optimal use of CPU resources. multi-latch cells, the Liberate solution
automatically determines internal
Characterization tasks can be performed
using our tightly integrated circuit
simulator or Spectre Accelerated Parallel
Simulator (APS), or by an external
simulator, such as Eldo, Finesim, HSpice,
or Analog FastSPICE, at any level of granu-
larity, from a single arc to a complete cell.
The Liberate solution supports commercial
job management systems such as LSF,
Sungrid, and FlowTracer.

Complex cell and model support


The Liberate solution can characterize
highly complex cells (including I/Os such
as DDR, USB, and PCIX), clock dividers,
pipeline multi-bit flip-flops, “one-hot”
muxes, and custom cells with domino
logic. It supports a user-specified truth
table to drive characterization in addition
to automatic vector generation. Complex
termination conditions and differential
inputs and outputs are also supported, as
well as simultaneous input switching for
creating best-case corners.

It natively generates current source


models for both CCS and ECSM, automat-
Figure 6: Advanced characterization algorithms comparison
ically adjusting the waveform segments

www.cadence.com 3
Virtuoso Characterization Suite

may occur later when the design is being


Verilog/Vital Liberate LV Server formally verified or tested after manufac-
Tcl
(.v, .vhd)
turing.
Functional Validation
Consistency Checks It provides the means to ensure that
Library Comparison all the functional information stored
Libraries Accuracy Validatioin
Validation Reports in a library (.lib) is consistent with the
(.lib)
(txt, xls, htm) transistor-level SPICE sub-circuits and the
library Verilog and/or Vital descriptions.
Models and Subckts In addition, the Liberate LV solution
(.spi) ensures that all the necessary timing,
noise, power (both switching and hidden
power), and leakage arcs and states are
represented in the library, and it will
Open Simulation Circuit Signoff Open STA report any that are missing. It will warn
Enviroment Simulation Analysis Enviroment where not enough distinct states exist so
that potential inaccuracies in downstream
tools can be avoided.
API for Custom Checks
Consistency checks
The Liberate LV solution provides a
Figure 7: Liberate LV solution, with library verification inputs and outputs
number of data consistency checks such
as comparing table-based NLDMs against
Advanced characterization and provides a clear understanding of the current (CCS) and voltage (ECSM) data, as
algorithms impact of any changes due to revisions of well as checking for non-monotonic delay
extracted cell netlists or process models. values.
The Liberate solution supports advanced
characterization algorithms providing Library characterization requires a For Verilog and Vital models, consis-
models with better correlation and complex combination of circuit simula- tency with the Liberty models (.lib) can
minimized pessimism within static tions, data measurements, data collection, be checked by automatically testing
timing analysis (STA) tools (Figure 6). and formatting—often distributed across SDF back-annotation onto a high-level
These algorithms include set-up and a large computer network. Since each design, which instantiates each cell in
hold pessimism reduction, minimized library view is used for multiple chip the library. Multiple SDF generation tools
delay to output, dependent set-up and designs, it is paramount that the library are supported, along with multiple gate-
hold, optimized internal power controls, data is correct and not undermined by level logic simulators, such as Cadence
and many others. These algorithms are measurement inaccuracies or incorrect Incisive® Simulator, and other commercial
activated easily through user-selectable user input. The Liberate LV solution simulators, such as Modelsim and VCS.
characterization controls. provides the means to validate and verify
Library revisions
the final library, ensuring consistency,
Liberate LV solution completeness, and accuracy. The Liberate LV solution provides the
means to compare a new library against
The Cadence Virtuoso Liberate Library Function and state coverage
an existing golden library, generating
Validation Solution provides a collection
When characterizing a cell library, the graphical, HTML, and text reports. It
of utilities for validating libraries including
input directives, vectors, and stimuli often includes comparing libraries with different
functional equivalence checking, data
come from a user, provided either as a indices, function syntax, states, and cell
consistency checking, revision analysis,
previous library or hand-coded in the names, and allows verification of libraries
and correlation with various electrical
characterization tool’s input language. created with different characterization
analysis tools for timing, noise, and power
However, these vectors and assumptions systems. It also highlights the impact
(Figure 7).
may not be consistent with the underlying of each new library revision, detailing
Using the Liberate LV solution, a complete transistor-level circuits that comprise the changes in delay, capacitance, constraints,
validation of a library can be completed current library to be characterized. switching power, noise, and leakage.
overnight on a small number of multi-
The Liberate LV solution checks all Library validation and correlation
core computers. For library providers, this
function descriptions in the input library
ensures library quality before the library To verify that the library data is accurate,
directly against the transistor-level
is shipped. For library users, it allows the Liberate LV solution performs a
circuit and reports any differences, thus
cross-checking of the incoming library correlation using the library data in
preventing potential functional errors that
the appropriate analysis tool against

www.cadence.com 4
Virtuoso Characterization Suite

resulting libraries can be used to model


both local (within-cell and within-die)
variations and global die-to-die variations.

SSTA provides a more realistic estimation


of timing relative to actual silicon perfor-
mance, often reducing worst-case timing
margins by 10-15%, resulting in a higher
performing, higher yielding silicon.

To accurately predict variation, SSTA


needs variation-aware timing models that
account for both systematic process varia-
tions (due to lithography) and random
process variations (due to doping fluctua-
Liberate LV Timing Validation Comparison HTML Report tions between transistors).

Statistical timing models


The Variety solution creates models
for SSTA consumption by character-
izing each cell for a given set of process
Liberate LV Sample Test Circuit parameter variations where the amount
of variation is based on statistical SPICE
Figure 8: Library validation (Liberate LV timing validation comparison HTML report, top and
correlation (Liberate LV sample test circuit, bottom) models or actual process measure-
ments. The non-linear sensitivity to
results obtained from circuit simulation. (Figure 9). It generates libraries that can process variation for all relevant timing
To ensure delay accuracy, it invokes a be used with multiple SSTAs without constructs is captured, including delay
static timing analyzer and compares the requiring re-characterization for each tables, slew tables, pin capacitance, and
resulting values against simulation of a unique format. The Variety solution also timing constraints. Advanced current
test circuit using a SPICE simulator (Figure generates advanced on-chip variation source models (CCS and ECSM) are also
8). The test circuits are automatically (AOCV) tables, statistical on-chip variation supported.
created; for example, as a variable-length (SOCV) tables, and Liberty Variation
The Variety solution can generate multiple
chain of cells with interconnect parasitic Format (LVF).
SSTA formats from a single character-
elements. Every input-to-output arc will
The Variety solution calculates non-linear ization database (ldb). Cadence S-ECSM
be verified for each logic state, input slew,
sensitivity, accounting for systematic and format, multiple Synopsys Liberty files,
and load condition. Statistical static timing
random variation for any set of correlated and Synopsys compact CCS VA format
analysis (SSTA) tools are also supported
or uncorrelated process parameters. The
by comparing the path mean delay and
standard deviation to Monte Carlo SPICE
Existing .lib
simulations.
Subckts, Variety Server
The Liberate LV solution also includes Statistical
Spice Model Inside View
the means to measure the accuracy of
Circuit Analysis
timing constraints, switching power, Parameter
σ (Tcl) Parallel Job Manager
leakage, and noise. It supports multiple
analysis tools for timing and noise analysis
(e.g., Cadence Tempus™ Timing Signoff Variety Variety Variety Variety
.ldb
Solution, Cadence Encounter ® Timing Client Client Client Client
System, Synopsys PrimeTime, PrimeTime
SI, SPICE simulation with Spectre Circuit SSTA
Model Creation .ccs_va .xt
Simulator, and third-party simulators such AOCV SOCV (Synopsys) (Synopsys)
as Eldo, FineSim, and HSpice.
.s-ecsm
LVF (Cadence)
Variety Solution
The Cadence Virtuoso Variety Statistical
Characterization Solution provides an
ultra-fast standard cell characterizer of Figure 9: Variety solution
process variation-aware timing models

www.cadence.com 5
Virtuoso Characterization Suite

Vth sigma=20mV, Vdd=1.0V, TT the nominal characterization for each


random parameter for a typical standard
cell library.
Virtuoso Variety result (ns)

The Variety solution can fully exploit a


large network of multi-core CPUs via intel-
ligent job distribution to achieve almost
linear speedup per CPU. Characterization
tasks can be performed using the natively
integrated Spectre circuit simulator or a
third-party simulator such as Eldo, FineSim
or HSpice, at any level of granularity from
a single arc to a complete cell.

Liberate MX Solution
The Cadence Virtuoso Liberate MX
Memory Characterization Solution
Monte Carlo reference (ns) extends the ultra-fast standard cell and
I/O library characterization capabilities
Figure 10: Process parameter variation
of the Liberate solution to cover large
are supported. Custom SSTA formats can validated to be highly accurate against memory cores. Macro blocks require
be easily supported using a Tcl API to the traditional Monte Carlo simulations additional pre-analysis steps to make fast
characterization database. (Figure 10). and accurate characterization feasible.

The Variety solution’s overhead for Leveraging a network of distributed CPUs


Process parameter variation
random variation characterization and utilizing Inside View technology for
Parameter variations can be characterized optimizing characterization runtime,
is typically less than 3X the nominal
as uncorrelated, correlated, or partially memory cores can be characterized
characterization. Without the Inside
correlated. Uncorrelated parameter quickly and easily with the same accuracy
View technology, it would take 25-50X
sets are simulated independently while and methods as standard cells, including
correlated parameter sets are simulated
together. Partial correlation is supported
through the use of a correlation matrix
provided by the foundry. Liberate MX Server

Any process parameter present in the TCL Inside View


Probing
static

input SPICE model can be characterized


Vector Generation
including physical parameters such as Boundary Modeling
XL or Vth, or intermediate parameters Spice Netlist(s)
that have been derived from principle Block-Level Simulation
dynamic

component analysis (PCA). FastSPICE


.tbl .vec
Power Characterization
Systematic and random variation Dynamic Partitioning

For systematic inter-cell variation, the


process varies in the same direction by the Partition Characterization
TrueSPICE
same amount for each transistor inside a
cell. Systematic variation can be used to
model both on-chip (local) and off-chip Liberate MX
(global) variation. Clients .ldb

Random intra-cell variation models


the process variations that apply to Model Generation
each transistor independently (also
known as mismatch). To characterize
random variation efficiently, the Variety
.ldb
solution deploys Inside View pre-analysis (ccs, ecsm)
technology to avoid characterizing
every transistor uniquely for every table Figure 11: Memory characterization flow
entry. This proprietary method has been

www.cadence.com 6
Virtuoso Characterization Suite

the generation of timing constraints and Static partitions are based solely on circuit terization capabilities of the Liberate
modeling of current source models for topology and are used for fully digital AMS solution to cover large mixed-signal
timing, power, and noise. sections of the macro. A typical static macros such as phase-locked loops (PLLs),
partition includes all of the channel- data converters (ADCs, DACs), SerDes,
Memories and large custom macros
connected logic components on the path high-speed transceivers, and I/Os. Macro
comprise a large percentage of silicon
between a primary input and a first-level blocks require additional pre-analysis
area on most chips and, consequently,
flip-flop, including all the required clock- steps to make fast and accurate character-
can often be major contributors to chip
generation circuitry. ization feasible.
performance and power consumption. To
validate a design’s electrical performance, Dynamic partitions are derived from a Leveraging a network of distributed CPUs
it is essential to have a highly accurate full-block transistor-level simulation using and utilizing the “hybrid partitioning”
electrical model for each macro equivalent a pattern sequence or a high-level truth- technology for optimizing character-
in accuracy of the electrical models used table description. From the simulation, an ization runtime, mixed-signal macros
for standard cells and I/Os. active circuit snapshot corresponding to can be characterized quickly and easily
the worst-case timing can be extracted. with the same accuracy and methods as
Using pre-packaged models provided by
Dynamic partitioning is useful for charac- standard cells, including the generation
an IP provider or memory compiler may
terizing timing arcs that contain analog of timing constraints and modeling of
not provide sufficient accuracy, especially
circuitry, such as the clock-to-output-data current source models for timing, power,
as the exact context of the macro is not
arcs in embedded memories. and noise.
known until it is placed on the chip. The
model may be too pessimistic, causing Once a macro has been partitioned into To validate a design’s electrical perfor-
overruns in schedule and increased usage sub-blocks comprising typically a few mance, it is essential to have a highly
of larger or leakier cells to close timing. It hundred transistors, and armed with accurate electrical model for each mixed-
is also common to operate a macro block the worst-case vector set required to signal macro equivalent in accuracy to the
at a lower voltage to save power. To get characterize each arc of each partition, electrical models used for standard cells
an accurate electrical model that reflects the Liberate MX solution submits each and I/Os. It is also common to operate a
the exact usage of the macro, a design- partition for characterization using the macro block at a lower voltage to save
specific and/or instance-specific macro integrated Spectre circuit simulator, power. To get an accurate electrical
block characterization is required. Spectre APS, or an external simulator such model that reflects the exact usage of the
as FineSim, HSpice, or Eldo. macro, a design-specific and/or instance-
Bi-modal view characterization
specific macro block characterization is
Library generation
The Liberate MX solution uses both a required.
full-block view and partitioned sub-block Leveraging the same characterization
Hybrid partitioning
views to characterize large macro blocks techniques and the same command
efficiently and accurately. The full-block options as the Liberate solution, arcs from The Liberate AMS solution uses a “hybrid
view is used to characterize power and to each Liberate MX partition are charac- partitioning” technology comprised of a
drive the creation of sub-block partitions. terized across a distributed network of full-block view and partitioned sub-block
Typically a FastSPICE simulator, such as computers utilizing all available CPUs. views to characterize large mixed-signal
Spectre XPS, UltraSim Full-Chip Simulator, The distribution can be controlled using a macro blocks efficiently and accurately.
FineSim Pro, or CustomSim, is used for job management system. Current source The full-block view is used to charac-
full-block circuit analysis, followed by models (CCS/ECSM) for timing, power, terize power and to drive the creation of
an accurate SPICE simulator such as the and noise can also be generated. sub-block partitions. Typically, a designer
Spectre circuit simulator, Spectre APS, will use a FastSPICE simulator such as
Timing constraints are calculated using
FineSim, HSpice, or Eldo for accurate Spectre XPS, UltraSim Full-Chip Simulator,
the same bi-sectional method as used for
characterization of sub-blocks. FineSim Pro, or CustomSim for full-block
standard cells or, even more efficiently, as
circuit analysis followed by an accurate
The Liberate MX solution optionally a difference in clock and data-path delay.
SPICE simulator such as the Spectre circuit
supports a single-block view character-
After characterization, all the charac- simulator for accurate characterization of
ization using only a FastSPICE simulator.
terized library data for each partition is sub-blocks.
Circuit partitioning assembled and compressed into a single
To partition each macro, the Liberate
output library representing the macro in
To partition each macro, the Liberate AMS solution first determines internal
Liberty format.
MX solution first determines internal measurement points via propagation of
measurement points via propagation of clocks signals and recognition of internal
Liberate AMS Solution storage elements (Figure 12). It uses two
clocks signals and recognition of internal
storage elements (Figure 11). It uses two The Cadence Virtuoso Liberate AMS distinct techniques for partitioning: static
distinct techniques for partitioning: static Mixed-Signal Solution extends the ultra- and dynamic.
and dynamic. fast standard cell and I/O library charac-

www.cadence.com 7
Virtuoso Characterization Suite

Virtuoso ADE/ADE-XL integration


Liberate AMS Server Viruoso ADE XL
Testbenches The Liberate AMS solution is tightly
STATIC ANALYSIS
integrated to the Virtuoso environment,
Topology Extraction enabling deck-driven characterization

Autoprobing
TCL Boundary Modeling User Decks
using/reusing Virtuoso Analog Design
Spice DYNAMIC ANALYSIS
Environment (ADE/ADE-XL) testbenches
Netlist(s) and setup to drive the characterization
Vector Generation
flow. Thus, no major changes to the
implementation/verification flows are
required and analog circuit designers are
Timing Partitioning Power Characterization able to quickly move from circuit design
validation into library generation.
Block-Level Spectre XPS Liberate AMS Clients
Block-Level
Power/Leakage
Library generation
Spectre XPS
Leveraging the same characterization
Liberate AMS Clients
Partition-Level Timing techniques and the same command
.ldb
Spectre/Spectre APS options as the Liberate solution, arcs from
each Liberate AMS partition are charac-
Model Generation terized across a distributed network of
computers utilizing all available CPUs.
The distribution can be controlled using a
.LIB job management system. Current source
nldm, nlpm, ccs,
ccsn, ecsm ecsmn models (CCS/ECSM) for timing, power,
and noise can also be generated.
Figure 12: Mixed-signal macro characterization flow Timing constraints are calculated using
the same bi-sectional method as used for
Static partitions are based solely on circuit input-data-to-internal-clock and internal- standard cells or, even more efficiently, as
topology and are used for fully digital clock-to-output-data arcs in high-speed a difference in clock and data path delay.
sections of the macro. A typical static mixed-signal macros.
After characterization, all the charac-
partition includes all of the channel-
Once a macro has been partitioned into terized library data for each partition is
connected logic components on the path
sub-blocks comprising typically a few assembled and compressed into a single
between a primary input and a first-level
hundred transistors, and armed with output library representing the macro in
flip-flop, including all the required clock-
the worst-case vector set required to Liberty format.
generation circuitry.
characterize each arc of each partition,
Dynamic partitions are derived from a full- the Liberate AMS solution submits each
block transistor-level FastSPICE simulation partition for characterization using the
using a pattern sequence, or a high-level integrated Spectre circuit simulator,
truth-table description, or a testbench. Spectre APS, or an external simulator such
From the simulation, an active circuit as FineSim, HSpice, or Eldo.
snapshot corresponding to the worst-case
The Liberate AMS solution optionally
timing can be extracted. Dynamic parti-
supports a single-block view character-
tioning is useful for characterizing timing
ization using only a FastSPICE simulator.
arcs that contain analog circuitry, such as

Cadence Design Systems enables global electronic design innovation and plays an essential role in the
creation of today’s electronics. Customers use Cadence software, hardware, IP, and expertise to design
and verify today’s mobile, cloud and connectivity applications. www.cadence.com

© 2014 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo, Encounter, Incisive, Spectre, and Virtuoso are
registered trademarks and Liberate and Tempus are trademarks of Cadence Design Systems, Inc. in the United States and other countries. All other
trademarks are properties of their respective holders. 2964 08/14 SA/DM/PDF

Вам также может понравиться