Вы находитесь на странице: 1из 99

RESEARCH REPORTS

1998-1999

LMA
LABORATORY FOR MANUFACTURING AUTOMATION
UNIVERSITY OF CALIFORNIA, BERKELEY
RESEARCH REPORTS
1998-1999

edited by

Prof. David A. Dornfeld


Sangkee Min

October 1998

LMA
LABORATORY FOR MANUFACTURING AUTOMATION

University of California, Berkeley, 5100A Etcheverry Hall, Berkeley, CA 94720


Phone: (510) 642-0906 \\ FAX: (510) 643-8982 \\ email lma@dornfeld.me.berkeley.edu
WWW Home Pages : LMA http://kingkong.ME.Berkeley.EDU/lma/index.html
CODEF http://kingkong.ME.Berkeley.EDU/codef/index.html
Engineering Systems Research Center. 3115 Etcheverry Hall, Berkeley, CA 94720
Phone: (510) 642-4993 \\ FAX: (510) 643-8982
WWW Home Page: ESRC http://www.me.berkeley.edu:80/esrc
CONTENTS

PREFACE (Professor David A. Dornfeld) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1

Process Monitoring for Precision Manufacturing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5


(Professor David A. Dornfeld)

1.0 Consortium On Deburring and Edge Finishing (CODEF)

1.1 List of CODEF Sponsors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

1.2 CODEF Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18


(Professor David A. Dornfeld)

1.3 Integrated Design and Manufacturing for Milling Burr Minimization. . . . . . 21


(Chih-Hsing Chu) Sponsored by: CODEF

1.4 Exit Burr Minimization by Selecting Tool Feed Direction . . . . . . . . . . . . . . . 23


(Chih-Hsing Chu) Sponsored by: CODEF

1.5 A Systematic Approach to Avoid Exit Burr in Milling . . . . . . . . . . . . . . . . . 25


(Chih-Hsing Chu) Sponsored by: CODEF

1.6 Machine Learning Applied to Burr Type Prediction . . . . . . . . . . . . . . . . . . . 27


(Chih-Hsing Chu) Sponsored by: CODEF

1.7 Control Chart of Drilling Exit Burr in Stainless Steel . . . . . . . . . . . . . . . . . . 29


(Jinsoo Kim) Sponsored by: CODEF

1.8 Geometric Characteristics of Drilling of Intersecting Holes . . . . . . . . . . . . . 31


(Jinsoo Kim) Sponsored by: CODEF

1.9 Preliminary Experiment of Drilling Burr Formation in Titanium Alloy . . . . 33


(Jinsoo Kim) Sponsored by: CODEF

1.10 Exit Burr Size and Shape in Backplate Assisted Drilling of Ti-6Al-4V . . . . . 35
(John Hewson) Sponsored by: CODEF
In Association with Escuela de Ingenieros de Bilbao, Spain

1.11 Surface Roughness (Ra) Analysis in Dry Drilling of Ti-6Al-4V . . . . . . . . . . 37


(John Hewson) Sponsored by: CODEF

1.12 Finite Element Modeling of Burr Formation in 2-D Orthogonal Cutting with
a Backup Material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39
(Sangkee Min) Sponsored by: CODEF

i
1.13 Finite Element Modeling of Burr Formation in Drilling Based on 3-D
Oblique Cutting Mechanism and CAD/FEA Integration for Drill Geometry
Mesh Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41
(Sangkee Min) Sponsored by: CODEF

1.14 Influence of Tool Geometry on Hole Quality when Drilling Ti-6Al-4V . . . . 43


(Henning Dechow)
Sponsored by: CODEF/MAPAL Dr. Kress KG, Germany

1.15 Investigation on the Improvements in Hole Quality when Reaming Ti-6Al-


4V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
(Henning Dechow)
Sponsored by: CODEF/MAPAL Dr. Kress KG, Germany

2.0 Precision Manufacturing

2.1 Investigation of the Relationship between Preston’s Coefficient and Friction


Coefficient in CMP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
(Yongsik Moon) Sponsored by: NSF/UC SMART

2.2 Mechanical Properties and Relationship to Process Performance of the


Polishing Pad in CMP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
(Yongsik Moon) Sponsored by: NSF/UC SMART

2.3 Study of Slurry Chemical Influence in Ductile/Brittle Transition Depth in


CMP Using Acoustic Emission Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
(Yongsik Moon and Yoon Lee) Sponsored by: NSF/UC SMART

2.4 The Effect of Slurry Film Thickness Variation in CMP . . . . . . . . . . . . . . . . . 53


(Yongsik Moon) Sponsored by: NSF/UC SMART

2.5 Identification of the Mechanical Aspects of Material Removal Mechanisms


in CMP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
(Yongsik Moon and Kori Bevans) Sponsored by: NSF/UC SMART

2.6 Open Architecture Control in Precision Machining . . . . . . . . . . . . . . . . . . . . 57


(Yoon Lee) Sponsored by: Industrial Affiliates of the LMA/NSF

2.7 Geometrical Effects of Abrasive Particles in CMP . . . . . . . . . . . . . . . . . . . . . 59


(Yoon Lee) Sponsored by: Industrial Affiliates of the LMA

2.8 Probabilistic Analysis of Ductile/Brittle Transition in Diamond Turning of


Brittle Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
(Yoon Lee) Sponsored by: Industrial Affiliates of the LMA

ii
2.9 Quality Oriented Process Planning for Precision Manufacturing . . . . . . . . . . 63
(Andrew Chang)
Sponsored by: Industrial Affiliates of the LMA/UC SMART

2.10 Trends in the Semiconductor Industry and the Role of Chemical Mechanical
Planarization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
(Kori Bevans)
Sponsored by: Competitive Semiconductor Manufacturing Program

2.11 Wafer-Scale Modeling of Chemical Mechanical Polishing (CMP) Process . . 67


(Jianfeng Luo and Yongsik Moon) Sponsored by: NSF

2.12 Ductile Regime Machining of Brittle Material by Single Point Diamond


Turning Machining using AE Sensor Feedback . . . . . . . . . . . . . . . . . . . . . . . 69
(Yohichi Nakao and Yoon Lee)
Sponsored by: Industrial Affiliates of the LMA

2.13 Precision Control of Single Point Diamond Turning Machine using


Intelligent Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
(Yohichi Nakao) Sponsored by: Industrial Affiliates of the LMA

2.14 The Role of Complexing Agents in the Chemical Mechanical Polishing


(CMP) of Copper Thin Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
(Serdar Aksu) Sponsored by: SDU

3.0 List of Publications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

4.0 Research Group Biographies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

5.0 Further Information & List of Sponsors . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

6.0 Members of the LMA Research Group . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

iii
Preface

Background The research work in the Laboratory for Manufacturing Automation (LMA) in the
Mechanical Engineering Department (ME) (and affiliated with the Engineering Systems Research Center) of the
University of California at Berkeley is motivated by the desire to reduce the uncertainty in the operation of
manufacturing process so that technologically sophisticated products or components can be manufactured
successfully and competitively. Many recent studies have analyzed the various aspects of manufacturing processes,
machinery and systems and concluded that, for increased productivity, strong moves towards increased flexibility,
precision, integration and computer control are needed. Unfortunately, a production line which is highly automated
is not necessarily also highly flexible or programmable. Most existing automated machines, such as computer
numerically controlled (CNC) machine tools and robotic-based manufacturing and assembly lines require a large
effort in task-planning, program development and debugging and control tuning at the time of their installation and
production start-up. This problem is compounded by relatively poor adaptability of these machines to changes in the
production lines or processes, task scheduling and product modifications since most machines are designed to
perform repetitive tasks for high production volumes and are not equipped with sufficient electronic or mechanical
flexibility to detect changing conditions to adapt accordingly. If we add to the desire for increased flexibility a
requirement for manufacturing parts and products that maintain tight dimensional and form tolerances, i.e., precision
manufacturing, the need to adapt to variations in machine performance and tool and workpiece variation is even
more critical.

The inability of these systems to respond to changing conditions and the requirement for more precision in
manufacturing are driving much of the research at Berkeley in the Laboratory for Manufacturing Automation. The
overall goal of this research program is to reduce the uncertainty in the performance of manufacturing processes and
systems. This uncertainty in operation is the source of most errors and in-process failures and is one of the key
challenges to successful operation to meet the demands of production today and in the future. Of increasing
importance is the development of tools for designers to use so that they may assess the impacts of the manufacturing
process on the design and performance of their products and assemblies. In fact, the successful research program
will, at one level, develop predictive process models for control and optimization of the process and, at a much
higher level, wrap these models in software so that they are easily and effectively utilized by designers. The LMA is
collaborating extensively with Professor Paul Wright (also in Mechanical Engineering at Berkeley) in a National
Science Foundation funded project on Rapid Prototyping that addresses all aspects of the design to fabrication of
precision products.

Research Overview The research in the LMA is split into two basic areas reflecting the projects and
interests of the sponsors- precision manufacturing and burr modeling, deburring and edge finishing. Precision
manufacturing research has been growing steadily due to strong support from the computer peripheral industry
(read-write heads and high density storage devices), the semiconductor "chip" manufacturers due to an increasing
need for "planarization" technology in the fabrication of multi-layer devices and MEMS structures, and the precision
component industry (aerospace, disk dives, fuel injectors). The second area is represented by an industry
consortium, CODEF, described in more detail later in the report. A third, area of interest is pursued in collaboration
with Professor Paul Wright in the Mechanical Engineering Department at Berkeley, on rapid prototyping of
mechanical products and internet-based manufacturing - CYBERCUT. Finally, Professor Fiona Doyle of MSME at
Berkeley has been contributing to an understanding the chemical issues in polishing and CMP. We also collaborate
with the DARPA/NSF funded Machine Tool/Agile Manufacturing Research Institute at the University of Illinois.

At the University of California at Berkeley, engineering faculty have been working for a long time in a
number of areas vital to manufacturing technology. Research at Berkeley is strongly motivated by modern
automation as described above. Research issues listed below are all fundamental to both flexible automation and
computer integrated manufacturing:
• process planning, including maximum utilization of manufacturing tools and processes for increased
productivity, e.g. minimum downtime for maintenance

• automated monitoring and failure detection

1
• analysis and development of processes with minimal environmental impact

• intelligent sensors (processing of multiple sensor outputs for feature extraction, decision making, and
collection of information from processes)

• ability to adapt to variability in materials and processing conditions

• burr formation modeling and deburring

• database, knowledge base and expert systems for design and manufacturing automation

• integration of new process technology into existing systems at minimum cost

• precision manufacturing

This research report gives a review of the projects in the laboratory under the supervision of Professor
David Dornfeld. The table below summarizes the breadth of research activities in terms of basic areas and
application. The projects include development of sensing methodologies and signal processing for process
monitoring and analysis as well as advanced techniques for intelligent sensor-based process control. In addition,
basic studies on processing leading to the development of models for component design and process control are
included - specially for precision manufacturing. A key aspect of these research projects is that the results must be
able to be demonstrated and evaluated in the laboratory. There is a strong industrial interaction as part of most of the
research projects.

Facilities for Research The research undertaken by the faculty and students in the LMA necessitates first rate
equipment and laboratory facilities. In this environment, faculty, visiting researchers and graduate researchers can
develop, implement and test individual ideas and cooperative research focusing on the control of machines, sensing
and signal processing and machine-to-machine communications. The LMA is equipped with Matsuura 510V
machining center, Mori Seiki NC Drilling machine, Pneumo Diamond Turning Lathe and Kugler Ultra Precision
Milling machine. In addition, an instrumented laboratory scale polishing/CMP machine and a modified polishing
table equipped with fluid coupled AE sensors and a z-axis force dynamometer for tape/slurry texturing and
burnishing is available. A variety of conventional machine tools are also available for research (cylindrical and
surface grinders, for example). Instrumentation and computers for advanced signal acquisition and processing are
available including an array of computers for data collection and analysis (IBM PS/2's, RISC 6000 workstations)
terminals on the Ethernet, MAC, Hewlett-Packard high speed data acquisition system and a variety of sensors
(force/torque, current, laser profilometer and acoustic emission) and high speed AD/DA interface and digital data
sampling hardware. Metrology hardware for surface characterization (Zygo and Talysurf 10 with computer
interface) and scanning electron microscope with powered in-situ machining stage, HP laser interferometer are
available. A full scale metallography laboratory for polishing and macro-examination of specimens is available. The
LMA has joint projects with the Computer Mechanics Laboratory in ME and has access to those facilities as well as
the Microfab lab in EECS.

A Consortium on Deburring and Edge Finishing (CODEF) has been established within the LMA to
investigate burr formation mechanisms in various machining operations, to develop design guidelines to minimize
the occurrence of burrs, and to develop advanced automated burr detection and deburring techniques. This
consortium is described further in the following pages of this section. A consortium to support precision
manufacturing research is under development with strong emphasis on semiconductor manufacturing/chemical
polishing.

2
1998 Research Project Areas -- Laboratory for Manufacturing Automation

Research Category Basic Studies Sensor Development Signal Processing Control/Systems


Application Area

Machining

Precision machining AE source model Noncontact measure RMS/Frequency Micro-actuator tool positioning
Diamond turning Chip/scratch Formation Precision machining LDF/perceptron Sensor system architecture
Diamond fly-milling Micro-machining Feedback for form AR models
Brittle material machining Surface finish Neural networks Open architecture control Cybercut
Ductile/brittle transition Ductile/brittle transition super/unsupervised integration
Planarization Deconvolution
Intelligent sensors Fuzzy logic Process planning for precision
Milling/Turning AE Sensor design Wavelet transforms
(Green’s function basis) Bayesian applications
Sensor fusion

Grinding/Lapping/ AE source model Pad condition AE sensor develop. Cycle optimization


Polishing Work surface generation Endpoint detection Sensor interface CMP process control and
Work surface measurement Scratch detection optimization
Chemical-mechanical Dressing/conditioning Slurry condition • CMP process setup software
planarization (CMP) Work integrity (cracks, etc.) • Cybercut link for precision
CMP model/mechanics
CMP FEM analysis

Burr Formation and Burr formation/breakout • Architecture for burr minimization


Deburring models (drilling, milling, Sensors for burr and removal
turning, grinding) measurement and Cybercut link
2D + 3D FEM characterization CAD burr "expert" for
Tool path planning design and process
Burr chart-prediction planning
Tool design for burr min.
Cost assessment

3
LMA and CODEF Home Pages on the World Wide Web
Process Monitoring for Precision Manufacturing

David A. Dornfeld
Professor of Mechanical Engineering
University of California
Berkeley CA 94720 USA
Abstract
New demands are being placed on monitoring systems in the manufacturing environment because of recent
developments and trends in machining technology and machine tool design. In-process sensors are used to generate
control signals to improve both the control and productivity of manufacturing systems. For example, acoustic
emission sensors are used in many precision machining processes to monitor the degree of tool wear, chip
formation, surface features, etc., and in precision grinding, acoustic emission sensors are used to detect both the near
approach of the grinding wheel to the work surface, and the initial wheel contact with the work. Further, consistency
dictates more quantitative techniques for process monitoring and control, hence sensor-based process models.

Numerous different sensor types are available for monitoring and control of the manufacturing and machining
environments including force, power and acoustic emission sensors. This paper first discusses the requirements for
sensor technology for precision manufacturing process monitoring in general. Then, background and details are
given about acoustic emission (AE) and the application of AE sensing to process characterization and monitoring in
ultraprecision machining. A review of the source of AE in machining (including polishing and lapping) and signal
processing is included.

Sensor technology for precision manufacturing process monitoring


New demands are being placed on monitoring systems in the manufacturing environment because of recent
developments and trends in machining technology and machine tool design (high speed machining, hard turning,
“nano-machining”, precision polishing, for example). In-process sensors play a significant role in assisting
manufacturing systems in producing products at a cost affordable to the mass consumer market. In-process sensors
are used to generate control signals to improve both the control and productivity of manufacturing systems [1, 2].
Further, consistency dictates more quantitative techniques for process monitoring and control. Incorporation of an
in-process sensor requires a high level of engineering confidence in the ability of the sensor to reliably detect the
desired process characteristic. Without this confidence, manufacturers justifiably do not leverage in-process sensor
technology to achieve the higher levels of process productivity they offer.

Numerous different sensor types are available for monitoring and control of the manufacturing and machining
environments [3]. The most common sensors in the industrial machining environment are force, power and acoustic
emission sensors. This paper first discusses the requirements for sensor technology for precision manufacturing
process monitoring and control in general. Then, background and details are given about acoustic emission (AE) and
the application of AE sensing to process characterization and monitoring, primarily in material removal processes. A
review of the source of AE in metal cutting, signal processing of acoustic and other sensor signals is included.

Requirements for Sensor Technology for Precision Manufacturing


Precision machining takes place at the sub-micron to nano scale dimensions (with respect to the uncut chip
thickness, for example.) At these levels, the machining process, surface finish and chip formation are more
intimately affected by the material properties such as ductile/brittle behavior or transitions in grinding or single point
turning of brittle materials. These effects can adversely affect the surface quality or integrity of the machined
component. Critical sensor information in precision machining is required mostly for assessing material removal at
the sub-micron level, surface finish and subsurface damage. In addition, it is of interest to track for control purposes
the variation in process parameters such as material removal rate (MRR), tool condition (e.g. wheel in grinding,
abrasive in lapping, pad in chemical mechanical polishing) as well as process cycle related characteristics (e.g.
contact or sparkout in grinding, air time in machining). These parameters are generally measured using sensors with
very high sensitivity and with effective frequencies ranging to several MHz. In precision processes, sensor feedback
information is critical for higher yields and process throughput.

Not surprisingly, different sensors have different applicability at different levels of precision, or displacement or
MRR. Figure 1 below [4] shows a schematic diagram of different types of sensor applications for different precision
levels and control parameters. The boundary represents the approximate range of usage with the shaded area
emphasizing the core application range. Acoustic emission as illustrated here shows the greatest sensitivity (with the
lowest noise level, i.e. highest signal to noise ratio) to the most critical process conditions in precision machining.
Precision machining requires an attention to a number of work characteristics in addition to tolerance on dimension
and, as the control parameters approach subsurface damage, the conventional sensing technologies from
conventional manufacturing are less suitable. There are, of course, a host of other techniques for assessing roughness
and subsurface damage but they are not usually considered to be useful “on line.”

When material removal reaches the sub-micron level, essential signal features may be difficult to obtain.
Conventional sensors such as force and vibration sensors suffer from inaccuracies due to the loss of sensitivity in the
extremely high frequency range, where most of the micro cutting activities are sensed. However, sensors such as
acoustic emission (AE) exhibit improved response in the high frequency range, where much of the machine induced
low frequency disturbance signals are diminished and the frequencies from sub-micron level precision machining
activity becomes dominant (see Figures 2, 3, from [5]). Therefore, by using sensors such as AE, noise from
disturbance sources (bearings, spindle, slides, etc.) that generally contaminates the desired signal (for example,
increases the variance compared to the mean) can be minimized and the micro cutting mechanism can be more
effectively monitored.
conventional precision

low
1 mm
level of precision

force laser interferometer


encoders
meters
conventional

accelerometers precision

1 nm acoustic emission
high
position form/ roughness subsurface
dimension damage
control parameters
Figure 1 Sensor application vs. level of precision and error control parameters, [4]

3
>10
AE sensing area
Frequency (Khz)

Accelerometer
Signal from sensing area
cutting mechanics

2
10
Force meter
Low frequency
disturbance signal sensing area
1
10
-3 -2 -1 0 1
10 10 10 10 10
Uncut Chip Thickness (µm)
Figure 2. Noise and Cutting Signal Frequencies and Sensor Effectiveness, [5]
High
AE
Sensor Signal

Machining S/N Ratio


Force/Vibration
Sensor Signal

Low
-3 -2 -1 0 1
10 10 10 10 10
Uncut Chip Thickness (µm)

Figure 3 Signal/Noise Characteristics of AE vs. Force/Vibration Sensors at Different Uncut Chip Thickness (ac), [5]

Sensing for process characterization and monitoring


The transformation of stand-a-lone sensors used primarily as diagnostic devices in a machining process to sensors
part of an intelligent system for tool and process monitoring and control has occurred most actively over the last
decade. Kegg [6] summarized the history of machine tool applications of sensors, and from the 1950's through the
1980's, these sensors were characterized by application of specific physical phenomena to sensing (thermocouples,
piezo crystals, accelerometers, strain gauges, acoustic emission, for example) a specific feature of the process (tool
wear, spindle torque, tool vibration, for example). In the late 1980's and early 1990's, [7, 8], the influence of
advanced signal processing techniques and artificial intelligence were felt in the development and application of
sensors and sensing systems. These are often called intelligent sensors. It also lays the ground work for input to
learning schemes, such as neural nets, to capture process knowledge when the process is sufficiently complex to
defy clear mathematical modeling. The focus of monitoring is on either the machine (diagnostics and performance
monitoring), the tools or tooling (state of wear, lubrication, alignment), the workpiece (geometry and dimensions,
surface features and roughness, tolerances, metallurgical damage) or the process itself (chip formation, temperature,
energy consumption). All four focus areas are subject to monitoring needs, often with competing requirements for
time response or location of sensors. Thus, sensing systems for manufacturing processes must balance a number of
options if they are to be effective [8].

There is a substantial amount of information in the literature on this topic area- mostly associated with elements of
the intelligent machine tool such as control or monitoring. Comprehensive surveys have been published by [7, 9],
covering monitoring and control, and [10] on sensors for unmanned machining. Prior to that one of the most
complete reviews was done as part of the Machine Tool Task Force Study in 1980 [11]. Other detailed reviews have
been published on various aspects of machining and tool/workpiece monitoring. For example, [12-14] reviewed,
with numerous examples of applications and specifications on performance, sensors for machine, tool, workpiece
and process monitoring in machining and [8] reviewed recent sensing techniques with respect to future requirements
and intelligent sensors. Iwata [15] published the results of a survey of Japanese machine tool builders on their
requirements and preferences on machine tool monitoring updating some of Birla's information on the same
requirements. Finally, with a focus on drilling and tapping, Hoshi [16] reviewed techniques for automatic tool
failure monitoring. Szafarczyk [17] has edited a volume of papers focusing on automatic supervision of
manufacturing processes as part of an intelligent machine concept and includes, perhaps, the most recent
comprehensive review of the subject from the perspective of sensors, signal processing, control, process modeling
and integration with product design. More recently, a conference on monitoring and automatic supervision,
Jemielniak [18], introduced additional applications of sensing applied to both machining and grinding.

Sources of AE in precision machining and signal processing


Normally, for most effective diagnostic application in manufacturing, the variation of only the source must be
insured. The reliability of the AE-based diagnostic system is dependent on the designer's ability to consider all of the
potential process sources. In many cases, the major factors affecting the AE signal are sufficiently dominant as to
render the "second order" effects inconsequential. These sources include material deformation and fracture, contact
of tooling with work, phase change of materials, electrical signals or noise, boiling, fluid flow and turbulence, etc.
Details on the characterization of AE sources in manufacturing can be found in a number of references including
[19, 20]. The use of acoustic emission-based sensing for manufacturing process monitoring is much better
documented. Whether or not it has been much more successful is not clear as many applications are complex and
ones in which competing technology either does not exist or has not been effective either. Traditionally, the bulk of
the processes monitored are drilling, milling and turning. The most potential for acoustic emission-based monitoring
are material deformation-based manufacturing processes. They use either continuous or discontinuous application of
energy to reform or remove material in one way or another. The process monitoring or product defect monitoring
scheme is based on either deformation (including friction and rubbing) or fracture derived AE. In some cases
material metallurgical transformation is an AE source. Thus, depending on the type of the AE source, and whether
the source is expected to be steady or non-steady, on must use the appropriate signal processing methodology.
Sensor location and signal processing are not always straightforward considerations.

Research over the past several years has established the effectiveness of AE based sensing methodologies for
machine tool condition monitoring and process analysis. The problems of detecting tool wear and fracture of single
point turning tools motivated much of this early work. In addition, the sensitivity of the AE signal to the various
contact areas and deformation regions in the cutting and chip formation process has led to the analysis of AE signals
as a basic tool for the analysis of the cutting process. Investigations of AE from metal cutting have often been
limited to two-dimensional or orthogonal machining because of the simplicity of the geometry and chip flow.
Principal areas of interest with respect to AE signal generation are in the primary generation zone ahead of the tool
where the initial shearing occurs during chip formation, the secondary deformation zone along the chip-tool rake
face interface where sliding and bulk deformation occurs, and the tertiary zone along the tool flank face-work
surface interface. Finally, there is a fourth area of interest, that associated with the fracture of chips during the
formation of discontinuous chips. If one is studying the milling process (or other interrupted cutting) an additional
source of AE is the impact of the tools on the workpiece and the noise due to the swarf motion on the tool and work.
Moriwaki [21] reviews other sources of AE from metal cutting. Extension of the analysis of the basic signal
characteristics to other process features, such as surface finish, have also been proposed, [22].

For loose abrasive processes, lapping for example, the sources of AE are due to the varied interaction between the
tool, work and abrasive. Depending upon the velocity and slurry characteristics, there are three differing types of
interaction between the polishing pad/lap plate, work and abrasive slurry. At high relative velocities the work will
move over the pad as with a hydrostatic bearing so that no contact exists between the pad and the wafer. The
influence and action of the abrasive includes erosion and impact as well. At lower velocities there may be some
solid-solid contact in addition to support on a fluid layer. In this case the action of the abrasive can appear as either
two-body or three-body depending on the action of the pad. Finally, at the lowest speed (or highest pressure) there
can be direct wafer-pad contact where the entire load is supported on the solid structure. The abrasive action in this
case, for the mechanical aspects of lapping and polishing, is most likely primarily two-body abrasion due to asperity
contact. Acoustic emission energy and other signal features are a very sensitive indicator of the degree and nature of
contact between surfaces and are the basis for the monitoring of the loose abrasive processes. A schematic of AE
sources in lapping is shown in Figure 4 from [49].

A number of studies on developing models of AE generation in machining (Dornfeld [23], Dornfeld and Kannatey-
Asibu [24, 25], and Rangwala and Dornfeld [26, 27]) have established the principle role of process parameters,
especially cutting speed, in the determination of RMS energy of the signal. For conventional machining the friction
and rubbing accompanying the cutting are, perhaps, the most significant sources of AE and are dependent on the
cutting speed as well, Heiple, et al [28]. For precision machining, such as diamond turning, the model-based
predictions for AE sources are much more accurate. Both event-based (count-rate) and energy-based techniques are
employed in research on AE from metal cutting.
Figure 4 Acoustic emission sources in lapping process, [49]

A basic model for the generation of AE during machining (in this case primary and secondary shear generated AE in
orthogonal machining) was proposed by Dornfeld and Kannatey-Asibu [24-25]. The formulation of the model is
based on the simplified Ernst and Merchant model of orthogonal machining and builds a dependency of AE energy
on material properties such as flow stress, volume of material undergoing deformation and the strain rate.
Incidentally, almost every other effort at modeling acoustic emission from deformation-based manufacturing
processes is built on this same approach. The extension of this model to precision machining is done by scaling the
process with the uncut chip thickness as will be described in the next section.

Applications of AE sensing in ultraprecision machining


Diamond turning- The acoustic emission energy and specific energy has been shown to scale with the uncut
chip thickness. AERMS is directly proportional to the chip thickness defined as temax in Figure 5 below. Here f, d and
V represent feed, depth of cut and cutting speed, respectively. Figure 6 shows the sensitivity of specific AERMS to
uncut chip thickness for both worn and sharp diamond tools for single point turning. Sensitivity down to less than
0.01 micron is seen [30-32]. Specific energy increases with decreasing uncut chip thickness as expected and is
affected by the tool condition. Here Al2024-T35 is being machined on a precision diamond turning machine with a
diamond tool with -1.5 degree rake, 3 degree clearance, edge radius of 70 nm and nose radius of 100 mm. In [31],
wavelet analysis was successfully applied to the identification of cutting mechanisms and tool condition.

Figure 5 Tool-chip geometry and Figure 6 Specific AE-RMS vs.


uncut chip thickness uncut chip thickness

A critical issue in precision machining is ductile vs. brittle material removal. The mechanics of the transition has
been analyzed by a number of researchers including Bifano [33] who showed how this could lead to enhanced
machining of brittle materials. During scratch tests a distinct transition occurs in the nature of the acoustic emission
signal as the indentor, or tool, transition from no contact, elastic rubbing without cutting, cutting in ductile mode
and, finally, brittle mode removal. Using an experimental technique similar to that of Brinksmeier and Schmütz
[34], Lee was able to demonstrate this variation in acoustic emission signal with mode of contact and removal in
single point diamond scratching of bare and chemically treated Si wafers, [35]. Figure 7 shows the transition in
signal properties. Majumdar and Wu observed similar transitions (as measured by force and specific energy) during
nano-machining Si with an atomic force microscope but over a range of depths from 7 to 80 Angstroms [36].

Figure 7 Ductile to brittle transitions indicated by change in AE signal, from Lee [35]

The ductile-brittle transition was also analyzed with AE signals by Daniel [37]. This work showed that the basic
migration from plasticity dominated ductile removal mechanisms to fracture dominated brittle mode material
removal can be observed by using acoustic emission. Surface displacements from representative ductile and brittle
acoustic source functions are calculated using a Green’s function approach. The predicted displacement waveforms
for ductile and brittle acoustic source functions were verified experimentally using a specially designed AE
transducer sensitive in the 1-3 MHz range during diamond turning/scratching experiments on BK7 glass. As
machining progressed, the workpiece was “scratched” at increasing depths of cut. The ratio of peak dipole of the AE
signal to RMS AE voltage showed a clear transition in machining from ductile to brittle as the depth surpassed the
ductile-brittle transition. Figure 8 below illustrates this ratio as a function of number of test scratch with the
transition from ductile to brittle mode removal occurring at scratch number 77. This discrimination can also be
accomplished by the use of Wavelet packet analysis which has shown ability to distinguish AE bursts to characterize
the “relative brittleness” of the removal process, [38]. Both approaches have application to the in-process control, or
diagnostics, of machining of brittle materials.
Figure 8 Peak dipole to AE RMS ratio vs. scratch number; ductile/ brittle transition at scratch 77, [37].

Grinding and Lapping- Acoustic emission sensitivity to abrasive processes and the inherent frictional
interactions has been known for some time. This was first applied to detecting sparkout and contact in grinding [39,
40]. Applications to grinding process monitoring such as wheel dimensional characterization [41] and development
of sensor based “intelligent grinding systems” [42] are proposed and have been evaluated in practice. The
importance of developing autonomous machining systems with advanced monitoring systems for abrasive processes
was detailed by Inasaki, Tönshoff and Howes [43] and Inasaki [44] and acoustic emission as a sensing technique can
be useful in this development.

The fundamental sensitivity of acoustic emission to the abrasive action has encouraged additional studies. Jiaa and
Dornfeld [45] investigated the friction and wear behavior of metals in sliding contact using AE signal analysis
techniques, it was determined that three different regions (running-in, steady state and self-acceleration) in long-
sliding distance tests can be distinguished from the results of AErms signal and measured wear rate. The sensitivity
of AE to the loading conditions and sliding velocity (strain rate) were also verified. The results showed that, under
steady state, the AErms signal increases with increasing applied load and sliding velocity and is also affected by the
mechanical properties of the materials in contact. Boness and McBride [46] studied adhesive and abrasive wear
using acoustic emission. It has been demonstrated that measurements of AErms signal provide a valuable tool in the
study of wear between lubricated sliding contacts where measured AErms voltage were apparently due to asperity
contact. It has been also shown that the time-dependent nature of the AE signal is able to detect the presence of
wear-reducing additives and the predominant wear process occurring.

Liu and Dornfeld [47] applied AE for an abrasive texturing and burnishing process monitoring, the AErms signal
measured in texturing is found to be consistent with the friction coefficient and a correlation between friction
coefficient and abrasive conditions was determined during tape burnishing or magnetic disk substrate. Osada, et al
[48] used “low” frequency acoustic emission to investigate the mechanical action of the SiC abrasive in lapping if
optical glass and carbon steel. Chang and Dornfeld [49] used AE to monitor the material removal rate (MRR) in
lapping and observed a linear trend between AErms and MRR, figure 9. They also used AE to assess the
degradation of abrasive size during the process and as a basis for re-freshing the slurry supply, figure 10. Sensing in
fine grinding applications is reported by Akbari et al [50]. The AE signals generated during creep feed grinding of
alumina were used for in-process detection of workpiece cracking and chipping. AE parameters show good
correlation with the abrasive grain depth of cut.
Figure 9 Correlation between AE rms and metal removal rate for diamond and alumina, from Chang et al [49]

Figure 10 AE rms signal level versus re-supply interval of abrasive slurry, from Chang et al [49]

Chemical Mechanical Polishing- Chemical mechanical polishing (CMP) has become one of the key
bottleneck or roadblock issues in semiconductor manufacturing today [51]. It is used to insure the interconnects
between multilayer chips are achieved reliably and that the thickness of dielectric material is uniform and sufficient.
These must be accomplished over, now, a 300 mm diameter wafer achieving surface roughnesses on the order of 1-2
nm Ra and global planarity in the order of sub 0.5 micron to meet the requirements of lithography tools. As a result
of the interaction of an abrasive slurry with specific chemical properties, a polishing pad with specific density and
texture with the surface of a semiconductor device in wafer form. The pad “holds” and enhances the motion of the
abrasive particles in slurry, composed of, for example 5-7 nm fused silica in an aqueous solution with pH between
8.5-11 (this will vary dramatically depending upon the material being polished and abrasive used) and transmits the
abrasive/fluid load to the wafer surface. The process is similar to polishing processes for glass and other metals
dating back thousands of years and is roughly governed by Preston’s equation [52] which predicts the material
removal rate as a function of the polishing pressure, relative pad-wafer speed and a constant. A recent CIRP keynote
paper by Komanduri, Lucca and Tani [53] gives an excellent review of the process and places it in perspective with
other conventional abrasive processes.

The process stages, pad condition, end point, slurry characteristics and frictional interactions, etc. can be monitored
using AE. Figure 11 below, from Tang et al [54] shows the AE RMS signal variation during three distinct stages of
CMP with a 200 mm bare silicon wafer polished with SC112 slurry, IC1000 pad and Strasbaugh CMP machine. The
process instability due to wafer set down in the early stage of polishing (about 15 seconds) can be clearly identified
from the raw data of figure 11.

Figure 11. Typical AE rms signal in conventional CMP process,


Strasbaugh machine, from [54]

Conclusions
A tremendous amount of research and development has gone into monitoring of precision manufacturing. The effort
reflects the tremendous challenges of trying to characterize and then control very complex processes. It is difficult to
summarize in a short paper the results of over a decade of research in many laboratories around the world
investigating the application of acoustic emission in precision manufacturing processes. As workpieces require finer
and finer surfaces, tighter tolerances and stringent requirements on sub-surface damage, sensing systems must be
able to ensure that the sensitivity of the sensor must be consistent with the magnitude of the phenomenon under
investigation. And, it would be useful if the sensor output had some relationship with the process mechanics as it can
help in developing a better understanding of the process. Uniquely, acoustic emission is capable to meet these
requirements, specially for material removal process parameters like material removal rates (and very low rates by
comparison to conventional processes) and very small uncut chip thicknesses. If one adds the sensitivity of AE to
subsurface damage or ductile/brittle transitions in processing difficult to machine materials, one can see the potential
for this sensing technology in precision manufacturing.

Acknowledgements
The research on acoustic emission sensing for precision manufacturing is supported by the National Science
Foundation, DARPA/NSF Machine Tool-Agile Manufacturing Research Institute at the University of Illinois,
Lawrence Livermore National Laboratory and industrial affiliates of the Laboratory for Manufacturing Automation
at the University of California. Research students Andrew Chang, Yoon Chul Lee and Yongsik Moon assisted with
the preparation of this paper. This paper appeared in Proceedings of CIRP/VDI Conference on High Performance
Tools- the Key to Innovative Machining Technologies, Düsseldorf, Germany.

References

1. Dornfeld, D. A. and Cai, H. G., “An Investigation of Grinding and Wheel Loading using Acoustic Emission,”
Trans. ASME, J. Eng. Ind., 106, 1, 1984, 28-33.
2. Gomes de Oliveira, J. F., Dornfeld, D. A. and Winter, B., “Dimensional Characterization of Grinding Wheel
Surface through Acoustic Emission,” Annals CIRP, 43, 1, 1994, 291-294.
3. Byrne, G., Dornfeld, D., Inasaki, I., Ketteler, G., Konig, W. and Teti, R., “Tool Condition Monitoring (TCM)-
Status of Research and Industrial Application,” Annals CIRP, 44, 2, 1995, pp. 541-567.
4. Lee, Y. X. and Dornfeld, D. A., “Application of Open Architecture Control System in Precision Machining,”
31st CIRP International Seminar on Manufacturing Systems, CIRP, Berkeley, CA, May, 1998, pp. 436-441.
5. Lee, Y. and Dornfeld, D.A., "Open Architecture Control System for Precision Manufacturing," Proceedings of
1998 Japan-USA Symp. Flexible Automation, Volume 3,1998, pp. 1165 - 1172.
6. Kegg, R., 1994, "Sensor History- Machine Tool Applications Table," Proc. Workshop on Tool Condition
Monitoring, Vol. III, Dornfeld, D. A., Byrne, G., eds. CIRP, Paris, p. 51.
7. Byrne,G., D. Dornfeld, I. Inasaki, W. König, and R. Teti, "Tool Condition Monitoring (TCM)- The Status of
Research and Industrial Application," CIRP Annals, 44, 2, 1995,
8. Dornfeld, D. A., König, W., and Kettler, G., "Present State of Tool and Process Monitoring in Cutting," Proc.
New Developments in Cutting, VDI Berichte NR 988, Düsseldorf, 1993, pp. 363-376.
9. Tönshoff, H.K., Wulfsberg, J.P., Kals, H.J.J., König, W., and van Luttervelt, C.A., 1988, “Development and
Trends in Monitoring and Control of Machining Processes,” Annals CIRP, 37,2, pp. 611-622.
10. Tlusty, J. and Andrews, G.C., “A Critical Review of Sensors for Unmanned Machining,” Annals CIRP, 32, 2,
1983, pp. 563-572.
11. Birla, S., "Sensors for Adaptive Control and Machine Diagnostics," Technology of Machine Tools- Machine
Tool Task Force Report, Vol. 4, Machine Tool Controls, Miskell R.V., ed., LLNL, Report UCRL-52960, 1980,
pp. 7.12-1 - 7.12-70.
12. Shiraishi, M., “Scope of In-Process Measurement, Monitoring and Control Techniques in Machining Processes-
Part 1: In Process Techniques for Tools,” Precision Engineering, Vol. 10, No. 4, 1988, pp. 179-189.
13. Shiraishi, M., “Scope of In-Process Measurement, Monitoring and Control Techniques in Machining Processes-
Part 2: In Process Techniques for Workpieces,” Precision Engineering, Vol. 11, No. 1, 1989, pp. 27-37.
14. Shiraishi, M., “Scope of In-Process Measurement, Monitoring and Control Techniques in Machining Processes-
Part 3: In Process Techniques for Cutting Processes and Machine Tools,” Precision Engineering, Vol. 11, 1,
1989, pp. 39-47.
15. Iwata, K., “Sensing Technologies for Improving the Machine Tool Function,” Proc. 3rd Int’l Machine Tool
Engineer’s Conference, JMTBA, Tokyo, 1988, pp. 87-109.
16. Hoshi, T., “Automatic Tool Failure Monitoring in Drilling and Thread Tapping,” Proc. III Intern’l Conf. on
Automatic Supervision, Monitoring and Adaptive Control in Manuf., CIRP, Rydzyna, Poland, 1990, pp. 41-58.
17. Szafarczyk, M., ed., Automatic Supervision in Manufacturing, Springer-Verlag, London, 1994.
18. Jemielniak, K., ed., “Proc. Vth Int’l Conf. on Monitoring and Automatic Supervision in Manufacturing, CIRP,
Warsaw, Poland, 1998.
19. Dornfeld, D. A., "Monitoring the Machining Process by Means of Acoustic Emission Sensors," Acoustic
Emission: Current Practice and Future Directions, ASTM, STP 1077, W. Sachse, J. Roget and K. Yamaguchi,
Eds., American Society of Testing and Materials, Philadelphia, 1991, pp. 328-344.
20. Dornfeld, D. A., “Design and Implementation of In-Process Sensors for the Control of Precision Manufacturing
Processes,” chapter in Advanced NDE Techniques for Process Sensing and Control, ASNT, 1998, pp. 125-150 .
21. Moriwaki, T., "Application of Acoustic Emission Measurement to Sensing of Wear and Breakage of Cutting
Tool," Bull. Japan Soc. of Precision Eng'g., 17 (1983), pp. 154-160.
22. Beggan, C., Woulfe, M., Young, P. and Byrne, G., “Acoustic emission surface quality sensor system,”
Jemielniak, K., ed., “Proc. Vth Int’l Conf. on Monitoring and Automatic Supervision in Manufacturing, CIRP,
Warsaw, Poland, 1998, pp. 33-40.
23. Dornfeld, D.A., "An Investigation of Orthogonal Cutting via Acoustic Emission Signal Analysis," Proc. 7th
North American Manufacturing Research Conference, Univ. of Michigan, Ann Arbor,1979.
24. Dornfeld, D.A. and Kannatey-Asibu, E., "Acoustic Emission during Orthogonal Metal Cutting," Int. J. Mech.
Science, 22,1980, pp. 285-296.
25. Kannatey-Asibu, E. and Dornfeld, D.A., "Quantitative Relationships for Acoustic Emission from Orthogonal
Metal Cutting," Trans. ASME, J. Eng. Ind., 103,1981, pp. 330-340.
26. Rangwala, S. and Dornfeld, D.A., "A Study of Acoustic Emission Generated During Orthogonal Metal Cutting-
1: Energy Analysis," Int. J. Mech. Sci., 33, 6,1991, pp. 471-487.
27. Rangwala, S. and Dornfeld, D.A., "A Study of Acoustic Emission Generated During Orthogonal Metal Cutting-
2: Spectral Analysis," Int. J. Mech. Sci., 33, 6,1991, pp. 489-499.
28. Heiple, C.R., Carpenter, S.H. and Armentrout, D.L., "Origin of Acoustic Emission Produced during Single
Point Machining," Proc. 4th World Meeting on Acoustic Emission, ASNT, Boston, MA, 1991, pp. 463-470.
29. Iwata, K. and Moriwaki, T., "An Application of Acoustic Emission Measurements to In-Process Sensing of
Tool Wear," Annals of CIRP, 26, 1, 1977, pp. 21-26.
30. Liu, J. J. and Dornfeld, D. A., "Modelling and Analysis of Acoustic Emission in Diamond Turning," Precision
Machining: Technology and Machine Development and Improvement, PED-Vol. 58, ASME, 1992, pp.43-58.
31. Chen, X., Tang, J. and Dornfeld, D. A., “Montoring and Analysis of Ultraprecision Metal Cutting with Acoustic
Emission,” Proc. Int’l. Mech. Eng. Congress and Exposition, ASME, Atlanta, GA, 1996, pp. 387-393.
32. Tang, J. and Chen, X., “Ultraprecision Metal Cutting Process Monitoring using Acoustic Emission,” Research
Report of the Laboratory for Manufacturing Automation, 1996, University of California, Berkeley, pp. 49-50.
33. Bifano, T. G., Dow, T. and Scattergood, R., “Ductile-Regime Grinding: a New Technology for Machining
Brittle Materials,” Trans. ASME, J. Eng. Ind., 113, 2, 1991, pp. 184-189.
34. Brinksmeier, E. and Schmütz, “Generation and texture of surfaces in ultraprecision cutting of copper,”
Machining Science and Technology, 1, 2, 1997, pp. 185-193.
35. Lee, Y. X., Moon, Y. S. and Dornfeld, D. A., “Detection of ductile-brittle transition in single point scratching of
Si using Acoustic Emission,” Laboratory for Manufacturing Automation Technical Report, University of
California, Berkeley, 1998.
36. Majumdar A. and Wu, Y., “Mechanics and energetics of nanoindenting and nanomachining silicon using the
atomic force microscope,” STM'95 Conference, Snowmass, Colorado, July, 1995.
37. Daniel, J. and Dornfeld, D. A., “In-process Detection of the Ductile-Brittle Transition in High Speed Scratching
of Glass- Theory and Experiment,” Proceedings of ASPE Annual Meeting, 1996, Monterey CA, pp. 561-565.
38. Chen, X. S., “Monitoring and Analysis of Ultra-Precision Machining Processes using Acoustic Emission,” Ph.
D. Thesis, Department of Mechanical Engineering, University of California, Berkeley, CA, 1998, pp. 97-99.
39. Inasaki, I., “Monitoring of dressing and grinding processes with acoustic emission signals,” Annals CIRP, 34, 1,
1985, pp. 277-280.
40. Dornfeld, D. A. and Cai, H. G., “An investigation of grinding and wheel loading using acoustic emission,”
Trans.ASME, J. Eng. Ind., 106, 1984, pp. 28-33.
41. Oliveira, J. F. G., Dornfeld, D. A., and Winter, B., “Dimensional characterization of grinding wheel with
acoustic emission,” Annals CIRP, 43, 1, 1994, 291-294.
42. Oliveira, J. F. G., Felipe, J., Coelho, R. T. and Gomes, J., “Intelligent grinding systems (IGS): high reliability
and automation level in precision grinding, “ Abrasives, June/July, 1998, pp. 11-22.
43. Inasaki, I., Tönshoff, H. K. and Howes, T., “Abrasive Machining in the Future,” CIRP Annals, 42, 2, 1993, pp.
723-732.
44. Inasaki, I., “Sensor fusion for monitoring and controlling grinding processes,” Jemielniak, K., ed., “Proc. Vth
Int’l Conf. on Monitoring and Automatic Supervision in Manufacturing, CIRP, Warsaw, Poland, 1998, pp. 23-
32.
45. Jiaa, C. L. and D. Dornfeld, “Experiment studies of sliding friction and wear via acoustic emission signal
analysis”, Wear, 139, 1990, pp. 403-424.
46. Boness, R., and S. McBride, “Adhesive and abrasive wear studies using acoustic emission techniques”, Wear,
149, 1991, pp. 41-53.
47. Dornfeld, D. and J. J. Liu, “Abrasive texturing and burnishing process monitoring using acoustic emission”,
Annals CIRP, 42 (1), 1993, pp. 337-340.
48. Osada. T., Ohuchi, H., and Kasai T., “Monitoring of lapping process with piezoelectric ceramic sensor (1st
report)- relation between lapping conditions and detected acoustic signals,” J. JSPE, 62, 6, 1996, pp. 881-884.
(In Japanese)
49. Chang, P., Hashimura, M. and D. Dornfeld, “An investigation of the AE signals in the lapping process”, Annals
CIRP, Vol. 45 (1), 1996, pp. 331-334.
50. Akbari, J., Saito, Y., Hanaoka, T., and Enomoto, S., “Using Acoustic Emission for Monitoring of Grinding
Process of Fine Ceramics (Sensitivity of AE to Grain Depth of Cut), JSME International, 38, 1, 1995, p. 175-
180.
51. DeJule, R., “CMP Challenges Below a Quarter Micron,” Semiconductor Internationsl, pp. 54-60 Nov. 1997.
52. Preston, F. W., “The theory and design of plate glass polisbing machines,” J. Soc. Glass Tech., vol. 11, 1927,
pp. 214-256.
53. Komanduri, R., Lucca, D. A., and Y. Tani, “Technological Advances in Fine Abrasive Processes,” CIRP
Annals, vol. 46, no. 2, 1997.
54. Tang, J. S., Dornfeld, D. A., Pangrele, S. and Dangca, A., “In-Process Detection of Micro-scratching during
CMP using Acoustic Emission Sensing Technology,” Proc. TMS Annual Meeting,, San Antonio TX, TMS,
February, 1998.
Burr Research Group

Sponsored by
CODEF

Members of Researchers
Chish-Hsing Chu
Henning Dechow
John Hewson
Jinsoo Kim
Sangkee Min
List of CODEF Sponsors

Boeing

Caterpillar, Inc.

Ford Motor Company

Integrated Constructs, Inc.

National Science Foundation

Sandia National Laboratories

DARPA/NSF Machine Tool-Agile Manufacturing Research Institute at


The University of Illinois

Affiliates of the LMA

17
CONSORTIUM ON DEBURRING AND EDGE FINISHING (CODEF)

Professor David Dornfeld, Director

Laboratory for Manufacturing Automation


5100A Etcheverry Hall
University of California
Berkeley, CA 94720-1740 USA

(510) 642-0906
Fax: (510) 643-7463
E-mail: dornfeld@dornfeld.me.berkeley.edu

BACKGROUND

The CONSORTIUM ON DEBURRING AND EDGE FINISHING (CODEF) was


established to address problems related to prediction and modeling of burr and edge breakout
phenomena, development of a data base (or knowledge base) of best burr avoidance and
removal techniques from industry, development of a computer aided design (CAD) advisor (a
burr expert) for designers and process planners, development of strategies for deburring cell
level process feedback and control and identification and development of advanced deburring
technologies. Although all manufacturing processes are of interest in this program, of special
interest is those processes for precision manufacturing. The program also provides a forum for
the discussion of standards and terminology associated with the field.

The CODEF is designed to be "industry driven" in the sense that the participation of
industry in the identification of specific research objectives and applications for evaluation of
results of research is aggressively sought. Industry sponsorship of the CODEF is also sought
although there are opportunities for federal agency funding of basic research in this area (NSF
University Industry Cooperative Research program, for example) or focused application research
(NSF/ARPA Machine Tool Agile Manufacturing Research Institute at Illinois, for example).
However, the quality and usefulness of the research and the potential for program support are
dependent on the ability to link effectively with industry. The products of the consortium, in
addition to the forum for discussion of challenges in this area, are:

o software (CAD burr expert, for example)

o database of burr minimization and deburring knowledge

o burr formation models

o applications oriented solutions to deburring and edge finishing problems

o deburring hardware/strategy evaluation

18
o deburring inspection and burr measurement technology
o standard burr terminology and specifications for burr/edge characterization

o engineers trained in burr minimization and deburring techniques

There may be additional products of the consortium as it develops in response to specific


requests and interests of the members. The basic objective of the CODEF is to provide a channel
for the transition of basic research on burr/breakout formation modeling and deburring
techniques into software and systems for industry to use to solve real manufacturing problems.

To accomplish this objective the consortium activities must be strongly linked to industry
which means the active participation of industry.

CONSORTIUM PARTICIPATION

To accomplish this linkage (in both directions- industry advisorship of the consortium
and research results and technology introduced in industry) membership will be solicited in the
consortium according to the following format:

o Membership levels are established at two levels, Observer and Member, as follows:

Observer- this level of participation allows the company to send a representative to the
annual review meeting of the CODEF, receive pre-publication copies of all
CODEF research reports, and priority access to faculty student researchers in the
LMA; a fee of $15,000/year with a three year committment (preferred) is required
for participation at the Observer level.

Member- this level of participation includes the benefits of the Observer membership
level plus participation in the CODEF advisory board (determining research
priorities and directions for software and hardware development), the right to
provide a "prototype part" for evaluation of developing burr minimization and
deburring strategies, access to software for evaluation in your facility, assistance
in licensing software from the University of California for use, visit to your
company by CODEF researcher, opportunity for industry researcher to visit LMA
for several days; a fee of $30,000/year with a three year committment
(preferred)is required for participation at the Member level.

(Note: The fees for these two levels of participation are paid as gifts and, thus, incur no
overhead charges.)

o Additional, specific, research projects can be arranged in the CODEF beyond the two levels
of participation outlined above.

o Industrial Resarch Fellows from CODEF member companies can spend extended periods of
time (for example, one year) on site working on a specific research project and
participating in the activities of CODEF; there is an additional fee of $35,000 per

19
year to cover the cost of hosting the individual- office space, copying, telephone,
facsimile machine, etc.

o Advisory Board- CODEF will have an informal advisory board comprised of Member-level
participants, representatives of faculty researchers, and, as appropriate, selected
outside members. Mr. LaRoux Gillespie, Allied Signal, Kansas City, MO has
already agreed to be a member of this board.

o Short courses and special seminars on burr minimization, deburring or other topics are also
offered.

20
Integrated Design and Manufacturing for Milling Burr Minimization
Chih-Hsing Chu
Sponsored by: CODEF

Abstract  Design, process planning and manufacturing integration occurs at four distinct levels
depending on the objective of the task and the degree of flexibility. An agent-based system is
being developed for the integration with the objective of minimizing the requirements for edge
finishing and deburring processes. A framework of core software for each integration level is
proposed to minimize burr formation in milling operation.

Introduction
Current manufacturing favors high quality products, small batch sizes and short lead times, which calls for
agility and the seamless integration of design, process planning, manufacturing and quality control [1]. An
concurrent CAD/CAM environment is the key to achieve this goal. However, traditional approaches have been the
development of systems which support one particular concern, such as design for manufacturing, design for
assembly, or design for environmentally conscious production. Each of these concerns has invariably been
considered in isolation in the past [2], leading to stand alone DF-X (Design for X) systems with no regard to
integration. This work proposes an agent-based system for the integration of design, manufacturing and process
planning at four distinct levels. Milling burr formation is described as a process metric to illustrate the interactions
between design and manufacturing decisions. The types of interactions are further utilized as a basis for software
design of the various levels.

Milling Burr Formation


Burr formation is gaining attention as a process metric which can be controlled by changes in design and
manufacturing plans [2]. In milling operation, the tool has at least two cutting edges, namely a major and a minor
edge. Figure 1 shows a schematic view of burr formation in a typical milling operation. In this work, the primary
interest is in the exit and side burr. Previous work [3] showed that the burr shapes depend on the exit order of the
major and minor cutting edges. Three distinct approaches can be utilized to change the exit orders, i.e. work part
design, tool design and process planning, as shown in Figure 2.

Integration of Design to Fabrication Cycle


Using milling burr formation as a process metric, the above approaches can be fit into four levels of integration
for the edge finishing and deburring, as shown in Table 1, after [2]. The degree of flexibility at each level is also
illustrated.
Table 1 Four levels of integration in design to fabrication cycle for milling burr minimization
Integration Agent Software Task Adjustment Degree of Freedom
Level Parameter for Adjustment
Level I Work Part Design Chamfer angle Design: high
Chamfer size Manufacturing: high
Finishing: high
Level II Tool Path Planning for Milling Tool pass sequence Design: low
Depth of cut Manufacturing: high
Tool feed direction Finishing: high → low
Level III Tool Geometry Design Radial rake angle Design: low
Axial rake angle Manufacturing: limited
Finishing: high → low
Level IV Tool Path Planning for Deburring Tool pass sequence Design: low
Manufacturing: low
Finishing: high
.
At level I, the designer receives the feedback of burr formation in manufacturing process from the agent. In
this case, the influence of chamfer angle and chamfer size is provided to improve the overall manufacturability in
terms of edge finishing. At level II, the design is usually fixed but there exist a high degree of flexibility in
developing the process plans and the manufacturing configuration [2]. In the case of milling burr formation, tool

21
paths are freely chosen and can be optimized by arranging tool pass sequences, changing the depth of cut and
selecting the tool feed direction. At a still lower level, Level III, the design and process plans, as well as the
machinery for manufacturing, may be fixed with no flexibility for adjustment. However, it is still possible and useful
to consider fine-tuning of the cutting process to minimize burr formation. For instance, selecting the "right" milling
tool would result in minimum deburring effort [4]. The tool geometry including the radial rake angle, the axial rake
and the lead angle have been proved to affect burr formation. Altering process parameters such as feeds and cutting
speeds is also feasible to remedy burr problems [5]. Finally, at the lowest level of integration, it may be of interest
only to insure that subsequent processes, such as finishing, are efficiently and accurately carried out. For instance,
predicted burr size and distribution for a specific process plan and part design can be used to select deburring
process parameters. In addition, deburring tool path planning can be conducted to reduce the deburring cost [6].
Conclusion
A framework of an agent-based system for four-level integration between design, process planning,
manufacturing and finishing processes has been proposed using burr formation in milling operation as a process
metric. Investigation of the detailed interactions between design and manufacturing on the shape and size of milling
burr leads to modular software design for each level. The system implementation work is being carried out.

References
[1] Wright, P.K. and Dornfeld, D.A., “Agent-Based Manufacturing Systems,” Transactions of NAMRI/SME, Vol.
24, pp 241-246, 1995.
[2] Stein, J. and Dornfeld, D.A., “An Architecture for Integrated Design and Manufacturing of Precision
Mechanical Components,” Transactions of NAMRI/SME, Vol. 25, pp 249-254, 1997.
[3] Hashimura, M. and Dornfeld, D.A., “Effect of Axial Rake Angle on Burr Formation in Face Milling,”
submitted to Intl. J. of Machine Tools and Manufacture, Design, Research and Application, 1998.
[4] Hassamontr, J., “Effects of Tool and Workpiece Geometry on Burr Formation in Face Milling,” M.S. Report,
University of California at Berkeley, CA, 1995.
[5] Lee, Y. and Chu, C.H., “Real-Time Control Based on Acoustic Emission Feedback for Milling Burr
Minimization,” LMA report, 1997.
[6] Hassamontr, J., “Edge Finishing Planning in Milling,” Ph.D. Dissertation, University of California at Berkeley,
CA, 1998.

major cutting edge


un-
top burr
C un-machined surface

transition surface
B
minor cutting
edge A side burr

exit burr previous tool pass

Figure 1 Burr formation process in milling operation

axial rake chamfer angle total doc = doc1 + doc2


angle
doc2
doc1
radial rake
angle

Figure 2 Strategy of burr minimization for various integration levels

22
Exit Burr Minimization by Selecting Tool Feed Direction
Chih-Hsing Chu
Sponsored by: CODEF

Abstract  Two types of exit burrs, primary and secondary burr, are formed in milling operations
depending on a number of parameters including cutting conditions, cutter geometry, work part
geometry and material properties. The primary burr is much larger in size, and is difficult to
remove in subsequent deburring process compared to the secondary burr. In this work, an
algorithm was presented for the tool path planning of face milling with which the total length of
primary burrs are minimized by selecting an appropriate tool feed direction. This algorithm is
more concise than previous work and applicable to work parts with curved edges and inner
profiles.

Introduction
Exit burr formation in milling process are determined by several parameters including cutting conditions, tool
geometry, work part geometry, and material properties. Experimental results indicate that the axial depth of cut and
the exit angle determine to a large extent whether a primary or a secondary is formed [1]. The axial depth of cut is
usually fixed during a milling operation. Hence the tool exit angle was utilized in a single tool path to minimize
primary burr length [2]. While this algorithm used a variety of objective functions reflective of deburring complexity
to minimize the primary burr formation along work part edges, it has a number of limitations. First, the algorithm
was developed only for single tool path. Second, its burr formation criterion is quite complex, and is difficult to
implement.
Therefore, this project attempts to develop an algorithm for the tool path planning in milling operations with
which exit burr formation is minimized by selecting tool feed directions. Compared to the previous work [2], a more
compact scheme was proposed to estimate primary burr length in multiple tool paths. In addition, work parts can
contain curved edges and inner pockets.

Algorithm for Exit Burr Minimization


Tool feed direction is defined as the inclined angle of the tool advance direction relative to the centroid point
of work part geometry, as shown in Figure 1. In a milling operation, the tool feed direction determines total cutting
time, tool exit conditions and tool engagement conditions. Consequently, it will also affect exit burr formation. The
idea of this project is to adjust the tool feed direction so that primary burr length is minimized while other cutting
parameters are kept constant. Figure 2 illustrates this algorithm. Since face milling is essentially a 2.5D machining
process, 2D Boolean operations are used to find work part edges that are swept by a single tool path. Those swept
edges are linked in the same direction as they were in the original work part, namely counter-clockwise for outer
profiles and clockwise for inner profiles.
The estimation of primary burr length along an edge is omitted. To simplify the calculation, a coordinate
transformation matrix is obtained for each tool path. With this transformation matrix, the starting cutter location is
translated into the origin and the tool path is aligned to the y-axis. This transformation is also applied to the work
part geometry. Notice that the primary burr length does not change under transformations consisting of only
translations and rotations. Utilizing this property, the complex trigonometry in the previous work [2] can be avoided
and this algorithm becomes concise and easy to implement.

Result and Future Work


Figure 3 illustrates the simulation result of the primary burr length for a work part in different tool feed
direction. The work part geometry (a 2D section) and the critical exit angle are also shown in the figure. In this case,
the minimal primary burr length occurs when tool feed direction is θ = 150º. Instead of searching the real optimal
tool feed direction, which is an N-P hard problem, this work estimates the burr length in discrete tool feed angles.
However, the result is able to provide a useful tool path planning scheme for exit burr minimization. Future work
will focus on the consideration of deburring cost and the deburring tool path planning [3]. In addition, other factors
such as the gradient of the in-plane exit angle [4] can be incorporated.
References
[1] Chern, G.L., “Analysis of Burr Formation and Breakout in Metal Cutting,” Ph.D. Dissertation, University of
California at Berkeley, CA, 1993.

23
[2] Narayanaswami, R., “A Burr Advisor for a Collaborative CAD System,” Ph.D. Dissertation, University of
California at Berkeley, CA, 1995.
[3] Hassamontr, J. “Edge Finishing Planning in Milling,” Ph.D. Dissertation, University of California at Berkeley,
CA, 1998.
[4] Chang, A. “Burr Data Generation and the Effect of a Gradient In-Plane Exit Angle on End Milling Burrs in
Stainless Steel 304L,” M.S. Report, University of California at Berkeley, CA, 1997.

y
y
θ = 0° θ
x
x

Figure 1 Definition of Tool Feed Direction

Input: Work Part Geometry, Critical Exit Angle, Tool Radius, Tool Path Stepover, Tool Rotation
Direction

Discretize curve edges


For each tool feed direction
Generate tool paths
For each tool Path
• Generate tool swept region
• Calculate work part edges covered by the current tool swept region using 2D Boolean operation
• Find a coordinate transformation matrix with which the tool path is aligned to the y-axis
• Transform work part geometry with the same matrix
For each edge
If the edge contains tool exit region
− Locate tool exit region
− Estimate PrimaryBurrLength based on burr formation criterion
Else PrimaryBurrLength = 0.0
TotalBurrLength ← TotalBurrLength + PrimaryBurrLength
Return {Tool feed direction, PrimaryBurrLength}

Figure 2 Algorithm of Estimating Primary Burr Length

500
Primary Burr Length

400

300

200
90°
100
Critical Exit Angle = 100°
180° 0°
0
0 50 100 150 200 250 300 350 400

270° Tool Feed Direction: degree


Figure 3 Simulated primary burr length of a test example

24
A Systematic Approach to Avoid Exit Burrs in Milling
Chih-Hsing Chu
Sponsored by: CODEF

Abstract  Milling burrs are likely to form along the edges where the tool leave the work part,
namely exit burrs. One of the most efficient methods for minimizing exit burr formation is to
prevent the tool from exiting the part. In this study, a systematic approach is developed to avoid
tool exits by locally modifying existent tool paths. This approach also reduces excessive tool
engagement induced by previous work.

Introduction
The milling operation is one of the most common machining processes. Unfortunately, milling usually forms
burrs along the edges of a work part and they must be removed by deburring processes to allow the work part to
meet specified tolerances. The cost of edge finishing operations can be significant, but it is often neglected by work
part designers and process planners. It has been known for some time when the cutting tool leaves a work part while
removing stock material, burrs are likely to form. Blondaz [1] first proposed a tool path generation algorithm that
avoids tool exits and the resulting exit burrs in end milling process. The idea is to adjust the radial depth of cut so
that the tool always enters the part while machining over the part edges. Based on this algorithm, Hassamontr et al
[2] conducted a rigorous analysis to explore the limitations in pathological cases.
However, tool exits only occur at locations near the vertices of a work part. As a result, exit burrs do not occur
along most portions of part edges. In addition, the radial depth of cut is a main factor to determine cutting load.
Large depth of cuts can induce excessive cutting forces and cause tool damages as well as the deterioration of
surface quality. Therefore, it is beneficial not to have large tool engagement during milling operation. This work
presents a novel algorithm with which tool exits can be avoided in milling operation and at the same time excessive
tool engagement is also reduced. This algorithm can be extended to work parts consisting of curved edges.

Algorithm for Avoiding Exit Burrs


Figure 1 illustrates the procedures of the proposed algorithm:
1. For each tool path, calculate the cutter location at which the tool tangentially touches the work part. The tool
will exit the part beyond this cutter location, and exit burrs will thus form.
2. Find the tool approach boundary, defined as the boundary beyond which the tool will induce exit burrs along
part edges. In Figure 1, the edge AB is the tool approach boundary for tool path C1C2.
3. Find the tool escape direction, defined as the direction along which the tool can move without generating exit
burrs on the second edge AB. The direction de is expressed as
BA
de = .
BA

4. A safe cutter location is generated as C' = Ct + de TA .

5. Go past the second edge along an arc C’C’’, which is a portion of a circle centered at A with tool radius r. Note
that C’’ is the intersection point between the circle and the extension line of BA.
6. The tool goes back to the original tool path, namely C2C3.
The resulting burr-free tool path consists of C1 → Ct → C’ → C’’ → C2 → C3. Note that the tool goes along an
arc during C’ → C’’.

Result and Future Work


The modified tool path may still cause excessive tool engagement around corners, but it should induce much
less unfavorable cutting conditions compared to the previous work [2]. This algorithm is being implemented using
ACIS [3]. A preliminary result is shown in Figure 2. This work can be extended to avoid tool exits in the milling of
work parts consisting of curve edges, including free-form curves. In this case, not only occur tool exits around the

25
vertices of a part, they also form along a free-form edge. A modified algorithm is being developed to consider this
complex case.
References
[1] Blondaz, L., Brissaud, D. and Dornfeld, D. “Design for Manufacturing: Avoiding Machining Burrs in
Embodiment Design,” Proc. of Integrated Design and Manufacturing in Mechanical Engineering Conference,
Compiegne, France, 1998
[2] Hassamontr, J., Blondaz, L. and Dornfeld, D. “Avoiding Exit Burr in CNC End Milling by an Adapted Tool
Path,” Symposium on Concurrent Design of Product and Manufacturing Processes ASME, Anaheim,
California, 1998, to appear.
[3] Spatial Technology Inc., ACIS Geometric Modeler Application Guide, 1995, Colorado, U.S.A.

A A A
Exit Burr
C2 T C2 T T
de
Ct Ct Ct

B B
C1 C1 C3 C1
C3 C3

1. Locate tangent cutter location 2. Tool Approach Boundary: AB 3. Find tool escape direction

C’’ C’’
A
A
C’
C2 T
T
C’ C2 C’ C2 p
Ct
Ct Ct

B
C1 B
C3 C1 C1
C3 C3
4. Generate a safe cutter location 5. Go past the second edge 5. Link to the original tool path

Figure 1 Procedures of avoiding tool exits

work part

Figure 2 Preliminary result of the algorithm

26
Machine Learning Applied to Burr Type Prediction
Chih-Hsing Chu
Sponsored by: CODEF

Abstract  Experimental studies show that burr formation is a highly complex process
depending on a number of parameters such as material properties, tool geometry, the depth of cut,
cutting speed, feed rate and exit angle. Not only affect these factors on burr type as well as burr
size, but they also influence each other. This work utilized machine learning techniques for burr
type prediction. With the capability of feature weighting, those approaches may be able to enhance
the understanding and predictability of burr formation. They also provide a feasible approach to
querying in database systems consisting of experimental data in an accumulated way.

Introduction
The improvement of manufacturing processes, to large extent, relies on experiences, which are usually
acquired by conducting experiments. The experimental data is often complied in the form of handbooks or data base
systems, and simply utilized as look-up tables. Little work has been done for extracting information behind those
data. Rudimentary statistical methods are often applied in the data analysis such as linear regression, linear
interpolation and extrapolation, which require explicit expressions of the process to be modeled. In the case of
complex processes such as burr formation, it is extremely difficult to describe the burr size or burr type in explicit
forms of all depending factors. A sophisticated method, the Burr Control Chart, has been developed [1] to predict
the transition from the primary burr to the second burr. Although this approach did provide a feasible method for
burr data analysis, it needs trial and error to formulate explicit models. Besides, the acquirement of new data will
result in the modification of the chart. To overcome these deficiencies, this study introduces machine learning
methods [2] for experimental data analysis of burr formation. Three algorithms, RELIEF [3], AASM [4] and a
heuristic search method, were applied to artificial data randomly generated from an empirical model. Learning
curves which indicates the learning rate and the prediction performance were obtained to demonstrate the feasibility
of the methods.

Lazy Learning with Feature Weighting


Lazy learning methods are conceptually straightforward approaches to approximating real-valued or discrete-
valued target functions. Learning in these methods consists of simply storing the training data. When a new query
instance is encountered, a set of similar related instances are retrieved from database and used to classify the new
query instance. Feature (or attribute) weights are used to denote the relevance of features in similarity computation
between a existent training data and a query data, allowing similarity functions to emphasize features according to
their relevance. The feature weighting enhances the prediction performance in the existence of noisy data.

Experimental Procedures
The empirical model in the previous work [5] is treated as a known target concept, and test training data are
randomly generated from this model. There are six machining parameters affecting the burr formation, which
become six different features (or features) in later learning process. Table 1 lists these features and their units. Note
that the numeric range of a feature will strongly bias the similarity function in the lazy learning algorithms.
Therefore, all the numeric values have been normalized within [0, 1]. The target function, the burr type, contains
three distinct classes: secondary burr (C1), wavy burr (C2) and primary burr (C1). The target value of each data
point is determined according to the rules stated in [6]. Data points are randomly generated. For each algorithm,
prediction accuracy is calculated every 10 data points, from 10 to 1000; then a learning curve is thus obtained.
Irrelevant features (I1, I2 and I3) are deliberately added into the training data to test the robustness of the methods.
Training data set with one, two and three irrelevant features are sequentially generated and tested.

Results
The learning curves of the lazy learning algorithms are illustrated in Figure 1. The heuristic search method has
the best performance, but at the same time it is the most computation expensive. All the learning curves go
asymptotically after 500 data. Since the training data size is not large enough, over-fitting is not seen in the
experiment. The prediction results with irrelevant features are shown in Table 2. The generalization capability of the
algorithms deteriorates, but the heuristic search method still has the best performance in the existence of irrelevant
features. In addition, the feature weights generated from RELIEF algorithm and the heuristic method are listed in

27
Table 3. Notice that the three irrelevant features were assigned smaller weights, and F5 receives the largest weight.
This result lends a support to the conclusion that the exit angle is the most dominating factor in burr formation
process.

Conclusions and Future Work


Three lazy learning algorithms have been implemented and tested on the burr formation problem, in which the
milling burr is classified into three categories with artificial training data generated from an empirical model. The
robustness of the methods is also tested. The experimental results demonstrate the feasibility of the application of
machining learning techniques to the engineering database which is established in an accumulated fashion and with
noise data. Future work is to test the algorithms on real experimental data set.

References
[1] Park, I., “Modeling of Burr Formation Process in Metal Cutting,” Ph.D. Dissertation, University of California
at Berkeley, CA, 1996.
[2] Mitchell, T., Machining Learning, McGraw-Hill, 1997.
[3] Kononenko, I. “Estimating attributes: analysis and extensions of RELIEF,” Proceedings of the 1994
European Conference on Machine Learning, pp 171-182, Catania, Italy, 1994.
[4] Ricci, F. and Avesani, P., “Learning a local similarity metric for case-based reasoning,” Proceedings of the
First ICCBR Conference, pp 301-312, Sesimbra, Portugal, 1995.
[5] Trommer, G., “Study on Burr Formation in Face Milling with an Extreme Positive Axial Rake Angle,” M.S.
Report, University of California at Berkeley, CA, 1997.

1
0.9
0.8
Table 1 Features in burr type prediction
prediction accuracy

0.7
FEATURE UNITS
F1 (feedrate) mm/revolution 0.6
F2 (cutting speed) mm/sec 0.5
F3 (depth of cut) mm
F4 (tool diameter) mm 0.4
F5 (exit angle) degree RELIEF 0.82593
0.3
F6 (insert # of cutting edges) dimensionless AASM 0.78382
0.2
0.1 Heuristic Search 0.86301

0 10 200 300 400 500 600 700 800 900 1000


training data size
Figure 1 Learning curves of feature-weighting algorithms

Table 2 Learning performance in the existence of noisy data


Algorithm Noise free 1-irrelevant 2-irrelevant 3-irrelevant
RELIEF 0.779 0.780 0.743 0.732
AASM 0.768 0.750 0.721 0.713
Heuristic Search 0.844 0.826 0.794 0.816

Table 3 Feature weights in burr type prediction


Algorithm F1 F2 F3 F4 F5 F6 I1 I2 I3
RELIEF 0.0816 0.0789 0.0784 0.0928 0.1695 0.1327 0.0714 0.0691 0.0732
Heuristic Search 0.6 0.4 0.6 0.5 0.8 0.2 0.3 0.2 0.2

28
Control Chart of Drilling Exit Burr in Stainless Steel
Jinsoo Kim
Sponsored By: CODEF/LMA

Abstract—A control chart for prediction of burr type and size in drilling of stainless steel by split
point twist drills was developed. One of two parameters used for the chart was found by the
concept of similarity. The other one is the indicator of the cutting speed of the process. It is shown
that the chart can predict burr type and size with feed rate and spindle speed even if the drill
diameter changes. This approach can be extended to develop universal drilling burr control chart
that includes the influences of other parameters such as tool geometry and material properties.
Objectives
The objective of this study is to develop a control chart that predicts the type and size of the drilling exit burr
in stainless steel. Many researcher have carried out various studies to investigate the formation of drilling exit burr
however, no general formula or chart to explain the burr formation is developed yet mainly because of the
complicity of the burr formation mechanism. Although the chart developed in this study can be used for only a
specific drill type and material, this concept will be extended to develop more general control chart.
Background
Prediction and controlling of the burr types and size are required to make the drilling and deburring process
more efficient. In many cases, controlling burr types is easier and more important than controlling burr size directly
because the burr size is greatly dependent on the burr types. Figure 1 shows two typical burr shapes formed in
moderately ductile material like stainless steel.
Figure 2. shows the difference in the burr formation mechanism between the uniform burr and the crown burr.
As the drill approaches the exit surface, the material under the chisel edge begins to deform. The distance from the
exit surface to the point where the deformation starts depends on the thrust force of the drill. As the drill advances,
the plastic deformation zone expands from the center to the edge of the drill. At the final steps, the remaining
material is bent and pushed out to form a uniform burr with a drill cap (A). A larger thrust force induces plastic
deformation earlier in the process, making the thicker material layer ahead of the drill undergo plastic deformation,
inducing a larger maximum stress on the exit surface. As a result, initial rupture will occur at the center. The
remaining material is then bent and pushed out without being cut to form a relatively large burr (B).
In this study, burrs are categorized as optimized uniform burr(Type I) whose height is under 5% of the drill
diameter, uniform burr(Type II) whose height from 5% to 15% of the drill diameter and crown burr(Type III) height
of over 45%. No burr were seen between 15 and 45%. Although Type I and Type II have the same mechanism of
formation, Type I has smaller height that is preferable in most cases.
Parameter Development
Since the drill geometry and the material were fixed, the important parameters to be considered are the
process condition and the drill diameter. Feed rate and spindle speed are two control parameters in drilling process.
Concept of similarity was proposed to include drill diameter in parameters of the chart. The ratio of feed rate, f
(m/min) to the reference feed rate, d (mm/min) was proposed. The other parameter used for the chart is the indicator
of the cutting speed, the inverse of the multiplication of the drill diameter and spindle speed (rpm). A constant was
multiplied to make the order of the two parameters same.
Non-dimensional feed: f = f / d Cutting speed parameter: s = 10 / dN
5

Chart Development
Figure 3 shows the burr control chart developed thus far in this study. The drill used for the experiment were
135o spilt point twist drill, HSS, 32.5o helix angle. Two different diameters of 2 mm and 4 mm were used. The
material used was stainless steel 304L of 6.5 mm thickness. Water-based coolant was used.
The boundary lines are represented as follows.
f  10 
5
f = α ⋅ s−n α= ⋅  
Conclusions and Future Work d d⋅N
The chart under development in this study can be used for the prediction of burr type and size in the drilling of
stainless steel. And this concept will be able to be extended to develop a more general purpose drilling burr control
chart that includes the influences of other parameter such as tool geometry and material properties.
To make the chart more reliable and useful we need to study as well:
• Experiments with different drill diameters are needed to locate boundary lines.
• A statistical approach is desirable for analyzing the transition regions because it is most likely that the
transition regions will have mixed distribution of neighboring burr types.
• It seems feasible to create a 3-dimentional control chart with additional parameters.

29
References
1. Stein, J.M. "Burr Formation in Precision Drilling of Stainless Steel,” Ph.D. Dissertation, The University of
California at Berkeley, 1995
2. Sofronas, A. "The Formation of Control of Drilling Burr, ” Ph.D. Dissertation, The University of Detroit, 1975.

(A) Uniform Burr (B) Crown Burr


Figure 1. Uniform burr and Crown burr [J. Stein, 1995]
f

L
h
(1) (2) (3) (4) (5)
F
(A)

(1) (2) (3) (4) (5)

(B)

Figure 2. Burr formation mechanism


(A): Uniform burr (B): Crown burr

Figure 3. Drilling burr control chart

30
Geometric Characteristics of Drilling of Intersecting Holes

Jinsoo Kim
Sponsored By: CODEF/LMA

Abstract—The analytical expressions for the exit angle and the gradient of the exit angle in
intersecting hole drilling were developed. The exit angle and the gradient of the exit angle are a
function of the ratio of drill diameter and the eccentricity to the diameter of the through hole, and
known to be important parameters. The analytic expressions will provide the information on the
geometry in intersecting hole drilling and its effects on the drilling burr formation

Background
Intersecting drilled holes are frequent in the production of engine blocks and valves, where relatively small
holes are drilled to intersect a larger through hole. In some cases, two holes of same diameter intersect to supply
lubricant to mating surfaces such as crank shaft and connecting rod of auto engines. The geometric difference in
intersecting drilling holes from planar drilling is the variation of the exit angle. Exit angle is defined as the angle
between the axis of the drill and surface of the workpiece. This exit angle and the gradient of the exit angle
depending on the angular positions around the drill are known to dramatically influence the formation of the drilling
exit burr[J. Stein, 1995, 1996]. Analytic expressions of the exit angle and the gradient of the exit angle are required
to investigate their influences in the formation of drilling exit burr.

Geometry of Intersecting Holes


Figure 1. shows the exit angle and other parameters. Depending on the applications, drill diameter can be
smaller or equal to that of through hole, and the offset between two axis can be zero or not. The inclination angle
also can have some value or zero. Drilling exit burrs are formed on the surface of the through hole.

The Exit Angle and the Gradient


The expression for the exit angle can be found with the relation between two coordinate systems of Figure 1 as
shown in (1).
X = cosθ ⋅ x + sinθ ⋅ z
Y=y (1)

Z = cosθ ⋅ z − sinθ ⋅ x
And the exit angle can be found as follows.
π sin ω ⋅ (r ′ sin ω − a ′ ) r a
φ= − tan −1 ( − + cos ω ⋅ tan θ ) where r ′ = , a ′ = (2)
2 cosθ 1 − (r ′ sin ω − a ′ ) 2 R R
Differentiation of (2) with respect to ω gives the expression of exit angle gradient.
Figure (2) shows the example of the exit angle and exit angle gradient for some cases.
Future Work
This work shows only the expressions for the exit angle and gradient of the exit angle, and will be used for
further studies about the burr formation in the drilling of intersecting holes. Some experimental work is needed to
get data to build analytic or empirical knowledge about the burr formation of the intersecting hole. This work should
focus on the investigation of the effects of varying exit angle and the gradient of the exit angle.

References
1. Stein, J.M. "Burr Formation in Precision Drilling of Stainless Steel,” Ph.D. Dissertation, The University of
California at Berkeley, 1995.
2. Stein J., Dornfeld D., “Influence of Workpiece Exit Angle on Burr Formation in Drilling Intersecting Holes,”
Trans. North American Manufacturing Research Institute, SME, vol. 24, 1996, pp39-43.

31
Z r
z
θ Y, y
X ω
φ

X R
x

r: Radius of intersecting hole R: radius of through hole


a: Offset distance θ: Inclination angle φ: Exit angle
Figure 1. Geometry of the intersecting holes

180

150
Exit Angle (Degree)

120

90

60

30

0
0 30 60 90 120 150 180 210 240 270 300 330 360
Angular Position
Angular (Degree)(Degrees)
Position,ω

1.0

0.5
Exit Angle Gradient

0.0

-0.5
-1.0

-1.5

-2.0

-2.5
0 30 60 90 120 150 180 210 240 270 300 330 360
Angular Position
Angular (Degree)(Degrees)
Position,ω ,ω

r ′ = 0.2, a ' = 0.5,θ = 30 o


r ′ = 0.5, a ′ = 0.5,θ = 30 o

Figure 2. Exit angle and Exit angle Gradient

32
Preliminary Experiment of Drilling Burr Formation in Titanium Alloy

Jinsoo Kim
Sponsored By: CODEF/LMA

Abstract— A preliminary experiment to investigate drilling burr formation on titanium Ti-6Al-


4V was carried out. Two different types of carbide drill were used to observe effects of variation
of feed rate and cutting speed on drilling burr formation. No coolant was used. Quantitative
measurement of height and thickness of burrs did not yield much useful information because
relatively uniform and small burrs were formed under all conditions. However, we could
categorize the burrs as several groups based on their shape, which is more important in studying
the burr formation mechanism and deburring issue. Heat generation due to friction between the
drill and workpiece during drilling was believed to be the main influence that decides the final
burr shapes.

Introduction
Ti-6Al-4V is most widely used Titanium alloy in aircraft industry because of its high specific strength. The
chemical composition of the material is shown in the Table 1.
Al V N C H Fe O
6.0 4.0 0.05 0.1 0.01 0.3 0.2
Table 1. Chemical composition of Ti-6Al-4V (%)
The machinability of the material is poor because of high hardness and strength. Very few publications are
found in the drilling and drilling burr formation of the material. This experiment was a preliminary study to
investigate the drilling burr formation of the material.

Experiment
Two steps of cutting speed and three steps of feed rate recommended by UTRC were selected. Table 2 shows
the combination of the cutting conditions used for the experiment.
Feed rate \ Cutting speed S1 (120 sfm) S2 (140 sfm)
F1 (0.001 in/rev) F1S1(47:1834) F1S2(54:2139)
F2 (0.002 in/rev) F2S1(93:1834) F2S2(109:2139)
F3 (0.003 in/rev) F3S1(140:1834) F2S3(163:2139)
Table 2. Cutting conditions (feed(mm/min)/spindle speed(rpm))
Two flute and Three flute carbide drills were used and the results were compared.

Result and Discussion


All conditions used in this study produced a uniform burr with drill cap. However, the burrs can be
categorized as shown in Figure 1. Figure 2 shows the photos of the burrs that correspond to each types. Typical burr
shapes formed in most cutting condition of the experiment were rolled-back burr and the level of rolling back
seemed to be proportional to feed rate and spindle speed. Crown burr or petal burr that are formed in high feed rate
of drilling in steel were never formed in this experiment. Thermal effect caused by the friction heat is believed to
have influenced the types of burrs because of low thermal conductivity of the material and no usage of coolant.

Future Works
• Investigation of thermal effects: Usage of coolant and comparing results will provide information about the
thermal effects. It is expected that coolant will prevent the formation of severely rolled back burr.
• Drill geometry effects: No big differences were seen between the two types of drill used for the experiment
although they have quite different geometry. It is necessary to carry out experiments with wider ranges of
cutting conditions to verify the tool geometry effects.

References
1. Ross, Robert B., "Metallic Materials Specification Handbook,” E.&F.N. Spon, 1980.
2. ESDU International Ltd., “Metallic Material Data Handbooks,” 1981.
3. Communications with Dr. Chen, L. J. and Ms. Al-Wardany, T. of United Technology Research Center.

33
Burr

Exit Surface

(a) Uniform (b) Uniform (c) Rolled-back (d) Rolled-back


leaned-back Widened exit
Small height
Figure 1. Types of drilling burr on Titanium

(a) Type I (3F1S1) (b) Type II (3F1S2)

(c) Type III (2F3S1) (d) Type IV (2F2S2)

Figure 2. SEM photos representing each types of drilling burr on Titanium alloy
(Cutting conditions)

34
Exit Burr Size and Shape in Backplate Assisted Drilling of Ti-6Al-4V

John Hewson
In Association with Escuela de Ingenieros de Bilbao: Spain
Sponsored by: CODEF

Abstract : The cutting parameters and tools used currently in drilling operations on Ti-64, a
popular titanium alloy used in performance driven industries, have yet to be optimized to allow for
high precision and productivity. A common costly manufacturing error in drilling Ti-64 is an exit
burr. The purpose of this analysis is to identify the relationship between exit burrs, cutting fluid,
supporting backplate material, and tool geometry allowing a further understanding of the
formation modes of burrs between layered materials.

Description of Experiment
The cutting conditions and drill used for this investigation were specified by Escuela de Ingenirios de Bilbao.

Table 1 : Experimental Conditions

Cutting Speed 197 SFM


Chipload 0.004 in/rev
Drill Diameter 8 mm (0.315 inches)
Drill Point Angle 140 degrees
Drill Helix Angle 37 degrees
Workpiece Thickness ¼ inch
Backplate Methyl Methacrylate

Cimtech 400 MSL was used as the cutting fluid at 7.5 % in water and was applied through the drill, 2 flute TiN
coated carbide, at a pressure of 870 psi (60 bar). The coupon allowed for 90 holes to be drilled, and all the holes
were drilled with the same tool and cutting conditions.

Burr Shape
Figure 1 describes the formation of an exit burr in layered materials. As the drill approaches the layer between
the workpiece and the backplate, the workpiece deflects into the backplate due to the thrust force created by the drill
(frame 2). Once this deflection is initiated, it remains as the drill (frame 3) cuts more of the workpiece material.
When the drill exits the workpiece, the remaining material deflects into the backplate material creating an exit burr
(frame 4).

1 2 3 4

Figure 1 : Exit Burr Formation

The exit burr shapes for the 90 holes fell into two categories: uniform and uniform with burrless regions, Figure 2.
The uniform burrs matched the shape of the uniform burrs identified by Kim [1] and accounted for 72 of the 90
holes. Uniform burrs, which also exhibited burrless regions around portions of the exit surface, accounted for 18 of
the 90 holes. Note: SEM photos of the burrs from this study are pending.

35
Inside Hole

Hole
Edge

Figure 2 : Exit Burr Shapes (Burrless and Uniform)

Burr Size and Hole Surface Roughness


Burr size and surface roughness measurements were taken at four locations around each hole (0, 90, 180, 270
degrees) and averaged to create a single measurement. Burr height and thickness measurements can be seen in
Figures 3 and 4. The average burr height for all 90 holes was 43.5 microns with a standard deviation of 11.3
microns. The average burr thickness was 38.6 microns with a standard deviation of 7.65 microns. The plotted data
does not show any trends which would account for tool wear during the experiment. Surface roughness
measurements were taken with a profilometer revealing an average Ra of 25.3 microinches with a standard deviation
of 3.4 microinches, see Figure 5.

Conclusions
The effects of a backplate and cutting fluid in this experiment are evident especially at such a high cutting
speed and chipload. Uniform burr shapes would not have been formed at these cutting conditions without the
backplate and fluid. And burrless regions are the direct result of the support provided to the workpiece by the
backplate. Exit burr sizes and surface roughness values are found to be significantly lower than those found in
Kim’s experiment, which was done without cutting fluid or a backplate. To fully understand the trends in this data
and to further conclude on the formation modes of the exit burrs, microhardness testing is to be conducted on the
exit burrs to support heat generation theories.

References
[1] Kim, J., Hewson, J., and Dornfeld, D.A. (1998) “Preliminary Experiment of Drilling Burr Formation on
Titanium Alloy,” Technical Paper.
75.00
65.00
55.00
45.00
35.00
25.00
15.00
0 20 40 60 80
Figure 3 : Burr Height vs. Hole Number
70.00
60.00
50.00
40.00
30.00
20.00
0 20 40 60 80

Figure 4 : Burr Thickness vs. Hole Number

Figure 5 : Surface Roughness vs. Hole Number

36
Surface Roughness (Ra) Analysis in Dry Drilling of Ti-6Al-4V

John Hewson
Sponsored by: CODEF

Abstract : Ti-6Al-4V (Ti-64) has become the focus of many drill performance understanding
experiments with regards to exit burr size and shape. Hole quality studies such as surface
roughness, linearity, hole diameter, and roundness have traditionally been used to confirm
formation modes and add additional parameters to drill performance ratings. The purpose of this
analysis is to identify the relationship between hole surface roughness, cutting conditions, and tool
geometry for a previous burr formation investigation in the drilling of Ti-64.

Description of Experiment
A preliminary experiment into exit burr formation in the drilling of Ti-64 was conducted without cutting fluid
to understand the formation modes of burrs. Two different drill geometries were used : 2 flute, 118 point angle and
3 flute, 140 point angle. Both drills had 25 degree helix angles. The cutting speeds used were 120 SFM (S1) and
140 SFM (S2). The chiploads used were 0.001, 0.002, and 0.003 in/rev. The burr shapes in the previous experiment
were theorized to depend upon the high temperatures incurred during the drilling operations, and surface roughness
measurements were taken in order to help confirm this theory. Surface roughness samples were taken at four
locations around each hole (0, 90, 180, 270 degrees) and averaged to create a single measurement. The
measurements were taken with a profilometer, and the Ra values are reported in microinches. The surface
roughness measurements for each cutting condition and tool geometry are shown in Figure 1a. Figure 1b shows the
same surface roughness data, but instead of the chipload as the x axis, the feedrate per tooth is shown.

For comparison, measurements made by Hewson [2] revealed a lower Ra for a higher cutting speed and feed in Ti-
64. In his study, cutting fluid was used reducing the average surface roughness for the holes drilled to 25.3
microinches. The surface roughness measurements from his study are shown in Figure 2.

110 110
100 100
90 90
3 Flute S1
80 80
3 Flute S2
70 2 Flute S1 70
60 2 Flute S2 60
50 50
40 40
0.001 0.002 0.003 0 0.01 0.02 0.03 0.04 0.05 0.06

Figure 1a : Surface Roughness vs. Chipload Figure 1b : Surface Roughness vs. Feedrate per Tooth

4 5
4 0
3 5
3 0
2 5
2 0
1 5
0 2 0 4 0 6 0 8 0

Figure 2 : Surface Roughness vs. Hole Number (197 SFM : 0.004 in/rev)

37
Conclusions
As seen in Figure 1a for the 2 flute drill, surface roughness decreases as cutting speed decreases and decreases
as the chipload decreases. But for the 3 flute drill, surface roughness decreases as cutting speed decreases and
increases as chipload increases, as the chipload becomes greater than 0.002 in/rev. Due to the difference in the data
trends for the 2 flute drill and the 3 flute drill, the surface roughness data was plotted against the feedrate per tooth.
Plotting against the feedrate per tooth was expected to clarify the differences between the 2 and 3 fluted drills. In
Figure 1b the data has moved, but the same trends exist. No point at which surface roughness becomes better/worse
for both drills is isolated.

It was observed during drilling that the 2 fluted drill generated more heat than the 3 flute drill. One theorized
source for this excess heat is from the margins rubbing the sides of the hole. This would produce some polishing
and would explain the lower surface roughness values. To fully understand the trends in this data and to further
conclude on the formation modes of the exit burrs, studies that quantify hole linearity, entrance and exit hole
diameters will be conducted. In addition to those tests, microhardness testing is to be conducted on the exit burrs to
support heat generation theories.

References
[1] Kim, J., Hewson, J., and Dornfeld, D.A. (1998) “Preliminary Experiment of Drilling Burr Formation on
Titanium Alloy,” Technical Paper.
[2] Hewson, J., and Dornfeld, D.A. (1998) “Exit Burr Size and Shape in Backplate Assisted Drilling of Ti-6Al-
4V,” Technical Paper

38
Finite Element Modeling of Burr Formation in 2-D Orthogonal cutting with a Backup
Material

Sangkee Min
Sponsored By: CODEF

Abstract—The Objective of this study is to investigate the effect of backup material in burr
formation by FEM (finite element method). The basis of a 2-D finite element model to simulate
drilling burr formation process is presented.

Introduction
Burr formation on a cutting edge is the one of the serious problems in precision manufacturing and mass
production. Burr degrades the precision of products and causes additional cost for deburring. A lot of research on the
burr formation mechanism has been done experimentally and several attempts have been made analytically.
However, it is quite difficult to obtain a closed form analytical solution regarding cutting processes. Furthermore,
closed form analytical solutions for general elastic-plastic problems with large deformation are very difficult to
derive in general. In the last two decades, a great deal of research has gone into the establishment of FEM
techniques for orthogonal cutting. Park [1] established burr formation mechanism in 2-D orthogonal cutting.
The most effective way to minimize the burr size would be to put a backup material behind the edge of the
workpiece. Gillespie [2] conducted experiments to examine the backup material influence for the purpose of burr
minimization in drilling. Based on Gillespie’s experiments, Park conducted preliminary FEM simulation on the
effect of backup material and concluded that the burr can be effectively minimized by backup material. However,
Park used a backup material whose material properties are twice as stiff (Young’s modulus) and twice as strong
(yielding and ultimate strength) as those of the workpiece material in his simulation. This is not done in practice
because it produces a shock at the tool tip and reduces tool life. Additional studies using different backup material
properties are being carried out at the LMA in order to get a better estimation and understanding of the effect of
backup material in burr formation.

Finite Element Modeling


Based on the Park’s FEM simulation, a 2-D finite element model, shown in Figure 1, is developed to
investigate the effect of backup material on burr formation in 2-D orthogonal cutting process. Backup materials with
various thickness are placed at the end surface of the workpiece with condition of perfect contact between the two
materials. According to Park’s research, with the 10 mm thick backup material, the deflection of the workpiece
causes local deformation of the backup material at point P, Figure 2, and the backup material significantly reduces
the amount of deflection at the workpiece edge. As a result, continuous chip formation continues until the tool
toward the very end of the workpiece, and fracture takes place at the last moment. Consequently, the burr can be
effectively minimized. However, the deflection at the workpiece edge creates a small gap between the two materials.
Therefore, depending on the size of the gap, a small burr, a remnant from the fracture, would be expected since the
size of the gap is an indirect measure of the amount of deflection or roll-over at the workpiece edge.
With the 5 mm thick backup material, instead of local deformation, the whole backup material exhibits
bending characteristics. Around the fixed boundary Q-R, the normal stress contour in y-direction in Figure 3, shows
that the tensile and compressive stress develop at point Q and R, respectively. The bending of the backup material
results in a large gap between the two materials compared with the 10 mm thick backup material prior to the end of
cut. In this case, the burr size can also be effectively minimized although a relatively large remnant, compared to the
10 mm backup material case, would be expected to be left at the edge. As a result, it would be desirable to have
backup materials thick enough to cause only local deformation near the edge of the workpiece by avoiding the
bending of the backup material.
The final simulation examines the case when the 5 mm backup material contacted with the workpiece up to the
pre-defined machined surface. A similar case has been experimentally carried out by Gillespie to minimize the size
of a drilling burr. Although orthogonal cutting is quite different from drilling, the characteristics of the roll-over
process in orthogonal cutting would be similar to those seen in drilling. Initially, the deflection of the edge above the
pre-defined machined surface takes place instead of forming a gap between the two materials. As the tool further
approached the edge, the burr size is effectively minimized by a Mode II shearing type of fracture as shown in
Figure 4, which quite resembles the mechanism in the case of a 60 degree exit angle. As a result, this effectively

39
reduces the size in any resulting burr and would be also the mechanism behind minimizing the size of a drilling burr
in the Gillespie’s experiment.

Future Work
The further research on the effect of backup material in 2-D cutting process will be done using softer or the
same material for backup to simulate a more realistic process and investigate the burr formation mechanism under
the existence of backup material.

References
[1] Park, I.W., “Modeling of Burr Formation Processes in Metal Cutting”, Ph.D. Dissertation, University of
California, Berkeley, Department of Mechanical Engineering, May, 1996
[2] Gillespie, L.K.,”Burrs Produced by Drilling”, Bendix Corporation, Unclassified Topical Report, BDX-613-
1248, 1975

tool
Backup material

workpiece

Figure 1. Finite Element Model of Backup Material Figure 2. Equivalent Stress Contour with 10
mm
Backup Material

R Q

Figure 3. Equivalent Stress Contour with 5 mm Figure 4. Equivalent Stress Contour with 5 mm
Backup Material Backup Partially Supporting Workpiece

40
Finite Element Modeling of Burr Formation in Drilling based on 3-D Oblique Cutting
Mechanism and CAD/FEA Integration for Drill Geometry Mesh Generation

Sangkee Min
Sponsored By: CODEF

Abstract— The finite element model of burr formation in 3-D oblique cutting will be developed
to understand 3-D drilling burr formation process. Based on the result of the oblique cutting
simulation, the 3-D finite element model of drilling burr formation process will be developed. An
integrated CAD/FEA system for drill design and drilling burr formation process will be
developed.

Background and Prior Research


Due to the difficulties of analytical approach, extensive experiments have been done by several researchers.
Gillespie [1] identified three stages of burr formation and Stein [2] classified burr geometry and identified burr
influential factors for burr formation statistically. Kim [3] developed a control chart for prediction of burr type and
size in drilling of stainless steel by split point twist drills. The further experiments to generate data on several
aspects of hole quality will be done by Dechow [4]. However, understanding the drilling process mechanism by
experiments has a limited insight.
A simplified analytical model was proposed by Sofronas [5] and Lee [6] employed various feed control
schemes to minimize burr size using the thrust force based on the Sofronas’ model. Both models cannot predict the
burr geometry because a closed form analytical solution for drilling burr formation is extremely difficult to derive. A
finite element model of drilling burr formation process, Figure 1 (a), is developed by Guo [7]. The nonlinear thermo-
elastic-plastic model accounts for dynamic effects, strain hardening, strain rate, automatic mesh contact with
friction, material ductile failure and temperature-mechanical coupling simultaneously. Guo’s finite element
simulation gave an insightful description of drilling burr formation. He divided drilling formation mechanism into
four stages: initiation, development, pivoting point and formation stages, Figure 1. Local fully plastic deformation
initiates a burr at the edge of the workpiece with the initial plastic hinge formation within the workpiece. The
development stage is characterized by smooth transition from cutting to plowing along drill lips due to the
combination of shearing and bending. The pivoting point stage represents the formation of a stationary plastic hinge
in the radial direction. The burr thickness is largely determined by the distance between the pre-defined machined
surface and the pivoting point. Finally, the burr formation stage represents the cap formation and removal, and the
subsequent material roll-over process which continues to form the final burr. Cap formation and removal greatly
affect burr size and shape. The burr height is determined by the positions of the pivoting point and the cap
formation. The FEM simulation demonstrates the dominant roles of negative shearing and bending mechanisms in
the drilling burr formation process.
Although the results of numerical simulation codes have been shown to be useful, such codes are not being
used today in a manner that could have maximum potential impact on the drilling process due to the high cost of
preparation for the process simulation by finite element analysis (FEA). With strong demand in industry for burrless
hole making, it is desired to integrate FEA models with drill CAD to evaluate drill performance in the drilling
process and fully utilize the benefits of this numerical tool in concurrent engineering. The complexity and various
geometry parameters of a drill need a time consuming work to model and modify it. Hence, a mathematical model of
a twist drill point geometry was proposed by Tsai and Wu [1979] and integrated CAD/FEA system for drill design
and drilling burr formation process was proposed by Guo [ 8], Figure 2.

Future Work
During drilling process, two major material removal processes, cutting and plowing, are combined. These can
be simulated by FEM more realistically in 3-D planar oblique cutting. This analysis will give an explanation of a
basic material removal mechanism and incorporated into a drilling finite element model. In order to investigate
plowing mechanism in the finite element simulation of a drilling burr formation, a finite element model for 3-D
planar oblique cutting will be developed. The result of this simulation will be incorporated into 3-D drilling finite
element model based on the Guo’s model.
In the finite element analysis of machining process, the main difficulty is the lack of reliable criterion for
ductile fracture in large plastic flow [9]. In the case of cap formation during the burr formation process, Guo’s model
cannot describe the burr formation processes without cap formation of certain materials due to this inaccurate chip

41
separation criterion. Hence, a robust chip separation criterion for both ductile and brittle materials to simulate chip
formation in the drilling process will be developed.
Although Guo’s integrated CAD/FEA model expedite preparation of finite element input file, it is limited to a
split point drill, and the drill CAD program is separated from FEM input file module. Various drills of importance of
the industrial collaborations will be modeled parametrically with a commercial CAD program and be incorporated
into a finite element input file automatically with workpiece geometry and material, cutting conditions, and process
data.

References
[1] Gillespie, L.K., “Burrs Produced by Drilling”, Bendix Corporation, Unclassified Topical Report BDX-613-
1248, December 1975.
[2] Stein, J.L., “Burr Formation in Precision Drilling of Stainless Steel”, Ph.D. Dissertation, UC Berkeley, April
1989.
[3] Kim, J., “Control Chart of Drilling Exit Burr in Stainless Steel”, LMA Report, 1998.
[4] Dechow, H., “Influence of Tool Geometry on Hole Quality when Drilling Ti-6Al-4V”, LMA Report, 1998.
[5] Sofronas, A., “The Formation and Control of Drilling Burrs”, Ph.D. Dissertation, The University of Detroit,
1975.
[6] Lee, G.B., “Digital Control for Burr minimization in Drilling”, Ph.D. Dissertation, UC Berkeley, April 1989.
[7] Guo, Y., “Finite Element Modeling of Drilling Burr Formation Process”, M.E. Dissertation, UC Berkeley,
1997.
[8] Guo, Y. and Dornfeld, D.A., “Integration of CAD of Drill with FEA of Drilling Burr Formation”, Transactions
of NAMRI/SME, vol.26, 1998, pp. 201-206.
[9] Huang, J.M., Black, J.T., “An Evaluation of Chip Separation Criteria for the FEM Simulation of Machining”,
Trans. ASME, J. of Manufacturing Science and Engineering, vol. 118, pp. 545-554, 1996.

(a) Finite element model (b) Initiation (c) Development

(d) Pivoting (e) Cap formation (f) Final burr


Figure 1. Finite element simulation of burr formation in drilling machining

(a) Drill CAD program flow chart (b) Finite element mesh (c) The framework of integrating
drilling of drill burr analysis and drill CAD system
Figure 2. Automatic mesh generation for drill geometry and CAD/FEA integration
42
Influence of tool geometry on hole quality when drilling Ti-6Al-4V

Henning Dechow
Sponsored by: CODEF and MAPAL Dr. Kress KG, Germany

Abstract-- This project is designed to generate data on several aspects of hole quality when
drilling Ti-6Al-4V under various machining conditions. The objective is to gain insight in the
effects of the drill geometry and the cutting condition on the capability of the drilling process of
producing holes with a certain quality.

Introduction
Titanium is more and more often utilized in critical services in a wide variety of chemical, industrial,
marine and aerospace applications due to its unique set of properties (corrosion and erosion resistance, heat transfer
efficiency and superior strength-to-weight ratio). But the material causes severe problems during the machining
process. At high temperatures it becomes chemically active and tends to react with all tool materials available
today. This in combination with the specific chip formation mechanism of Titanium leads to a rapid tool wear
except at low cutting speeds.
A lot of research is under way to improve the machinability of Titanium alloys. Komanduri and Turkovich
[1,2] identified the mechanism of chip formation when machining Titanium alloys which they found to be
independent from the cutting speed. At the Faculty of Engineering of the Osaka Sangyo University in Japan [3,4]
researchers are investigating the drilling process of Ti-6Al-4V using different cutting strategies (vibratory drilling,
intermittently decelerated feed drilling, high pressure supply of coolant, etc.). However, a major breakthrough in
achieving an improved machining performance appears to require the development of new tool materials.
An important question after accepting the specific machining characteristics of Titanium alloys is how the
hole quality can be influenced by changing the drill geometry and the cutting conditions. Kim [5] from the
Laboratory of Manufacturing Automation at UCB conducted drilling experiments in Ti-6Al-4V using different tool
geometries and measuring the properties of the burr on the exit side of the workpiece. But he was not succesfull in
isolating the key factors that cause certain burr characteristics.

Aspects of Hole Quality


The quality of a hole can be quantified by measuring several hole characteristics. However, in the literature
the main aspects that determine ‘Hole Quality’ are being chosen depending on the application of the workpiece and
function of the hole in later use. Table 1 contains the different hole characteristics found in the literature that affect
the quality of a hole.

Design of Experiment and Future Work


The drilling experiments in this project will be conducted using different tool geometries and varying
cutting conditions. The expected variations in tool geometry and the different cutting conditions are both shown in
Table 2. They were chosen within the range of conditions recommended by the literature and tool manufacturers to
ensure succesfull drilling of this Titanium. The diameter of the drilling tools will remain constant at 10.0 mm and
the tool material will be uncoated High Speed Cobalt HSCO (see also table 3). Each experiment will be repeated
twice in order to minimize the influence of tool wear and increase the reliability of the results. After completing the
drilling experiments, the specimen will be examined regarding their hole quality which will be quantified by
measuring the hole characteristics 1-4 (see table 1). The analysis of the results will provide the necessary
information to isolate the key factors that influence the quality of the drilling process. Also, the data will be used to
establish a database capable of predicting the hole quality when drilling Ti-6Al-4V with a specific tool geometry and
given machining parameters.

References
[1] Komanduri, R., Von Turkovich, B. F., “New Observations on the Mechanism of Chip Formation when
Machining Titanium Alloys”, Wear, Vol. 69, pp. 179-188, 1981.

[2] Komanduri, R., “Some Clarifications on the Mechanics of Chip Formation when Machining Titanium
Alloys”, Wear, Vol. 76, pp. 15-34, 1982.

[3] Sakurai, K., Adachi, K., Ogawa, K., “Low Frequency Vibratory Drilling of Ti-6Al-4V Alloy”, Keikinzoku

43
(Journal of Japan Institute of Light Metals), Vol. 42, pp. 633-637, 1992.

[4] Arai, M., Ogawa, K., “Effects of High Pressure Supply of Coolant in Drilling of Titanium Alloy”,
Keikinzoku (Journal of Japan Institute of Light Metals), Vol. 47, pp. 139-144, 1997.

[5] Kim, J., Hewson, J., Dornfeld, D. A., “Preliminary Experiment of Drilling Burr Formation on Titanium
Alloy”, unpublished Research Report, University of California at Berkeley, Department of Mechanical
Engineering, 1998.

Aspects of Hole Quality


1. Burr Properties: • burr height 6. Surface Damage: • surface hardening (thermal damage)
• burr thickness • residual stresses (mechanical damage)
2. Surface Roughness 7. Hole Straightness
3. Diameter Deviation 8. Hole Angularity
4. Hole Circularity 9. Locational Error
5. Hole Taper

Table 1: Hole characteristics affecting its quality.

Varied Parameters
Tool Variations Process Variations
point angle 118° 130° 135° cutting speed 6 7 8 9 10
lip relief angle 10° 12° 14° [m/min]
helix angle 30° 35° feed rate [mm] 0.04 0.08 0.12 0.16 0.20
point style split point helical point cutting fluid off on

Table 2: Expected Variations in Tool Geometry and Cutting Conditions.

Fixed Parameters
Tool Diameter 10.0 mm
Tool Material High Speed Cobalt, HSCO
Workpiece Material Ti-6%Al-4%V
Type of Cutting Fluid Trim-Sol, Master Chemical Corporation
(Watersoluble Chemical Emulsion, 10%)

Table 3: Fixed Parameters.

44
Investigation on the improvements in hole quality when reaming Ti-6Al-4V

Henning Dechow
Sponsored by: CODEF and MAPAL Dr. Kress KG, Germany

Abstract-- The mechanical behavior (fatigue strength and stress corrosion resistance) of Ti-6%Al-
4%V is extremely dependant on the surface integrity after machining [1]. Thus, a promising
approach to upgrade the in-service performance of parts made of this Titanium alloy is the
application of reaming as a final process in holemaking operations because of the possible
improvements in hole quality. However, no research has been conducted and is reported in the
literature on the reaming of Titanium alloys and even the manufacturers of precision manufacturing
tools have very little experience in machining this type of material. The objective of this project is
to investigate the reaming process of Ti-6Al-4V and to quantify the improvements in hole quality
compared to regular twist drilling by measuring the following hole characteristics: surface rough-
ness, diameter deviation, hole circularity, hole taper and exit burr properties (burr height and
thickness).

Introduction
Reaming is a common machining process applied whenever it is necessary to produce holes with a high
quality relative to the results of regular drilling (improved surface roughness, straightness, circularity, preciseness of
the diameter, etc.). The process itself is similar to core drilling with a very small depth of cut: the main cutting
edges located at the chamfer of the tool cut the workpiece material while the minor cutting edges burnish the inner
side of the hole. However, very little research has been conducted on the quantification of the possible
improvements in hole quality when using a reamer as a finishing tool after predrilling. Gillespie [2] studied the
effects of reaming variables on the burr properties on the exit side of the workpiece (material: 303 Se Stainless Steel,
6061-T6 Aluminum and Brass). He concluded that the burr length and thickness are both controlled by the amount
of stock being removed during the reaming process and by the reamer geometry. Reamers with starting radii are
capable of reducing the burr size by 50 percent as opposed to regular chamfers. The feedrate has little effect on the
burr properties. Severt [3] also investigated the influence of the reaming process on the burr formation using
reamers with different radial rake angles (7°, 0°, -7°). His conclusion is that the burr characteristics mainly depend
on the radial rake angle of the reaming tool: burr height and thickness increase with a decreasing radial rake angle.
The cutting conditions (cutting speed and feedrate) have very little influence on the burr formation process.

Design of Experiment and Future Work


The reaming tools for this project use replaceable cutting blades as can be seen in figure 1. Thus, the
geometry and the cutting material of the reamer can easily be changed by clamping a new set of inserts in the
toolshaft. Variations concerning the geometry of the tool, the tool material and the cutting conditions (cutting
speed, feed rate, undersize of predrilled hole) will be carried out according to table 1. The diameter of the reamers
will be adjusted to 10.0 mm using a centering device equipped with a micrometer. Cutting fluid will be supplied
externally during each experiment (see also table 2). In order to increase the reliability of the results each
experiment will be repeated once.
After completing the reaming experiments, the hole quality of each specimen will be determined by
measuring the exit burr properties (burr height and thickness) and the surface roughness, diameter deviation, taper
and circularity of the hole. By analyzing the data it will be possible to detect the correlations between the reaming
conditions and the resulting hole quality. In addition, the reaming process will be compared with the regular twist
drilling [4] concerning its capability of producing holes with a superior quality.

References
[1] Kahles, J. F., Field, M., Eylon, D., Froes, F. H., “Machining of Titanium Alloys”, Journal of Metals, Vol. 4,
pp. 27-35, 1985.
[2] Gillespie, L. K., “The Effects of Reaming Variables on Burr Properties”, Topical Report PDO 6984405 of
Department 822 at Bendix, September 1974.
[3] Severt, W., “Abschlußbericht: Gratminimierung bei der Fräs- und Bohrbearbeitung unterschiedlicher
Werkstoffe”, Forschungskuratorium Maschinenbau e.V., Heft 209, 1995.
[4] Dechow, H., “Influence of tool geometry on hole quality when drilling Ti-6Al-4V”, LMA Research Report,
University of California at Berkeley, 1998.

45
[5] Sellmer, D., personal information concerning reamer geometry from MAPAL Dr. Kress KG, Germany

Figure 1: Design short blade reamer (MAPAL).

Tool Variations
Type and Shape AZ 91 AS 91
of Cutting Blade

Tool Material Carbide (K10) Cermet (N40)


Coating none TiN TiAlO

Table 1: Variations in Tool Geometry and Material [5].

Process Variations
cutting speed [m/min] 10 20 30 40 50
feed rate [mm] 0.08 0.11 0.14 0.17 0.20
diameter of predrill [mm] 9.6 9.8

Table 2: Expected Variations in Cutting Conditions

Fixed Parameters
Tool Diameter 10.0 mm
Helix Angle 0° (straight flutes)
Workpiece Material Ti-6%Al-4%V
Type of Cutting Fluid Trim-Sol, Master Chemical Corporation
(Watersoluble Chemical Emulsion, 10%)

Table 3: Fixed Parameters.

46
Precision Research Group

Sponsored by
NSF
UC-SMART
Industrial Affiliates of the LMA
CSM Program

Members of Researchers
Kori Bevans
Andrew Chang
Yoon Lee
Jianfeng Luo
Yongsik Moon
Yohichi Nakao

47
Investigation of the Relationship between Preston’s Coefficient and Friction Coefficient in
Chemical Mechanical Polishing (CMP)

Yongsik Moon
Sponsored By: NSF/UC-SMART

Abstract—In this research, the relationship between Preston’s coefficient and friction coefficient
in chemical mechanical polishing (CMP) is investigated and a basic mathematical model of the
polishing process, Preston’s wear equation, is modified.

1. Introduction
The main objective of this research is to derive a new model from Preston’s equation, which was originally
developed for glass polishing, using the relationship between Preston’s coefficient and the measured friction
coefficient.

2. Preston’s wear equation


The basis for the CMP process model developed here is Preston's wear equation [1]. Preston’s equation
states that the volumetric removal rate on a workpiece, due to the relative motion between surfaces, is proportional
to the bearing load and the relative velocity. The equation has been accepted and utilized as a basic mathematical
model for the CMP process, and has the form
h& = C ⋅ P ⋅ v , (1)
&
where P = pressure, v = relative velocity, h = average height removed/unit time, C = Preston’s coefficient.
If we consider the energy input, ∆Q , it should be proportional to the volume of material removed and also to
the work done by friction, which is the product of the friction force and the displacement,
∆Q = k1 ⋅ ∆V = k 2 ⋅ F ⋅ ∆s, (2)
where k1 ,k2 = constants, ∆Q = energy input, F = friction force between workpiece and polishing pad,
∆s=displacement.
Therefore,
k
F = 1 ⋅ C ⋅ L. (3)
k2
For Coulomb friction, which is assumed in this case, the friction force is defined as the friction coefficient, µ,
times the normal load, L,
F = µ⋅ L. (4)
Therefore, we can obtain a mathematical relationship between Preston’s coefficient and the friction coefficient
as,
k1
⋅C⋅ L = µ ⋅ L (5)
k2
C = α ⋅µ , (6)
where α = constant of proportionality(=k1/k2), µ = friction coefficient.
Therefore, theoretically, Preston’s coefficient should be proportional to the friction coefficient. This
relationship needs to be evaluated and verified experimentally.

3. Experiment
A load cell with a 75 lb maximum capacity was mounted to the polishing plate holding the polishing pad and
measured the friction force between the polishing pad and the workpiece. Three polished bare silicon wafers with
<100> orientation and P-type were used as the workpieces. Polished wafers were used to eliminate the effect of
surface roughness of the workpiece on the friction force between workpiece and polishing pad. Before each CMP
test, the flatness was measured using a Taylor-Hobson profilometer to allow removal of the geometric effect on the
material removal rate and the friction force. Three polishing pads, IC60, SUBA500, and UR100 from Rodel, were
used in this experiment. The signal output from the load cell was amplified and sampled every 10 minutes using a
PC with a Gagescope data acquisition system at a 100Hz sampling rate. The signal was calibrated to convert it to an
equivalent friction force.

47
4. Results
4.1 Friction force and friction coefficient
The friction force on the Si wafer polished by UR100 was the highest of the polishing pads. The friction force
for the IC60 pad was the lowest. This was also seen for the friction coefficient due to the constant normal load,
Figure 1.

4.2 Material removal


The material removal variation for each Si wafer polished by the three polishing pads is shown in Figure 2. As
with the result for the friction force measurement, the Si wafer polished by the UR100 had the highest material
removal and the Si wafer by IC60 had the lowest. This suggests that the material removal is proportional to the
friction force between workpiece and polishing pad under otherwise constant condition.

4.3 Preston’s coefficient and proportionality constant α


Preston’s coefficient was calculated from Equation (6) using the material removal for each hour. The
relationship between Preston’s coefficient and friction coefficient is shown in Figure 3. As expected from the
analytical results, Preston’s coefficient was linearly proportional to the friction coefficient. After plotting each data
set, a first order interpolation was performed to calculate α as 2.4 × 10 −9 (m2/N).

5. Conclusions
The following conclusions can be drawn from this analysis and experimental testing:
• Preston’s coefficient was shown to be linearly proportional to the friction coefficient, during CMP
with a constant of proportionality for silicon, α = 2.4 × 10 −9 .
• Material removal rate can be estimated by the equation, h& = 2.4 × 10 −9 žµžPžv.
• Material removal was linearly proportional to the friction force and the work done by the friction
force on the workpiece for the CMP process with silicon.
The potential for using this quantitative relationship for process diagnostics or setup, e.g. estimating or
observing variations in Preston’s coefficient, is being evaluated.

6. References
[1] Preston, F. W., "The Theory and Design of Plate Glass Polishing Machine," Journal of The Society of Glass
Technology, Vol. 11, pp. 214-256, 1927.
[2] N. J. Brown, Optical Fabrication, Report MISC-4476 Rev 1., Lawrence Livermore National Laboratory,
September 1990.

1.0 2.4e-9

0.9 UR!00 2.2e-9

2.0e-9
Preston's coefficient(m 2/N)

0.8 UR100
UR100 1.8e-9
Friction coefficient

0.7
SUBA500 1.6e-9
0.6 SUBA500
1.4e-9
SUBA500
0.5 1.2e-9
IC60 1.0e-9
0.4 IC60
8.0e-10
0.3 IC60
6.0e-10
0.2
4.0e-10
C=alpha*friction coefficient
0.1 alpha=2.4*10^-9 2.0e-10

0.0 0.0e+0
0 1 2 3 4 5 6 0.0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0

Time(hour) Friction coefficient

Figure 5. Preston’s coefficient variation during CMP Figure 6. Preston’s coefficient variation with friction
coefficient

48
Mechanical Properties and Relationship to Process Performance of the Polishing Pad in
CMP
Author(s) Yongsik Moon
Sponsored By: NSF/UC-SMART

Abstract— It was verified in this study that the material removal rate was inversely proportional to the
density of polishing pad. It was proportional to the compressibility of polishing pad and to the friction force
between the workpiece and the polishing pad. Also, the roughness of the final surface depended on the mechanical
properties of polishing pad.

1. Introduction
In CMP, the polishing pad, which is principally polyurethane, is one of the most important elements and has a
direct impact on CMP performance as measured by material removal rate and final surface roughness. The
influence of polishing pad on CMP has been investigated by some researchers. Material removal rate is inversely
proportional to the density and shear modulus of the polishing pad[1]. The shear modulus of polishing pad is
affected by the variation of temperature[2]. The structure of the polishing pad is basically an open cell structure[3]
and the mechanical properties of the cellular solids or foams are related to the properties of the cell wall material and
to the cell geometry[4]. The polishing pad also has the ability to remove contaminants after polishing as a post-
polishing process[5]. The polishing pad is deformed after being used for a long period of time, causing a decrease in
the material removal rate[6].
This study will investigate the relationship between the polishing pad and the CMP performance and the
effect of friction force applied on the workpiece on the material removal rate will be also identified.

2. Polishing pad in CMP


Three categories of polyurethane polishing pads are commonly used in the CMP process: (1) impregnated
felt substrated-coagulated urethane in a fiber matrix, (2) microporous polyurethane polishing material and (3)
napped poromerics-porous urethane layers on supporting substrates. The first type is used for stock removal or
rough polishing. Increased removal rates and extended pad life are achieved because of the improved pad porosity
and reduced compressibility due to a special impregnation technique. The second type is made to minimize
scratching and provide optimum polishing performance such as global planarization. The third type is designed to
remove only small amounts of surface irregularity and is most often used in the final polishing step.
In this research, SUBA500, IC60, and UR100 polishing pads from Rodel were used, representing type I, type
II, and type III of pad, Figure 1.

3. Experiment
CMP process experiments were conducted using three commercially available polishing pads(SUBA500,
IC60, and UR100) to study the effects of the material properties(density and compressibility) of pad on CMP
material removal rate and wafer surface roughness. Also the friction force between the silicon wafer and the
polishing pad was measured in process and correlated with the material properties of the polishing pad and the CMP
performance.
Three unpolished bare silicon wafers (<100> orientation and P-type) were used as the workpiece. The slurry
used was Nalco 2352 consisting of 70 - 90 nm grain size colloidal silica. The dilution ratio was 10(DI water) to
l(slurry) which contains 4.5 % abrasives by weight in the slurry. The relative speed of the workpiece was 14.4 cm/s.
A load of 15.1 N was applied for an equivalent pressure of 18.6 kPa.
In CMP, a wafer carrier holds and rotates the wafer against the polishing pad. The abrasive slurry
(continuously stirred by a magnetic mixer) is supplied to the pad surface through a peristaltic pump with a 30
ml/min flow rate. A 75 lb maximum load cell is mounted on the polishing plate to measure the friction force
between the polishing pad and the workpiece. The load cell was connected to an oscilloscope and PC with a
Gagescope data acquisition system for data collection at a 100Hz sampling rate

4. Results
The variations in the material removal rate, friction force and surface roughness of the silicon wafer are
detailed here for each polishing pad test.
The UR100 pad had the highest material removal rate for the workpiece among the three pads, and the IC60
pad had the smallest, Figure 3. The material removal rate was relatively stable after about 2 hours of polishing,

49
which indicates that the final surface was obtained. The friction force shown in Figure 4, shows unique differences
for each pad - UR100 had the highest friction force and IC60 had the lowest.
Two material properties of the pad, which are the density and the compressibility, were considered as a basis
for comparison for CMP performance. The lower the pad density, the higher the material removal rate and the
friction force are, Figure 5. For compressibility, the opposite effect is seen as lower compressibility reduces
material removal and friction, Figure 6. The material removal rate and friction force were all averaged for the entire
polishing time in Figures 5 and 6. The final surface roughness of each silicon wafer was correlated with the density
and the compressibility of polishing pads, Figure 7. Peak to Valley, Ra, and Rms surface roughness were calculated.
The final surface from the UR100 pad was rougher than the surface from the SUBA500 and IC60 pads.

6. Conclusions
Based on the polishing tests with the three polishing pads, the following conclusions can be drawn.
• It was verified that the material removal rate was inversely proportional to the pad density.
• Material removal rate is proportional to the compressibility of the polishing pad and to the friction
force between the workpiece and the polishing pad.
• The friction force between the workpiece and the polishing pad is inversely proportional to the pad
density and proportional to the pad compressibility.
• The roughness of the final surface depends on the polishing pad structure and mechanical properties.
7.. References
[1] R. Bajaj, "Effect of Polishing Pad Material Properties on Chemical Mechanical Polishing (CMP) Processes,"
Material Research Society Symposium Proceeding, Vol. 337, pp. 637–644, 1994.
[2] W. Li and D. W. Shin, "The Effect of the Polishing Pad Treatments on the Chemical Mechanical Polishing of
SiO2 Films," Thin Solid Films, 270, pp. 601–606, 1995.
[6] M. Desai, R. Jairath, "Chemical Mechanical Polishing for Planarization in Manufacturing Environment,"
Material Research Society Symposium Proceeding, Vol. 337, pp. 99-104, 1994.
[7] F. W. Preston, "The Theory and Design of Plate Glass Polishing Machine," Journal of the Society of Glass
Technology, 11 (44), pp. 214-256, December 1927.
[8] N. J. Brown, Optical Fabrication, Report MISC-4476 Rev 1., Lawrence Livermore National Laboratory,
September 1990.

SUBA500 IC60 UR100


Figure 1. Cross-sectional view of SUBA500, IC60, and UR100 polishing pads

0.035
0.035
UR 100
UR 100
Material removal rate(g/hour)
Material removal rate(g/hour)

0.030 0.030

SUBA 500
0.025 0.025 SUBA 500

0.020 0.020

0.015 0.015

IC 60 IC 60
0.010
0.010
0.30 0.35 0.40 0.45 0.50 0.55 0.60 0.65 0.70 0.75
2 4 6 8 10 12 14 16
Pad density(g/cm3) Compressibility(%)

(a) Material Removal Rate Variation with Polishing Pad Density

50
Study of Slurry Chemical Influence in Ductile/Brittle Transition Depth in Chemical
Mechanical Polishing (CMP) Using Acoustic Emission Sensor

Author(s): Yongsik Moon and Yoon Lee


Sponsored By: NSF/UC-SMART

Abstract—Ductile/Brittle transition depth of silicon wafer is extended by chemical treatment


using CMP slurry. The transition is identified by using acoustic emission (AE) feedback. The
material property change (from brittle to ductile) of the wafer surface is believed to be the main
cause of the transition depth change and of the scratch/defect-free surface after CMP.

1. Introduction
As a part of a fundamental study for the material removal mechanism of CMP, the slurry chemical influence on
ductile/brittle transition depth of silicon wafer is identified. In addition, the assumption that scratch/defect-free
surfaces are created by molecular-scale material removal due to the surface property change from brittle to ductile
by slurry chemical composition is studied.
When scratching a brittle material, with an increasing depth of cut, both ductile and brittle modes of cutting are
observed. With a shallow depth of cut, the brittle material behaves in a ductile mode due to extremely high pressure,
Figure 1. Scratch tests on silicon wafers that have been treated with chemical slurry also form another 'thin' ductile
cutting regime caused by the chemical reaction of the material with the slurry.

2. Experiment
A series of scratching tests were performed using a diamond turning machine (PNEUMO), Figure 2.
Chemically treated and untreated <100> p-type silicon wafers were both used. For the chemical treatment, a silicon
wafer was placed in the CMP slurry for 7 hours at 90oC. The silicon wafer CMP slurry was simulated by diluting
Nalco2352 with DI water using the same dilution ratio normally adopted in real CMP processes. A diamond tool
with a 350µm nose radius was mounted in the tool holder and a 399µm/s feed rate was used. A DECI Pico-Z
acoustic emission (AE) sensor was used to monitor the scratching tests. AE raw and rms signals were collected
using 500 kHz sampling rate and 200kHz – 2 MHz bandwidth as filtering. Each scratch was observed using
Scanning Electron Microscope (SEM).

3. Results
SEM pictures of scratches showed the material property change of the wafer surfaces before and after chemical
treatment, Figure 3. Due to the ductility of the wafer surface after chemical treatment, scratches on chemical-treated
wafer did not have clear cutting paths and edges compared to those on wafer before chemical treatment. AE signal
during scratching test clearly showed three different cutting regimes: air-cut, ductile-mode, and brittle-mode cutting,
Figure 4. It is expected the ductile-cutting regime on wafer after chemical treatment will be extended and detected
by AE raw and rms signal during scratching test.

4. Conclusions
The surface property of silicon wafer was changed after chemical treatment and it was clearly shown in SEM
pictures. AE signal during scratching indicated three unique cutting regimes: air-cut, ductile-mode, and brittle-mode
cutting and is considered as a promising method to detect the influence of slurry chemical on ductile/brittle depth
transition in CMP.

5. Future work
Acoustic emission signals during scratching on wafers before and after chemical treatment will be compared to
identify the influence of slurry chemical on ductile/brittle depth transition in CMP.

6. Reference
[1] Y. Moon and D. A. Dornfeld, “Investigation of Material Removal Mechanism and Process Modeling of
Chemical Mechanical Polishing (CMP)”, Engineering Systems Research Center(ESRC) Technical Report 97-11,
University of California at Berkeley, Sept. 1997.

51
Figure 1. Ductile/Brittle cutting mode in silicon wafer scratching Figure 2. Experimental setup

Figure 3. Scratches on silicon wafer with(above) and without(below) chemical


treatment

Figure 4. AE raw signal from scratching on wafer before chemical treatment

52
The Effect of Slurry Film Thickness Variation in CMP

Author: Yongsik Moon


Sponsored By: NSF/UC-SMART

Abstract— In this research, it is shown that the CMP performance (material removal rate, planarization,
surface roughness and defect density, etc.) is significantly determined by the slurry film thickness between the
silicon wafer and the polishing pad. The slurry film thickness depends on velocity and Hersey number.

1. Introduction
The Hersey number [1] is considered as a main process variable to determine the slurry film thickness.
Among the three parameters (velocity, viscosity, and pressure) in the Hersey number, the relative velocity is the
only variable to be controlled in this study. Next, the effect of slurry film thickness variation on CMP performance
(such as material removal, planarization, surface defects, and surface roughness) is studied empirically. The possible
dependence of the material removal mechanism on slurry thickness is also considered here.

2. Characteristics of slurry film thickness


The slurry film thickness increases with the relative velocity of the wafer, slurry viscosity, and decreasing
normal pressure on the wafer [1]. Thus, the Hersey number measured for the process is an indicator of slurry film
thickness and it is defined as,
viscosity ⋅ velocity
Hersey number = (1)
pressure .
Slurry film thickness is proportional to the square root of the wafer velocity and the Hersey number if pressure and
viscosity are constant in the hydrodynamic lubrication regime [1]. Since slurry film thickness influences the
characteristics of lubrication (boundary lubrication, elasto-hydrodynamic lubrication, and hydrodynamic
lubrication), the Hersey number is also a key parameter that indicates the wafer-pad contact mode (i.e. direct
contact, semi-direct contact, and hydroplane sliding).

3. Experimental procedure
The objectives of this experiment are to measure the friction force variation which is strictly correlated to
the slurry film thickness and velocity and to identify the effect of slurry film on CMP performance parameters
defined here as material removal, planarization, surface defects, and surface roughness. The abrasive slurry, stirred
by a magnetic mixer, was supplied onto the polishing pad through a peristaltic pump. A load cell, with a 75 lb
maximum capacity, was mounted to the plate holding the polishing pad to measure the friction force variation
between the polishing pad and the workpiece. Before each test, the workpiece flatness was measured using a
Taylor-Hobson profilometer to allow filtering of the geometric effect of wafer shape on the material removal rate
and the friction force. The signal output from the load cell was amplified and sampled every 10 minutes using a PC
with a Gagescope data acquisition system at a 100Hz sampling rate.

1. Results
4.1 Material removal
The material removal of the silicon wafer for a unit travel distance was measured with respect to the
relative velocity to identify the dependency of material removal on the slurry film thickness, Figure 2. In this
experiment, three normal loads were used.
When polishing at the low speed, resulting in a thin slurry film between the wafer and the pad, the material
removal per sliding distance tended to be aggressive and prominent. As the velocity increased, the material removal
per sliding distance decreased. The material removal decreases as the slurry film thickness between the wafer and
the pad increases.

4.2 Planarization
Twenty points of inspection along the diameter parallel to the primary flat were conducted to identify the effect
of the slurry film thickness on the planarization after CMP. In Figures 3 and 4, the upper and lower graphs show the
flatness of the wafer before and after CMP, respectably.

53
The oxide wafer surface polished at low speed tended to obtain better flatness and planarization than the oxide
surface polished at high speed. Higher velocity created an irregular surface. To quantify the effect of the slurry film
thickness on the planarization, a standard parameter, With-In Wafer Non-Uniformity (WIWNU) was calculated.
present oxide thickness - past oxide thickness
WIWNU(%) = × 100 . (2)
mean of total oxide thicknesses
Equation (2) implies that planarization is better with lower WIWNU values. From the data obtained, WIWNU
calculation of the oxide surface polished by a large gap was 5% while the oxide surface polished by a small gap was
2.25 %.

4.3 Surface roughness and defects


Surface roughness of the oxide wafer before and after CMP was measured along with the measurement of
oxide thickness variation. Three AFM images are shown in Figure 5.
Surface roughness increased as the slurry film thickness decreased and the wafer surface had more direct
contact with pad. In addition to the rough surface, more surface defects such as micro-scratches on the wafer
surface were detected when the wafer was polished with a small gap

5. Conclusion
The following conclusions can be drawn from this work:
• The effect of slurry film thickness variation on the CMP process performance (material removal,
planarization, surface defects and roughness) is significant.
• There is a need to modify Preston’s equation based upon the dependence of material removal on the
slurry film thickness
• It may be useful to control and optimize the slurry film thickness to maintain process stability

6. References
[1] M. D. Hersey, Theory and Research in Lubrication, (John Wiley & Sons, New York, 1966) p. 137.
[2] S. Runnels, L. Michael Eyman, J. Electrochem. Soc., 141, 1698 (1994).
[3] S. Runnels, J. Electrochem. Soc., 141, 1900 (1994).
[4] Y. Moon and D. A. Dornfeld, Proc. American Soc. for Precision Eng., St. Louis, 1998 (to appear).

Figure 5. Surface roughness of oxide surface in 1µm x 1µm area (surface before CMP, surface polished with small
gap, and surface polished with large gap) [4]

54
Identification of the Mechanical Aspects of Material Removal Mechanisms in Chemical
Mechanical Polishing (CMP)

Author(s) Yongsik Moon and Kori Bevans


Sponsored By: NSF/UC-SMART
Abstract—Mechanical removal in CMP is isolated from chemical removal by using ‘abrasive-
less’ slurry. It is believed that material properties, size, and geometry of abrasives have a strong
effect on the mechanical removal, which is responsible for over 80% of total material removal.

1. Introduction
Chemical Mechanical Polishing has been one of the key processes in integrated circuit (IC) fabrication. Since
CMP has been heavily utilized in manufacturing of micro-electronic chips, the majority of fundamental research is
conducted mainly from the electrical engineering and material science point of view.
In this study, the role of mechanical polishing in CMP is isolated from chemical polishing. The relationship
between mechanical and chemical removal in CMP has been proposed with respect to the slurry film thickness [1].
‘Abrasive-less’ CMP was carried out to verify this proposal empirically.

2. Mechanical removal in CMP


Moon and Dornfeld [1] proposed that when a silicon wafer directly or semi-directly contacts the polishing pad,
mechanical removal by nano-scratching or nano-plowing will be dominant, Figures 1 and 2. In this mode, chemical
action is believed to change wafer surface properties and enhance abrasive mechanical removal. As slurry film
thickness between wafer and pad increases, the mechanical removal decreases and so-called, ‘chemical removal’
becomes the dominant removal mechanism. Since the effect of slurry film thickness on CMP performance (material
removal, planarization, surface roughness and defects) is considerable, optimization of the slurry film thickness
during CMP process is necessary.

3. Experiment
Abrasive particles were physically separated from slurry by centrifuge and the chemical was extracted and
used in silicon wafer polishing. The abrasive slurry used was Nalco2352 which contains 70-90nm silica particles.
The pure chemical was diluted with DI water with a 7(DI water):1(chemical) ratio. During the experiment, the
relative velocity of the silicon wafer and the polishing pad was controlled to induce the variation of slurry film
thickness. Normal force on wafer was 79.1N. Material removal per sliding distance with respect to velocity was
calculated to identify the chemical removal. This data was then compared with combined removal data in Figure 1.

4. Results
Material removal per sliding distance due to the slurry chemical was much lower than that of abrasive slurry
and nearly constant except for the velocity up to 6cm/s. This result supports the hypothesis on mechanical/chemical
removal in CMP with respect to slurry film thickness, Figure 3.

5. Future work
This experiment will be repeated by using different normal pressures. The results will be compared with
Figure 1.
To isolate the role of abrasives and mechanical removal in CMP, abrasive particles obtained by centrifuge will
be mixed with DI water and buffer to maintain similar pH as in the original slurry and hinder particle agglomeration.
This 'chemical-less' slurry will be utilized for silicon wafer polishing.

6. References
[1] Moon, Y, and Dornfeld, D. A., “The Effect of Slurry Film Thickness Variation in Chemical Mechanical
Polishing (CMP),” Proceeding of American Society of Precision Engineering (ASPE), Annual ASPE Conference
1998, St. Louis (to appear)

55
Material removal per sliding distance(A/m)
250

Material removal/sliding distance


L = 44.7N
225
L = 61.9N
L = 79.1N
200

175

150
Mechanical removal(major)
125

100

75

50 Chemical removal+Mechanical removal(minor)


25

0
Velocity(=slurry film thickness)
0 2 4 6 8 10 12 14 16 18 20

Velocity(cm/s)
Figure 2. Mechanical and chemical removal for slurry film
Figure 1. Material removal per sliding distance
thickness
with velocity
Material removal per sliding distance(A/meter)

250

L=79.1N(w/o abrasives)
225
L=79.1N(w/ abrasives)
200

175

150

125

100

75

50

25

0
0 2 4 6 8 10 12 14 16 18 20

Velocity(cm/s)

Figure 3. Material removal per sliding distance w/ and w/o abrasives in slurry

56
Open Architecture Control in Precision Machining

Author: Yoon Lee


Sponsored By: LMA Affiliates/NSF

Abstract— Precision machining processes have many unique aspects that need to be well
characterized before automation strategies can be implemented. Quite often, the characteristics of
precision machining processes significantly differ from conventional machining processes.
Therefore, open architecture (OA) control systems, with its flexibility, adaptability to changes, and
coherence to system integration, is a perfect candidate for automating precision manufacturing
systems. In this research, detail issues in the implementation of an OA system in precision
manufacturing is studied from hardware level to process planning strategies.

Introduction
Precision manufacturing processes exhibit different characteristics compared to conventional processes. Often,
they require non-conventional sensing tools as a standard measurement tool to measure the degree to which the
design requirements have been met. In many precision manufacturing processes, due to the sensitivity of the
precision mechanism itself and due to the lack of exact understanding of the process physics, advanced monitoring
algorithm and planning strategies are often utilized as a part of monitoring and controlling the precision process.
Due to these unique aspects in precision manufacturing, open environment is highly advantageous in precision
manufacturing. Open architecture control will provide flexibility and integration of the precision manufacturing as
well as integration of precision manufacturing stage to other automation stages.

Development
On a Pneumo Precision diamond tool lathe and using Delta Tau’s PMAC open architecture controller, a
diamond cutting process has been converted into an open environment precision machine tool. A micro actuator
that uses force actuator and capacitance gage controls the depth of cut in micron scale and a linear encoder controls
the cross feed axis. Other sensors such as acoustic emission sensor is also used as sensing tool for monitoring of the
unique precision features such as surface roughness or subsurface damage.

Control Input 1 2 3 4
Name Cross Feed Coarse Feed Micro Feed Axis Machining Condition
Axis Axis
Sensor Linear Encoder Linear Capacitance Gauge AE Sensor
Encoder
Sensor 0.5 micron 0.5 micron Better than 5 nm Better than 1 MHz
Resolution
Actuator DC servo Manual Force Actuator and N/A
Custom Deflector
Actuator Limited by the Limited by Approx. 50nm (limited N/A
Resolution encoder the encoder by min. force)
Control Cross feed Coarse feed Diamond tip position Surface roughness/
Parameter position position subsurface damage

Integration
One of the unique advantages of using open architecture control approach in precision manufacturing is the
ability to openly integrate with higher level manufacturing processes as well as adapting high level planning
algorithms and sensing techniques as a part of the control structure. (figure 2and figure3 ). Currently, higher level
process planning tool using statistical method is being investigated as a planning tool for machining brittle materials.

References
[1] Lee, Y. and Dornfeld, D.A, "Application of Open Architecture Control System in Precision Machining," 31st
CIRP International Seminar on Manufacturing Systems, Berkeley, CA, May 1998.
[2] Wright, P.K., "Principles of Open Architecture Manufacturing," Journal of Manufacturing Systems, vol. 14,
No. 3, pp. 189~196, 1995.

57
conventional precision

Spindle Micro feed low


1 mm

level of precision
laser interferometer
Control Panel encoders force
meters
conventional

accelerometers precision
Precision
Encoder 1 nm acoustic emission
CPU, Dual port
RAM & high
Controller Card
position form/ roughness subsurface
dimension damage
control parameters

Figure 1. Pneumo integration illustration and Sensor Application vs. Level of Precision and Error Control
Parameters

Open Open
architecture architecture Feedback to
subsystem subsystem
machining
Common
OS
Design, Form-level Finish-level
planning Sensor
machining machining information
database (conventional) (precision)

Transfer of
Knowledge output knowledge
Knowledge input
cutting force Select,
tool deflection finish level,
burr formation
feed, spindle speed,
cutting speed tool geometry,
surface roughness
etc......

Feedback to
database

Figure 2. Open Architecture Manufacturing System with Integrated Precision Subsystem

Advanced Probabilistic
Planning (Bayesian)
Algorithm
Open

Network
Access Open Open
Open
Main
Architecture
Controller
Process
Open
Other
Processes
Open

Diamond Turning

AE Sensors

Figure 3. Integration of process, sensor and planning algorithm using OAC

58
Geometric Effects of Abrasive Particles in CMP

Author: Yoon Lee


Sponsored By: LMA Affiliates

Abstract— In a CMP process, abrasive particles play a key role in the mechanical portion of the
material removal process. Since the material removal process due to the slurry particles is mostly a
3 body free abrasive process, the interaction between the particle and the workpiece at different
contact geometry will have a different influence on the material removal processes. In this
research, this geometric effect between the particle and the workpiece is examined at various
different conditions to provide optimized parameters for different process requirements.

Objectives
The material removal mechanism in a CMP process is attributed to 3 major mechanisms: chemical mechanism,
major mechanical mechanism such as chipping and plowing and minor mechanical mechanism such as erosion.
Mechanical portion of the material removal process mainly comes from the interaction between the workpiece and
the slurry abrasive particles. Thus, identifying and studying the interaction between the particle shape and their
effect on the material removal rate and the surface finish during a CMP process is investigated.

Background
Earlier, Moon and Dornfeld [1] investigated the effect of gap thickness variation in CMP process in relation to
material removal and surface quality. In this research, mechanical portion of the slurry interaction in the material
removal process of CMP was identified (figure 1). Since the interaction of the slurry abrasive particles and the
material removal play a critical role in the material removal rate and the surface finish in mechanical portion of a
removal process, it is desirable to identify the critical geometrical effects between the slurry particles and the wafer
surface and obtain optimal conditions for the particle interaction with the wafer surface.
This study will particularly benefit in designing the shape of slurry particles for different materials for CMP
process. For example, ductile material CMP such as copper CMP and brittle material CMP such as silicon CMP will
have two distinctively different geometrical criteria for the interaction between the particles and the workpiece [2].
Also, CMP processes that are less influenced by the chemical interaction (such as low-k dielectric polymer CMP)
will benefit from the study of this research in optimizing the material removal process.

1st. Experiment Plan for the Effective Slurry Attack Angle vs. the Material Removal and the Surface Quality
Since it is difficult to control the individual particle orientations for studying the geometrical interaction
between the particle and the workpiece, a diamond tool lathe will be used as an initial test platform for the
evaluation of the geometrical effect on the mechanical removal of a workpiece. First, a workpiece will be chemically
treated to simulate the operating condition of the workpiece in CMP. Then using a diamond tool with a known
effective cutting angle between the tool and the workpiece, the material will be removed at a very low depth of cut (
~ 5~6 nm). This experiment will be repeated by only varying the effective cutting angle at different degrees. This
simulates the geometrical effect of particle at different attack angle variation vs. the material removal process. Each
experiment results will be examined using a SEM and an AE sensor to verify the surface quality and the material
removal at different effective cutting angle. The data will be optimized for the best angle/surface quality setting for
maximum material removal.

References

[1] Moon, Y. and Dornfeld, D.A., “The Effect of Slurry Film Thickness Variation in Chemical Mechanical
Polishing (CMP),” ASPE Annual Meeting, October, 1998 (to appear).

[2] Chang, Y.P., “Monitoring and Chracterization of Grinding and Lapping Processes,” Ph.D Dissertation, Dept. of
Mechanial Engineering, UC-Berkeley, CA 1995.

59
Material removal per sliding distance(A/m)
250
L = 44.7N
225
L = 61.9N

Material removal/sliding distance


L = 79.1N
200

175

150

125

100
Mechanical removal(major)
75

50

25

0 Chemical removal+Mechanical removal(minor)


0 2 4 6 8 10 12 14 16 18 20

Velocity(cm/s)
Hersey number (= slurry film thickness)

Figure 1. Material Removal in CMP [1]

Figure 2. Abrasive Interaction in Different States [2]

60
Probabilistic Analysis of Ductile/Brittle Transition in
Diamond Turning of Brittle Materials

Author: Yoon Lee


Sponsored By: LMA Affiliates

Abstract- Ductile/brittle transition depth (critical depth of cut) in brittle materials is dependent on
cutting conditions and material properties such as crystallographic orientation of the material. The
exact physics associated to this ductile behavior and formation of critical depth of cut is still
largely unknown. Therefore a probabilistic approach in determining the optimal critical depth for
diamond turning of a brittle material is investigated.

Introduction
Using a diamond tool and with very small depth of cut, brittle materials can be machined using a cutting
mechanism that creates continuous chip formation. This process is often called ductile regime machining. If the
depth of cut is too large and exceeds this “ductile” regime, then there will be undesirable subsurface damage. This
transition depth, where the ductile regime turns into the brittle regime, is called the critical depth of cut. The exact
physics of the formation of the ductile regime is still largely unexplained. Also, the ductile-brittle transition depth,
a.k.a., the critical depth, does not stay uniform throughout the material. It depends on the machining conditions as
well as the crystallographic orientation of the material.
This subsurface damage is not a desirable feature in precision machining. However, depending on the
application and the economic requirements, a process does not necessarily have to create a damage-free subsurface.
Thus, monitoring, estimating and controlling the subsurface damage created during the process will be very
beneficial for subsequent processes since better prior knowledge will provide more efficient operation. Also, as with
any manufacturing philosophy, an ability to achieve maximum material removal in minimum paths without crossing
the design tolerance is always one of the primary objectives in manufacturing, both conventional and precision.
Therefore, it is very important to monitor the ductile regime machining activity and estimate the most effective
overall critical depth of cut that creates the minimum subsurface damage. Since the physics of precision processes
are complex and often do not level themselves to representing “quality”, statistical tools have been widely used for
the measure of acceptance. In this research, the Bayesian method is studied as an enhanced statistical tool for
determining the real-time appropriateness of the process. Due to the Bayesian nature of being able to use meaningful
prior data for updating and feedback, the Bayesian method becomes a very attractive tool for precision machining.

Application
In order to determine the critical depth of cut, the subsurface damage is monitored during a cutting process
using an acoustic emission (AE) sensor. This data is analyzed and a condition for prior data has been set for further
monitoring and updating. Using the Bayesian statistics as an enhancement to Cp and Cpk, a decision making method
is developed as shown in figure 3.

Future work
Currently, to simplify the mathematics and to study the feasibility of the Bayesian idea in diamond cutting,
a flat prior is used. As a future research, a prior data distribution based on the physics, similar to Weibull distribution
from the yield criteria will be investigated. By having refined prior knowledge, monitoring and updating of a process
can be more effective. Also, dynamic updating algorithm using data obtained and the strategy developed will be
investigated as a further implementation of this strategy.

References
[1] Shaw, M.C., “Precision Finishing,” Annals of the CIRP, Vol.44, No.1, pp.343-348, 1995.
[2] Park, I, “Modeling of Burr Formation Processes in Metal Cutting,” Ph.D. Dissertation, Dept. Of Mechanical
Engineering, University of California, Berkeley, CA, 1996.
[3] Barlow, R. E., Engineering Reliability, ASA-SIAM, Philadelphia, PA, 1998.
[4] Dornfeld, D.A. and Wright, P.K., "Process Planning for Agent-Based Precision Manufacturing," Trans. North
American Manufacturing Research Institute, SME, May 1997.

61
−α
Diamond Tool

Feed Direction
Diamond Tool
Cutting direction
Fr
(Critical depth of cut) hc

Micro-fracture
dc
damage zone
Tool center Cut surface plane Ductile-behaving Zone
Material

Figure 1. Ductile regime machining

Figure 2. AE data analysis and statistical updating of the process using Bayesian method.

Figure 3. Bayesian updating and decision making process

62
Quality Oriented Process Planning for Precision Manufacturing

Author: Andrew Chang


Sponsored By: LMA Affiliates/UC-SMART

Abstract— Precision manufacturing focuses on the creation of the ever-shrinking features with
extremely tight tolerances. The planning and operation of precision manufacturing processes presents a
difficult challenge for design and manufacturing engineers as well as process planners. This research
proposes to develop a new methodology for capturing process capability information for use in a process
planning strategy as well as state assessment applications. A number of different strategies will be
investigated for precision manufacturing applications.

Objectives
The objective of this research work is to develop a methodology for quality-based process planning for
precision manufacturing processes. Specifically, process planning metrics applicable to the complexities associated
with precision processes will be investigated for the optimization of process planning for precision manufacturing.

Background
Precision manufacturing focuses on the creation of miniature and tight-toleranced features on mechanical
parts. As in traditional manufacturing, the creation of these features, which are measurable by dimensional, form,
surface roughness, or subsurface characteristics, always produce an error between the theoretically defined design
specification and some reference on a processed workpiece. Precision manufacturing, however, adds considerable
complexity due to the lack of available process models and inherent difficulties to properly plan a series of processes
to successfully manufacture a precision part. This is due to the fact that many high precision processes exhibit
mechanics that are not clearly understood, and the ability to model or accurately monitor the process may be many
years behind. While there is a clear advantage for industry to use these processes, they quite often are unable to
determine when a particular process has achieved its goal. The proper sequencing of processes and the transition
from one process stage to the next requires a methodology to signify when each subsequence has been completed,
[1]. Typically on-line inspection may not be feasible and traditional Cp-type approaches may not be applicable for
precision processes. Thus, other process planning metrics need to be developed to provide process capability
information and optimization of the process chain (Figure 1).

Metrics for Process Planning


The methodology to properly sequence precision manufacturing processes will rely on the identification of
metrics that can be applied to precision processes. For example, acoustic emission monitoring has been confirmed
to be a very sensitive indicator of characteristic processing stages in processes such as chemical-mechanical
planarization (CMP) (Figure 2). This information, coupled with post-process metrology data, can be useful in
developing a knowledge based approach for the effective setup and planning of these processes.
Currently, the LMA is actively investigating precision processes such as CMP and diamond turning and
techniques for monitoring, specifically the applicability of acoustic emission to these processes, are being pursued.
The LMA is also pursuing the acquisition of a precision coordinate measuring machine for post-processing
metrology analysis of characteristic precision components. These tools will improve the characterization of
precision processes and enable the development of metrics for optimal precision process planning.

References
[1] Wright, P. K. and Dornfeld, D. A., "Agent-Based Manufacturing Systems," Trans. North American
Manufacturing Research Institute, SME, vol. 24, May 1996, pp. 241-246.

[2] Westkämper, E., “Zero Defect Manufacturing by Means of a Learning Supervision of Process Chains,” CIRP
Annals, vol. 43, no.1, 1994, pp. 405-409.

[3] Westkämper, E., Schmidt, T., “Computer Assisted Manufacturing Process Optimization with Neural Networks,”
Intelligent Manufacturing Processes and Systems, 1997.

63
Figure 1. Quality-oriented process control in the process chain. [Westkämper, 3]

Figure 2. Typical AE rms signal in conventional CMP process, Strasbaugh machine

64
Trends in the Semiconductor Industry and the Role of Chemical Mechanical Planarization

Kori Bevans
Sponsored by: Competitive Semiconductor Manufacturing Program

Abstract— With the projected changes in the semiconductor industry requirements on CMP are becoming
much more stringent. This article discusses industry changes and the challenges for CMP to remain a
viable technology in the future.

Introduction
The semiconductor industry is one that can be characterized by perpetual change and technology advancement. In
response to the demands of this volatile environment, researchers are continually searching for new and improved
solutions. This paper describes trends in the semiconductor industry and the challenges faced by CMP in fulfilling
future technology requirements. Topics covered include: surface and interconnect technologies, defect reduction,
metrology, environment, safety, and health, and areas of future research.

Projected Trends
In order to maintain the steady pace of feature size reduction and increased performance changes in interconnect
metal and dielectric materials are necessary. Currently copper is expected to be the new interconnect material due to
it’s superior performance versus aluminum. With copper, there is greater control of electromigration and since it is
more conductive than aluminum it is “possible to make the interconnect lines smaller yet still provide the same
current carrying capability. [And] using low-k dielectrics between the metal lines makes [possible] even tighter
packing density per layer and therefore allows the use of fewer layers” [Singer pp. 68].
Adopting these new materials necessitates the use of damascene architecture to eliminate the need for metal etch
which is difficult to perform on copper. To effectively employ damascene, new CMP slurry compositions with
specialized selectivity need to be developed to eliminate classic CMP over/underprocessing defects.
Another issue is the drive to increase wafer size to 300mm by 1999 then to 450mm by 2009[SIA pp. 64]. In
response to this, CMP equipment will need to be redesigned possibly in stacking configuration to control footprint
size. Also, physical process phenomena due to the increased contact surface area will have to be addressed.

Critical Technology Concerns


Surface Technology
Growing silicon ingots and slicing wafers is an established technology. Conversely, as surface preparation
requirements for both unprocessed and processed wafers become more rigorous, there is a need for research and
improvement. Presently, the front-surface microroughness requirement at 0.25 µm is <0.15 nm (tapping mode
atomic force microscopy) and it is projected to decrease to <0.10 nm for all future technology generations [SIA pp.
65]. Coupled with the projected dielectric and interconnect material change and decreasing geometry feature size,
surface preparation is an area that requires strict attention.
Interconnect Technology
With the anticipated material changes, there is much uncertainty in future interconnect technology. Still, there is
great incentive to switch “to copper metalization and low dielectric constant materials [because they] can provide
cost reduction through process simplification [and lead] to a six-fold improvement in signal delay” [SIA pp. 99].
Key technology concerns for interconnect technology include planarity and the increasing number of metal levels in
both DRAM and logic chips. Within the lithography field the planarity requirement is project to decrease below 200
nm at the .18 µm technology generation. At the same time, the number of interconnect levels is expected to increase
to 3-4 levels in DRAM and 8-9 levels in logic [SIA pp. 101].
CMP is critical to achieving the planarity required thus favoring increased interconnect levels.
Defect Reduction
Methods to reduce defects are constantly being pursued. Possible solutions include designing enclosed tools to
reduce the potential for contamination such as “dry-in dry-out” CMP systems, and paying careful attention to slurry
impurities and post-CMP cleaning. It is projected that at 0.18 µm geometries, cleaning performance will have to be
improved “to <20 defects/wafer at 0.08µm in size” [DeJule pp. 58].
CMP defects include residual slurry remaining after a post CMP clean and microscratches from large particles on
the order of >1µm in the slurry. Metal CMP defects include, puddles, metal-filled microscratches and cored metal
plugs. Oxide CMP defects include weak points in the oxide an surface voids and debris.

65
Metrology
As feature size continues to decrease and pattern complexity increases, it will become more difficult to detect
defects with standard metrology equipment. It is projected that metrology tools will be required to detect particles
as tiny as 0.06 µm [DeJule pp. 56].
Other new technologies are under development to address thin layer film measurement on the order of <0.2 µm
thickness [DeJule pp. 52]. These include both include non-destructive and non-contact film thickness measuring
tools such as x-ray and photoacoustic technology.
In-situ monitors have already been integrated into some CMP systems. These include “optical sensors embedded in
the polishing head” and “a window on the pad and a single-wavelength light source” [DeJule pp. 58] to monitor film
thickness in process. Another in-process monitoring technique is currently being researched at UC Berkeley. Using
acoustic emission (AE) sensors, the usefulness of “in-situ monitoring of CMP… [was] experimentally verified
”[Tang pp. 42].
Environment, Safety, and Health
The main concerns of ESH include chemical management, natural resource preservation, and worker protection
[SIA pp. 153]. Selection, usage, and disposal are key issues for chemical management. As new chemicals are
introduced into processes, the effect on workers and the environment needs to be thoroughly researched.
Another environmental concern is natural resource preservation. For example, CMP consumes large amounts of
purified water both in the slurry and post cleaning. New ways of reducing water usage by recycling or changing
process parameters while reducing energy consumption would lessen the environmental impact of CMP.
Along with environmental concerns, precautionary measures should be taken to protect the health and safety of
workers. This includes evaluating potentially harmful effects from workers’ constant exposure to chemicals and
implementing measures to ensure safe handling and disposal.
Continued Research in CMP
There are many areas that require further research and investigation. Komanduri, Lucca, and Tani note that “various
models of CMP were developed based on isolated phenomena, [and a] need exists for a comprehensive integrated
model”.
In future research, the chemical interactions in CMP will be investigated. These will be incorporated into existing
CMP models developed by the Precision Manufacturing group at UC Berkeley [Moon 1997]. Areas to be addressed
include bulk chemistry variations, surface chemistry effects, and mechanical-chemical interactions.

References

[1] Ali, I. (ed) Raghavan. (ed). Proceedings of the First International Symposium on Chemical Mechanical
Planarization. Pennington, NJ : Electrochemical Society, c1997.

[2] DeJule, Ruth. “CMP Challenges Below a Quarter Micron”. a. Vol.15 no. 11. pp 54-60 “Advances in Thin
Film Measurement”. b. Vol. 21 no. 5. pp 52-58. Semiconductor International

[3] Jaeger, Richard C. Volume V. Introduction To Microelectronic Fabrication. Addison-Wesley Publishing


Company. Reading, Massachusetts. 1988.

[4] Komanduri, R. Lucca, D.A. Tani, Y. Technological Advances in Fine Abrasive Processes. Keynote Paper
CIRP

[5] Moon, Y. Park, I. Unger, C. “Process Modeling of CMP” LMA Research Reports. 1997

[6] Semiconductor Industry Association “National Technology Roadmap for Semiconductors” 1997.

[7] Singer, Peter. “Copper Goes Mainstream: Low k to Follow”. Semiconductor International. Vol 15 no 11.

[8] Steigerwald, Joseph M. Murarka, Shyam P. Gutmann, Ronald J. Chemical Mechanical Planarization of
Microelectronic Materials. John Wiley & Sons, Inc. New York. 1997.

[9] Tang, Jianshe. “CMP Process Monitoring Using AE”. LMA Research Reports. 1997

66
Wafer-Scale Modeling of Chemical Mechanical Polishing (CMP) Process
Jianfeng Luo and Yongsik Moon
Sponsored By: NSF

Abstract— Chemical mechanical polishing (CMP) process is of growing interest in semiconductor industry with the increasing
complexity of device design and the emergence of sub-micron device geometry. In spite of its extensive use, many aspects of
CMP are not well understood and wafer-scale modeling is needed for CMP. The basis for the wafer-scale CMP model is the
Preston’s equation. In this report, physical-based model using the finite element method (FEM)/the boundary element method
(BEM) is presented to evaluate the pressure function in the Preston’s equation. Previous work shows that a solid model may be
used to calculate the normal pressure distribution over the wafer-pad interface. In this report, it is also shown that semi-
experimental model is a good choice for evaluating the Preston coefficient C. In the future work, the physical-based and semi-
experimental model will be integrated together to evaluate the material removal rate, within-wafer non-uniformity and wafer-
wafer non-uniformity.

Introduction
The basis for the wafer-scale CMP process model developed is the Preston’s equation [1], which states that the volumetric
removal rate on the wafer, due to the relative motion between wafer-pad interface, is proportional to the bearing load and the
relative velocity. For material removal rate, RR, on a point p, the Preston’s equation can be written as:
where P(p, t) is the normal pressure at point p, v(p, t) the relative velocity, and C(p, t) an all-purpose factor representing
RR ( p , t ) = C ( p , t ) P ( p , t )v ( p , t ) (1 )
chemistry effect. Note that the Eq. (1) can be used to evaluate not only material removal rate at time t but also the within-wafer
non-uniformity (NU) and wafer-wafer non-uniformity. In most situations, the P(p, t) and v(p, t) can be considered as periodic
functions with period T= 2π/ ω, where ω is the angle-velocity of wafer. And the C(p, t) is considered independent of the locations
of points p. While all three factors C(t), P(p, t) and v(p, t) have great influence on material removal rate RR, it is believed that the
non-uniform distribution of periodic functions P(p, t) and v(p, t) has larger influence on within-wafer non-uniformity and C(t) has
more influence on wafer-wafer non-uniformity.
The objective of this study is to find the function C(t), P(p, t) and v(p, t) so that RR(p,t) can be predicted. Because of the
different properties of P(p, t), v(p, t) and C(t) along the time axis, different methods are used to evaluate these three functions.

Physical-Based Model to Evaluate the Pressure Function P(p, t) and Velocity Function v(p, t)
The normal pressure distribution at wafer-pad interface has great influence on the material removal rate. While the
velocity function can be obtained with confidence by dynamical analysis, the pressure distribution can not be obtained easily. In
this work, finite element method (FEM) [2-3] and boundary element method (BEM) will be used to investigate the normal
pressure distribution at the wafer-pad interface. Previous work shows strong relationship between the normal pressure predicted
by solid mechanics models and the observed non-uniformity of material removal on wafer surface. Fig. 1 shows the average
normal pressure along the radius direction predicted by a 2-D BEM model (Geometry and material parameters are shown in
Table 1 and Table 2.). To neglect the effect of velocity, it is assumed that the angle-velocities of wafer and pad are the same and
so the relative velocity function in Eq. (1) is a constant value. Under this assumption, the material removal rate should have the
same distribution as the average normal pressure. These conditions were used in experiments by Wang et. al. [2] and Fig. 2
shows their results of material removal profiles obtained from diameter scans of a polished wafer in oxide CMP. By comparing
the Fig. 1 and Fig. 2, the average normal pressure and the RR from experiment are very similar. The above results indicate that
the normal pressure may be simply predicted by a solid model based on solid mechanics. More experiment and simulation results
are in need to verify this conclusion. The velocity function v(p, t) is easily to be known based on dynamic analysis. In the future
work, we can incorporate the P(p, t) and v(p, t) together and investigate the relation between predicted P(p, t)v(p, t) and the
observed RR, which means the experiment results used to verify the simulation results are not necessarily under "the same angle-
velocity” assumption.

Semi-Experimental Model to Evaluate the C(t)


Because the physical basis of C(t) is still not clear and many factors may have influence on it, a semi-experimental model
based on experiment data and function approximation technology is a good choice to evaluate it. Some work in this area was
done by Runnels et. al.[4]. But their work is still not mature. It is believed that the parameter estimation, function approximation,
neutral network technology and information on the pressure distribution from the physical-based model can be used to obtain the
function C(t) .

Future work
A 2-D model based on boundary element method has been developed. More experimental results will be used to verify the
normal pressure prediction. Semi-experimental model will be developed based on function approximation. Finally, the
physically-based model and the semi-experimental model will be incorporated together to evaluate the material removal rate,
within-wafer non-uniformity and wafer-wafer non-uniformity.

67
Reference
[1] Preston, F. W., “The Theory and Design of Plate Glass Polishing Machine,” Journal of The Society of Glass Technology,
Vol. 11, 1927, p214-156.
[2] C.Srinivasa-Murthy, Wang, D., Beaudoin, S., Bibby, T. Holland, K. and Cale, T. “Non-Uniformity in CMP Process: An
Effect of Stress”, 1997 CMP-MIC Conference, p281-284.
[3] Guo, Y. B., Tang, J. S. and Dornfeld, D.A. "A Finite Element Model for Wafer Material Removal Rate and Non-Uniformity
in Chemical Mechanical Polishing Process", Dept. of Mechanical Engineering, University of California at Berkeley.
[4] Runnels, S. R., Kim, Inki, Schleuter, J., Karlsrud, C. and Desai, M. “A Modeling Tool for Chemical-Mechanical Polishing
Design and Evaluation”, IEEE Transactions on Semiconductor Manufacturing, Vol. 11, No. 3, 1998, p501-510.

Table 1. Typical industrial dimensions for a 8’’ wafer


Part Dimension Carrier Carrier Film Wafer Pad
Radius (in) 3.95 3.95 3.94 22.00
Thickness (in) 0.3 0.025 0.0278 0.055

Table 2. Standard material properties


Material Property Carrier Carrier Film Wafer Pad
E (psi) 0.29×108 38.5 0.29×108 333
Density (lb/in3) 0.75×10-3 2.049×10-5 0.75×10-3 2.59×10-5
Poisson Ratio 0.3 0.1 0.3 0.1

N orm alized averaged norm a l pressure

1.3
1.2
1.1
1
0.9
0.8
0.7
-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
Loacation of points p (r/R)

Figure 1. The average normal pressure predicted by BEM along radius direction
Variation of Removal Rate

1800
1300
DF200
800
(A/min)

300
-200
-4 -3 -2 -1 0 1 2 3 4
-700
-1200
D istance to Wafer Center (inch)

Figure 2. Experimental results of material removal rate

68
Ductile Regime Machining of Brittle Material by Single Point Diamond Turning Machining using AE Sensor
Feedback

Yohichi Nakao and Yoon Lee


Sponsored by: Industry Affiliates of the LMA

Abstract—The purpose of this work is to machine brittle material in a ductile regime. The
relationship between AE signal and finished surface quality will be examined by preliminary
experiments. Based on the result, a control scheme for the single point diamond turning
machine using AE signal feedback will be introduced.

Introduction
In ultra-precision machining fields, single point diamond turning machines are used to machine the mirror
quality surface products such as laser mirror, magnetic disc, polygon mirror and so on. In conventional single point
diamond turning machining, ductile materials such as aluminum and copper are usually used as material for these
mirror quality surface products. With the recent increase of the use of the single point diamond turning, strong
demands for the ultra-precision machining of brittle materials such as silicon, germanium and glass are increasing.
Hence, many works concerned with the machining of the brittle material have been conducted (for example,
[1][2][3]).
It is not easy to machine brittle materials so as to obtain mirror quality surface in nature, because of the
occurrence of the fracture that is a result of a ductile-to-brittle transition. As shown in Fig.1(a), if the depth of the
fracture does not reach the surface to be machined, the occurrence of the ductile-to-brittle transition does not affect
finished surface quality. When the depth of the fracture reaches the finished surface given in Fig.1(b), the mirror
quality surface would be never obtained[4]. That is, the key to obtain the mirror quality surface is to maintain
machining conditions so as not to increase the depth of the fracture, which leads the ductile regime machining of the
brittle materials.
Previous research has confirmed that the depth of the fracture does not become so deep if small depth of cut
and low feed rate are used[5]. It is well known that the ductile regime machining of the brittle materials is possible
with a sdepth of cut less than 100nm. It is therefore indispensable to design a controller that is able to control the
depth of cut in such sub-micron order. The purpose of this work is to develop an effective control scheme to attain
ductile regime machining using the acoustic emission (AE) signal feedback[6].

Experimental setup
Figure 2 shows the outline of the single point diamond turning machine. DC motors are used for a cross-feed
table drive and a spindle rotation. Air bearings are used for a cross-feed table and a spindle for precise motion,
respectively. A magnetrostrictive actuator is used for in-feed motion control of the single point diamond tool. A
capacitance type displacement sensor with 60 micron full scale measures the position for the cutting direction of the
diamond tool. A linear encoder with 0.5 micron resolution measures the position of the cross-feed table. An AE
sensor is equipped with a tool shank. Since this machine tool is controlled with PC-based controller, various kinds of
control algorithms such as fuzzy logic, neural network and so on, can be designed on this controller. This open
architecture controller is possible to design an intelligent controller for the single point diamond turning machine.

Future work
In order to control the surface quality of the workpiece using information monitored through AE signal
feedback, it is essential to know the relationships between the AE signal and the machined surface quality. It has
been verified that the rms value of AE signal correlates closely with the depth of cut in machining of an aluminum
material[7]. Therefore, it is considered that some relationship between the AE signal and the quality of workpiece
surface would be found out, because the depth of cut affects the surface quality of the brittle material. This work will
examine first these relationships by preliminary experiments with silicon material workpiece. However, it is not easy
to design a control law to attain ductile regime machining of brittle material, even in the case such a relationship
turns out. In these cases, the fuzzy control scheme is effective to control these complicate controlled system. Based
on the obtained relationships in the preliminary experiments, a control scheme using fuzzy logic will be designed
and installed on the PC-based controller. The effectiveness of the designed control scheme will be examined by the
machining experiments.

69
References
[1] T. Moriwaki, E. Shamoto and K. Inoue, ”Ultraprecision Ductile Cutting of Glass by Applying Ultrasonic
Vibration”, Annals of the CIRP, Vol. 41/1, 1992, pp.141-144.
[2] Y. Kamimura, Y. Tani, “High-Accuracy Detection Technology of Initial Contact in Ductile Regime
Machining”, Trans. of Japan Society of Mechanical Engineers, Vol. 62. No.593, C, 1996, pp.129-134. (in
Japanese)
[3] N. Morita, Y. Yoshida, E. Kobayashi and N. Nagata, “Study on Brittle-Ductile Transition of Monocrystal
Silicon at High Temperature and its Application to Ductile Mode Cutting”, Trans. of Japan Society of
Mechanical Engineers, Vol. 64, No.621, C, 1998, pp.1853-1859. (in Japanese)
[4] W. S. Blackley, R. O. Scattergood, “Chip Topography for Ductile-Regime Machining of Germanium”, Journal
of Engineering for Industry, Vol. 116, May 1994, pp.263-266.
[5] T. Nakasuji, S. Kodera, S. Hara and H. Matsunaga, “Diamond Turning of Brittle Material for Optical
Components”, Annals of the CIRP, Vol. 39/1, 1990, pp.89-92.
[6] D. A. Dornfeld, V. Lisiewicz, “Acoustic Emission Feedback for Precision Deburring”, Annals of the CIRP,
Vol. 41/1, 1992, pp.93-96.
[7] J. J. Liu, D. A. Dornfeld, “Modeling and Analysis of Acoustic Emission in Diamond Turning”, Journal of
Manufacturing Science and Engineering, Vol. 118, May 1996, pp.199-207

f [mm/rev] f [mm/rev]
Feed Direction Feed Direction
Diamond Cutting Tool Diamond Cutting Tool
Egde of Diamond Tool Egde of Diamond Tool

Workpiece Fracture Damage


Workpiece Fracture Damage
Finished Surface Finished Surface

(a) (b)
Fig.1 Fracture damage in brittle material

Motor

Displacement
Motor Air Bearing AE Sensor Sensor

Amp. A /D

Workpiece Amp. A /D
PC based
Amp. D/A Controller
In-Feed

Air Bearing Magnetrostrictive


Actuator
Cross-Feed U/D
Linear Encoder

Fig.2 Schematic of single point diamond turning machine system

70
Precision Control of Single Point Diamond Turning Machine using Intelligent Controller

Yohichi Nakao
Sponsored by: Industry Affiliates of the LMA

Abstract—This study is concerned with an intelligent controller for the machining of mirror
quality aspherical or sculptured surfaces by a single point diamond turning machine. The
intelligent controller is composed of a feedfoward controller and learning algorithm. The
performance of the intelligent controller will be examined through simulation studies and
actual machining tests.

Introduction
Ultra-precision machining of mirror quality apherical surfaces or other sculptured surface has become
attractive techniques in manufacturing. These products are used as polygon mirrors, laser mirrors and so on.
Although a chemical mechanical polishing technique can be used to finish a flat plate and a spherical surface, it is
not suitable for machining of aspherical surfaces or other sculptured surfaces. Consequently a single point diamond
turning machine would be the machine tool to finish such complicated surface effectively.
The machining accuracy of sub-micron order is required in a single point diamond turning machining. Hence,
the motion accuracy of the single point diamond turning machine is much better than that of conventional machine
tools, because many single point diamond turning machines have air bearings for precise motion. Besides these
mechanical equipment techniques, a control technique for the improvement of a tracking accuracy of the
servosystem is also important to attain ultra-precision machining. It should be noted that the tracking performance of
the machine tool servosystem changes according to the machining conditions such as cutting speed, workpiece
material and so on. Hence an intelligent controller which adapts to the various machining conditions is required.
Many single point diamond turning machines use a micro actuator such as a piezo electric actuator[1] or a
magnetrostrictive actuator[2] for the precise cutting motion. An advantage of these micro actuators is a fast motion
with a sub-micron order resolution. The actuator however has much hysteresis. The hysteresis degrades the tracking
performance of the single point diamond turning machine.
A countermeasure for the improvement of the machining accuracy of the single point diamond turning
machine is to design an intelligent control algorithm to decrease the tracking error and install it into the machine tool
controller. In this research, an intelligent controller which has an adaptability to the change of the various machining
conditions and compensate the tracking error resulted from the influence of the hysteresis will be presented.

Outline of intelligent controller


Figure 1 shows the single point diamond turning machine controlled with PC-based controller[3]. Figure 2
shows an outline of an intelligent controller. This intelligent controller is basically composed of a feedforward
controller and a learning algorithm. The learning algorithm carries out learning of the characteristics of the
servosystem by monitoring the tracking performance in on-line operation.
It is considered that the tracking performance of the servosystem has a correlation with the desired
displacement signal xd , actual output displacement x and the output velocity v of the system. The output of the
feedforward controller is a compensation signal u given in Fig. 2. The feedforward controller calculates u at each
sampling time using xd , x and v. Since the feedforward controller is required to compensate for the hysteresis of the
actuator or other non-linearity, the characteristics of such non-linearity should be modeled on the feedforward
controller in some way. For this reason, the feedforward controller is expressed by table format in the computer
memory. Each memory has a compensation signal value of u corresponding to the xd , x and v. When the
feedforward controller monitors xd , x and v, it outputs u from the memory cell corresponding to the monitored
values. With the table look up representation, the non-linearity of the system, such as hysteresis, dead band and
coulomb friction, are easily modeled in the feedforward controller. Although all initial values of the table of the
controller are set to zeros at first use of the controller, the values will update so the tracking error decreases by
means of a learning algorithm.
The learning algorithm monitors the tracking error signal e in addition to xd , x and v. The learning
algorithm updates table data u located in the memory cell which corresponds to the monitored xd , x and v to make
the tracking error signal e small at each sampling time. Therefore if the performance of the controlled system would
change during machining, the feedforward controller would be adapted to the condition of the controlled system.
Until finishing the self-learning of the learning algorithm, the single point diamond turning machine is mainly

71
controlled with the feedback controller. However, updated feedforward controller controls the machine tool instead
of the feedback controller.

Future work
In this research, a control algorithm for the intelligent controller given in Fig. 2 will be presented. The
effectively of the intelligent controller will be first examined through a simulation study. Secondly, the controller
will be installed in the controller and machining tests of machine sculptured surfaces will be conducted.

References
[1] H. Eda, E. Ohmura, “Ultraprecise Machine Tool Equipped with a Giant Magnetrostriction Actuator-
Development of New Materials, TbxDy1-x(FeyMn1-y)n, and Their Application, , Annals of CIRP, Vol.41, No.1, 1992,
pp.421-424.
[2] T. A . Dow, M. H. Miller and P. J. Falter, “Application of a Fast Tool Servo for Diamond Turning of
nonrotationally, Symmetric Surfaces”, Precision Engineering, Vol.13, No.4, 1991.
[3] Y. Nakao, Y. Lee, “Ductile Regime Machining of Brittle Material by Single Point Diamond Turning Machining
using AE Sensor Feedback”, LMA Research Report, University of California at Berkeley, 1998.

Capacitance Gauge Chuck/Spindle

Magnetrostrictive
Actuator

Actuator

Diamond
Tool

Fig. 1 Single point diamond turning machine

PC Based Controller

Intelligent Controller
^
xd
^
x Learning
Algorithm
^
v ^
e
Feedforward
Controller
^
u
s + ^
x
^
xd + + Controlled
− System

Fig. 2 Outline of intelligent controller

72
The Role of Complexing Agents in the Chemical Mechanical Polishing (CMP) of Copper
Thin Films
Serdar Aksu
Sponsored By: SDU

Abstract—This project aims to delineate the role of complexing agents in the chemical
mechanical polishing (CMP) of copper for integrated circuits (IC). The results will provide a
fundamental understanding of solution and surface chemistry effects triggered by the use of
complexing agents in the CMP process. This understanding will be used to formulate superior
slurries for copper polishing.

Introduction
Scaling of IC devices to critical interconnect dimensions below 0.5 µm raises substantial concerns. The
interconnect delay and electromigration resistance of interconnecting metals become important issues. Copper has
better electromigration immunity and lower resistivity than aluminum and aluminum alloys. Therefore, copper
interconnection has lower delays and greater reliability than aluminum interconnections of same dimensions. The
damascene technique, which allows successful integration of copper, utilizes CMP to remove the overburden
material and achieve global planarization for further processing.
In an ideal CMP process for copper, metal is removed from the high regions on the surface by mechanical
action. Chemical action dissolves the abraded copper fragments. If surface is attacked chemically (esp. at the low
regions), the etched surface will be non-uniform and rough. More aggressive copper dishing is also likely during the
overpolish step. It is possible to minimize surface etching, and maximize dissolution of mechanically abraded
copper by using ammonia in alkaline CMP slurries. Organic complexing agents have also been recognized as
possible reagents for improved CMP slurries.

Background
Due to its complexing action, ammonia forms several soluble copper ionic species over a wide range of
potential and pH (compare Figure1 and Figure 2). Organic complexing agents expand the range of solubility by
forming more stable copper complexes as exemplified in Figure 3 for ethylenediaminetetraacetic acid (EDTA).
According to the corrosion literature, surface etching will be minimal even in the case of strong complexing agent
such as EDTA, if dissolved oxygen is the only oxidizer in the solution.

Project Description
The primary goal of this project will be to investigate the possibility of improving copper CMP slurries
through the use of organic complexing agents. A range of organic complexing agents with carboxylate and ammonia
groups will be examined. Both surface characterization and electrochemical methods will be used to gain insight
into the chemical processes and mechanisms during copper CMP using solutions with complexing agents.

Conclusion
Organic complexing agents are promising candidates for the preparation of improved CMP slurries for copper
thin films. There are only few published studies on their use in the CMP process. A more thorough and in-depth
research effort is necessary to learn more about their role in the chemistry of CMP. This way, it will be possible to
take full advantage of the use of organic complexing agents in the copper CMP process.

References
[1] Babu, S.V. et all., “Investigation of Cu and Ta Polishing Using Hydrogen Peroxide, Glycine and a Catalyst”,
Proc. VMIC, Santa Clara, CA, pp. 443-448, June 1998.
[2] Sainio, C.A., Duquette, D.J., Steigerwald, J., Murarka, S.P, “Electrochemical Effects in the Chemical
Mechanical Polishing of Copper for Integrated Circuits”, J. of Electronic Materials, Vol. 25, No.10, pp. 1593-
1598, 1996.
[3] Carr, J.M. et all.,US Patent 4,954,142, September 4, 1990.

73
[4] Choi, W.K., Oh, W.Z., “Dissolution Behaviors of Copper Metal in Alkaline H2O2-EDTA Solutions”, J. of
Nuclear Science and Technology”, Vol. 30, No. 6, pp. 549-553, June 1993.
[5] Pourbaix M., “Atlas of Electrochemical Equilibria in Aqueous Solutions”, Houston, Tex., National
Association of Corrosion Engineers, 1974.
[6] Johnson, H.E., Leja, J., “On the Potential-pH Diagrams of the Cu-NH3-H2O and Zn-NH3-H2O Systems”, J of
Electrochemical Society, Vol. 112, No. 6, pp. 638-641, 1965.

Figure 1. Potential-pH equilibrium diagram for the Figure 2. Potential-pH equilibrium diagram for the
Cu-H2O system at 25 0C (from reference 5). Cu-NH3-H2O system at 25 0C for 0.05 M total
dissolved copper and 1.0 M total dissolved ammonia
(from reference 6).

Figure 3. Potential-pH equilibrium diagram for the Cu-EDTA- H2O system at 25 0C for 10-6 M total dissolved
copper and 10-2 M total dissolved EDTA (Y represents EDTA).

74
Publications
A. Laboratory Publications - Archival Journal
1. D. A. Dornfeld and S. M. Wu, “An Investigation of Ground Wood Surfaces as Related to Pulp and Stone
Characteristics,” Wear, Vol. 42, 1977, pp. 163-175.

2. W. R. DeVries, D. A. Dornfeld and S. M. Wu, “Bivariate Time Series Analysis of the Effective Force
Variation and Friction Coefficient Distribution in Wood Grinding," Journal of Engineering for Industry,
Trans. ASME, Vol. 100, No. 2, 1977, pp. 181-185.

3. D. A. Dornfeld and S. M. Wu, "Development of an Experimental Setup for the Investigation of Grinding of
Wood and a Proposal for Pulsed Loading Technique," Journal of Engineering for Industry, Trans. ASME,
Vol. 100, No. 2, 1977, pp. 147-152.

4. D. A. Dornfeld, W. R. DeVries and S. M. Wu, "An Orthomorphic Rheological Model for the Grinding of
Wood," Journal of Engineering for Industry, Trans. ASME, Vol. 100, No. 2, 1977, pp. 153-158.

5. J. A. Svestka, D. A. Dornfeld and R. Gil, "On Improving the Productivity of Numerically Controlled Punch
Presses," Int. J. Prod. Res., Vol. 19, No. 5, 1981, pp. 471-480.

6. D. A. Dornfeld and E. Kannatey-Asibu, Jr., "Acoustic Emission During Orthogonal Metal Cutting,"
International Journal of Mechanical Sciences, Vol. 22, No. 5, 1980, pp. 285-296.

7. M. Tomizuka, D. Dornfeld and M. Purcell, "Application of Microcomputers to Automatic Weld Quality


Control," Trans. ASME, J. of Dynamic Systems, Measurement and Control, Vol. 12, No. 2, 1980, pp. 62-
68.

8. D. A. Dornfeld, "Single Grit Simulation of the Abrasive Machining of Wood," Trans. ASME, J. of
Engineering for Industry, Vol. 103, No. 1, 1981, pp. 1-12.

9. D. Dornfeld, P. Benenson and R. Barnes, "The Potential for Industrial Energy Conservation in California,"
Trans. ASME, Journal of Engineering for Industry, Vol. 103, No. 1, 1981, pp. 52-60.

10. E. Kannatey-Asibu, Jr. and D. A. Dornfeld, "Quantitative Relationships for Acoustic Emission from
Orthogonal Metal Cutting," Trans. ASME, J. Eng. for Industry, Vol. 103, No. 3, 1981, pp. 330-340.

11. E. Kannatey-Asibu, Jr. and D. A. Dornfeld, "A Study of Tool Wear Using Statistical Analysis of Metal
Cutting Acoustic Emission," Wear, Vol. 76, No. 2, 1982, pp. 247-261.

12. D. A. Dornfeld and E. N. Diei, "Acoustic Emisssion from Simple Upsetting of Solid Cylinders," ASME
Trans., J. Eng. Mat. Tech., Vol. 104, No. 2, 1982, pp. 145-152.

13. R. L. Lemaster, B. Klamecki and D. A. Dornfeld, "Analysis of Acoustic Emission in Slow Speed Wood
Cutting," Wood Science, Vol. 15, No. 2, 1982, pp. 150-160.

14. M. Tomizuka, D. Dornfeld, X. Q. Bian and H. G. Cai, "Experimental Evaluation of the Preview Servo
Scheme for Two-Axis Welding Table," Trans. ASME, J. Dyn. Sys. Meas. & Control, Vol. 106, No. 1, 1984,
pp. 1-5; also in Comp. in Eng., Proc. 2nd Int'l Computer Eng. Conf., ASME, 1982, pp. 255-261.

15. D. Dornfeld and H. G. Cai, "An Investigation of Grinding and Wheel Loading Using Acoustic Emission,"
Trans. ASME, J. Eng. Ind., Vol. 106, No. 1, 1984, pp. 28-33.

16. M. S. Lan and D. A. Dornfeld, "In Process Tool Fracture Detection," Trans. ASME, J. Engineering
Materials and Technology, Vol. 106, No. 2, 1984, pp. 111-118.

17. D. A. Dornfeld and C. S. Pan, "Study of Continuous/Discontinuous Chip Formation Using Acoustic
Emission Signal Analysis," J. Applied Metalworking, Vol. 4, No. 1, 1985, pp. 18-29.

75
18. E. N. Diei and D. A. Dornfeld,"Acoustic Emission Sensing of Tool Wear in Peripheral Milling,” ASME
Trans., J. Eng. Ind., Vol. 109, No. 3, 1987, pp. 234-240; also appears in Acoustic Emission Monitoring and
Analysis of Manufacturing, D. Dornfeld, ed., ASME, New York, 1984, pp. 107-123.

19. R. L. Lemaster, L. B. Tee and D. A. Dornfeld, "Monitoring Tool Wear During Wood Machining with
Acoustic Emission," Wear, Vol. 101, No. 3, 1985, pp. 273-282.
20. M. S. Lan and D. A. Dornfeld, "Acoustic Emission and Machining - Process Analysis and Control," Int. J.
Advanced Manufacturing Processes, Vol. 1, No. 1, 1986, pp. 1-22.

21. E. N. Diei and D. A. Dornfeld, "A Model of Tool Fracture Generated Acoustic Emission During
Machining," ASME Trans., J. Eng. Ind., Vol. 109, No. 3, 1987, pp. 227-234; also appears in Sensors and
Controls for Manufacturing, Kannatey-Asibu, E. and Ulsoy, A.G., eds., ASME, New York, 1985.

22. E. N. Diei and D. A. Dornfeld, "Acoustic Emission from the Face Milling Process - the Effects of Process
Variables," ASME Trans., J. Eng. Ind., Vol. 109, No. 2, 1987, pp. 92-99.

23. S. Y. Liang and D. A. Dornfeld, "Punch Stretching Process Monitoring Using Acoustic Emission Signal
Analysis - Part 1: Basic Characteristics," J. Acoustic Emission, Vol. 6, No. 1, 1987, pp. 29-36.

24. S. Y. Liang, D. A. Dornfeld and J. A. Nickerson, "Punch Stretching Process Monitoring Using Acoustic
Emission Signal Analysis - Part 2: Application of Frequency Domain Deconvolution," J. Acoustic
Emission, Vol. 6, No. 1, 1987, pp. 37-42.

25. R. L. Lemaster and D. A. Dornfeld, "Preliminary Investigation of the Feasibility of Using Acousto-
Ultrasonics To Measure Defects in Lumber," J. Acoustic Emission, Vol. 6, No. 3, 1987, pp. 157-165.

26. S. Rangwala, F. Farouhar and D. A. Dornfeld, "Application of Acoustic Emission Sensing to Slip Detection
in Robotic Grippers," Int. J. Machine Tools and Manufacture, Vol. 28, No. 3, 1988, pp. 207-215.

27. S. Rangwala, S. Liang and D. Dornfeld, "Pattern Recognition of Acoustic Emission Signals during Punch
Stretching," Mechanical Systems and Signal Processing, Vol. 1, No. 4, 1987, pp. 321-332.

28. S. Rangwala and D. Dornfeld, "Integration of Sensors via Neural Networks for Detection of Tool Wear
States," Proc. Symposium on Integrated and Intelligent Manufacturing Analysis and Synthesis, C. R. Liu,
A. M. Requicha and S. Chandrasekar, ed., ASME, New York, 1987, pp. 109-120.

29. M. Jouaneh and D. A. Dornfeld, "A Kinematic Approach for Coordinated Motion of a Robot and a
Positioning Table," Manufacturing Systems, Vol. 7, No. 4, 1988, pp. 307-314.

30. S. Rangwala and D. A. Dornfeld, "Learning and Optimization of Machining Operations using Computing
Abilities of Neural Networks," IEEE Trans. on Systems, Man and Cybernetics, Vol. 19, No. 2, 1989, pp.
299-314.

31. C. L. Jiaa and D. A. Dornfeld, "Experimental Studies of Sliding Friction and Wear Via Acoustic Emission
Signal Analysis," Wear, Vol. 139, 1990, pp. 403-424.

32. S. M. Yoo, D. A. Dornfeld and R. L. Lemaster, "Analysis and Modeling of Laser Measurement System
Performance for Wood Surfaces," Mechanics of Deburring and Surface Finish Processes, R. J. Stango and
P. R. Fitzpatrick, eds., ASME, New York, 1989, pp. 189-200; also in Trans. ASME, J. Eng. Ind., Vol. 112,
No. 1, 1990, pp. 69-77.

33. R. Teti and D. A. Dornfeld, "Modeling and Experimental Analysis of Acoustic Emission from Metal
Cutting," Trans. ASME, J. Eng. Ind., Vol. 111, No. 3, 1989, pp. 229-237.

34. S. Y. Liang and D. A. Dornfeld, "Characterization of Sheet Metal Forming Using Acoustic Emission,"
Trans. ASME, J. Eng. Mats. Tech., Vol. 112, No. 1, 1990, pp. 44-51.

35. S. Y. Liang and D. A. Dornfeld, "Tool Wear Detection Using Time Series Analysis of Acoustic Emission,"
Trans. ASME, J. Eng. Ind., Vol. 111, No. 2, 1989, pp. 199-205.

76
36. S. L. Ko and D. A. Dornfeld, "A Study on Burr Formation Mechanism," Trans. ASME, J. Eng. Mats. Tech.,
Vol. 113, No. 1, 1991, pp. 75-87.

37. D. A. Dornfeld, "Neural Network Sensor Fusion for Tool Condition Monitoring," CIRP Annals, Vol. 39,
No. 1, 1990, pp. 101-105.

38. C. L. Jiaa and D. A. Dornfeld, "Detection of Tool Wear using Gradient Adaptive Lattice and Pattern
Recognition Analysis," J. Mechanical Systems and Signal Processing, Vol. 6, No. 2, 1992, pp. 92-102.

39. S. S. Rangwala and D. A. Dornfeld, "A Study of Acoustic Emission Generated During Orthogonal Metal
Cutting-Part 1: Energy Analysis," Int. J. Mechanical Sciences, Vol. 33, No. 6, 1991, pp. 471-487.

40. S. S. Rangwala and D. A. Dornfeld, "A Study of Acoustic Emission Generated During Orthogonal Metal
Cutting-Part 2: Spectral Analysis," Int. J. Mechanical Sciences, Vol. 33, No. 6, 1991, pp. 489-499.

41. S. S. Rangwala and D. A. Dornfeld, "Sensor Integration using Neural Networks for Intelligent Tool
Condition Monitoring," ASME Transactions, J. Eng. Ind., Vol. 112, No. 3, 1990, pp. 219-228.

42. M. Jouaneh, Z. X. Wang and D. A. Dornfeld, "Trajectory Planning for Coordinated Motion of a Robot and
a Positioning Table, Part I: Path Specification," IEEE Trans. on Robotics and Automation, Vol. 6, No. 6,
1990, pp. 735-745.

43. M. Jouaneh, D. Dornfeld and M. Tomizuka, "Trajectory Planning for Coordinated Motion of a Robot and a
Positioning Table, Part II: Optimal Trajectory Specification," IEEE Trans. on Robotics and Automation,
Vol. 6, No. 6, 1990, pp. 746-759.

44. A. E. Diniz, J.J.Liu, and D. A. Dornfeld, "Correlating Tool Life, Tool Wear, and Surface Roughness by
Monitoring Acoustic Emission in Finish Turning," Wear, Vol. 153, No. 1, 1992, pp. 396-407.

45. D. A. Dornfeld and V. Lisiewicz, "Acoustic Emission Feedback for Precision Deburring," CIRP Annals,
Vol. 41, No. 1, 1992, pp. 93-96.

46. J. J. Liu and D. A. Dornfeld, "Monitoring of Micromachining Process using Acoustic Emission," Trans.
North American Manufacturing Research Institute, SME, Vol. 20, 1992, pp. 189-195.

47. D. Dornfeld and J. J. Liu, "Abrasive Texturing and Burnishing Process Monitoring using Acoustic
Emission," CIRP Annals, Vol. 42, No. 1, 1993, pp. 397-400.

48. S. L. Ko and D. A. Dornfeld, "Analysis of Fracture in Burr Formation at the Exit Stage of Metal Cutting,"
J. Material Processing Technology.. Vol. 58, 1996, pp. 189-200.

49. C. S. Leem, D. A. Dornfeld and S. E. Dreyfus, "A Customized Neural Network for Sensor Fusion in On-
Line Monitoring of Cutting Tool Wear," Trans. ASME, J. Eng. Industry, to appear.

50. J. J. Liu and D. A. Dornfeld, "Modeling and Analysis of Acoustic Emission in Diamond Turning," Trans.
ASME, J. Engineering for Industry, Vol. 118, 1996, to appear.

51. D. Dornfeld, "Application of Acoustic Emission Techniques in Manufacturing," NDT International, Vol.
25, No. 6, 1992, pp. 259-269.

52. R. Narayanaswami and D. A. Dornfeld, "Design and Process Planning Strategies for Burr Minimization
and Deburring," Trans. North American Manufacturing Research Institute, SME, Vol. 22, 1994, pp. 313-
322.

53. A. Sokolowski and D. A. Dornfeld, "Drill State Monitoring during Multispindle Machining," Trans. North
American Manufacturing Research Institute, SME, Vol. 22, 1994, pp. 233-240.

54. J. F. Gomes de Oliveira, D. A. Dornfeld and B. Winter, "Dimensional Characterization of Grinding Wheel
Surface through Acoustic Emission, CIRP Annals, Vol. 43, No. 1, 1994, pp. 291-294.

77
55. G. L. Chern and D. A. Dornfeld, "Burr/Breakout Development and Experimental Verification," Trans.
ASME, J. Eng. Mats. Tech., Vol. 118, No. 2, 1996, pp. 201-206.

56. G. L. Chern and D. A. Dornfeld, "Analysis of Burr Formation and Breakout Using a Scanning Electron
Microscope Micro-Machining Stage," Trans. ASME. J. Eng. Mats Tech., to appear.

57. D. A. Dornfeld, "In Process Recognition of Cutting States," Japan Society of Mechanical Engineers
International Journal, Series C, Vol. 37, No. 4, 1994, pp. 638-6.

58. S. L. Ko and D. A. Dornfeld, "Burr Formation and Fracture in Oblique Cutting," J. Materials Processing
Technology, Vol. 62, 1996, pp. 24-36.

59. D. A. Dornfeld and P. K. Wright, "Intelligent Machining: Global Models, Local Scripts and Validations,"
Trans. North American Manufacturing Research Institute, SME, Vol. 26, 1995, pp. 351-356.

60. R. Narayanaswami and D. A. Dornfeld, "Burr Minimization in Face Milling: A Geometrical Approach,"
Trans. ASME, J. Engineering for Industry, Vol. 119, No. 2, 1997, pp. 170-177.

61. M. Hashimura, K. Ueda, D. Dornfeld, and K. Manabe, "Analysis of Three Dimensional Burr Formation in
Oblique Cutting," CIRP Annals, Vol. 44, No. 1, 1995, pp 27-30.

62. Y. P. Chang, M. Hashimura, and D. A. Dornfeld, "Analysis of Orthogonal Micro-cutting using Acoustic
Emission," Trans. ASME. J. Eng. Industry, submitted for publication and Proc. ASME IMECE, MED-Vol.
2-1, San Francisco, November 1995, pp. 229-249.

63. M. Hashimura, Y. P. Chang and D. A. Dornfeld, "Analysis of Burr Formation Mechanism in Orthogonal
Cutting," Trans. ASME. J. Eng. Industry, 1998, to appear.

64. J. Stein and D. A. Dornfeld, "An Analysis of the Burrs in Drilling Precision Minature Holes using a
Fractional Factorial Design,"Proc. ASME IMECE, MED-Vol. 2-1, San Francisco, November 1995, pp.
127-148.

65. R. Narayanaswami and D. A. Dornfeld, "A Burr Agent for Precision Manufacturing," Proc. ASME IMECE,
San Francisco, MED-Vol. 2-1, November 1995, pp. 979-990.

66. P. K. Wright and D. A. Dornfeld, "Agent-Based Manufacturing Systems," Trans. North American
Manufacturing Research Institute, SME, Vol. 24, 1996, pp. 241-246.

67. J. Stein, I. Park and D. A. Dornfeld, "Influence of Workpiece Exit Angle on Burr Formation in Drilling
Intersecting Holes," Trans. North American Manufacturing Research Institute, SME, Vol. 24, 1996, pp. 39-
44.

68. P. S. Sheng, D.A. Dornfeld and P. Worhach, "Integration Issues in "Green Design and Manufacturing,"
Manufacturing Review, Vol. 8, No. 2, 1995, 95-105.

69. Y. P. Chang, M. Hashimura, and D. A. Dornfeld, "An Investigation of the AE Signals in the Lapping
Process, CIRP Annals, Vol. 45, No. 1, 1996, pp. 331-334.

70. C. S. Leem and D. A. Dornfeld, "Design and Implementation of Sensor-based Tool Wear Monitoring
Systems," Mechanical Systems and Signal Processing, 1996, Vol. 10, No. 4, pp. 439-458.

71. M. Hashimura, J. Hassamontr and D. A. Dornfeld, "Effect of In-Plane Exit Angle on Burr Height and
Thickness in Face Milling Operation," Trans. ASME. J. Manuufacturing Science and Engineering, 1998, to
appear.

72. M. Hashimura and D. A. Dornfeld, "A Proposal for a Classification Method for the Mechanism of Face
Milling Burrs: Parts I and II," Int. Journal of Machine Tools and Manufacture, submitted for publication.
73. X. M. Chen and D. A. Dornfeld, "Monitoring and Analysis of Ultraprecision Metal Cutting with Acoustic
Emission," Proc. Int’l. Mech. Eng. Congress and Exposition, ASME, Atlanta, GA, 1996, pp. 387-393.

78
74. M. Hashimura and D. A. Dornfeld, "Effect of Axial Rake Angle on Burr Formation in Face Milling," Int.
Journal of Machine Tools and Manufacture, submitted for publication.

75. Stein, J. M. and D. A. Dornfeld, "An Architecture for Integrated Design and Manufacturing of Precision
Mechanical Components," Trans. North American Manufacturing Research Institute, SME, 1997,Vol. 25.
pp. 249-254.
76. Dornfeld, D. A. and P. K. Wright, "Process Planning for Agent-based Precision Manufacturing," Trans.
North American Manufacturing Research Institute, SME, 1997, Vol. 25. pp. 359-364.

77. Stein, J. M. and D. A. Dornfeld, "Burr Formation in Drilling Miniature Holes," CIRP Annals, Vol. 46, No.
1, 1997, pp. 63-66.

78. Park, I. W. and D. A. Dornfeld, "A Study of Burr Formation Processes using the Finite Element Method-
Part I," to be submitted for publication, ESRC Report No. 95-32.
79. Park, I. W. and D. A. Dornfeld, "A Study of Burr Formation Processes using the Finite Element Method-
Part II- The Influence of Exit Angle, Rake Angle and Backup Material on Burr Formation," to be submitted
for publication, ESRC Report No. 95-35.

80. Park, I. W, S. H. Lee, and D. A. Dornfeld, "Modelling of Burr Formation Processes in Orthogoanl Cutting
by the Finite Element Method," to be submitted for publication, ESRC Report No. 94-34.

81. I. W. Park, S. E. Ahn and D. A. Dornfeld, "Probabilistic Prediction of Burr Formation in Face Milling of
Carbon Steel," submitted for publication Trans. ASME. J. Manuufacturing Science and Engineering., and
ESRC Report No. 96-13.

82. Ahn, S. E., I. W. Park and D. A. Dornfeld, "Probabilistic Prediction of Burr/Breakout Formation in Face
Milling of Gray Cast Iron," submitted for publication Trans. ASME. J. Manuufacturing Science and
Engineering., and ESRC Report No. 95-25.

83. Lohrberg, D., I. W. Park and D. A. Dornfeld, "Burr Formation in Orthoganal Cutting with Negative Rake
Angle Tools," submitted for publication Trans. ASME. J. Manuufacturing Science and Engineering., and
ESRC Report No. 96-15.

84. Wright, P. K. and Dornfeld, D. A., "Cybercut; A Networked Machining Service," Trans. North American
Manufacturing Research Institute, SME, 1998, Vol. 26, pp.

85. Guo, Y. B. and Dornfeld, D. A., “Finite Element Analysis of Drilling Burr Minimization with a Backup
Material,” Trans. North American Manufacturing Research Institute, SME, 1998, Vol. 26, pp. 207-212.

86. Guo, Y. B. and Dornfeld, D. A., “Integration of CAD of Drill with Drilling Burr Formation,” Trans. North
American Manufacturing Research Institute, SME, 1998, Vol. 26, pp. 201-206.

87. Chang. Y.P, Hashimura, M. and D. A. Dornfeld, “An Investigation of Material Removal Mechanisms in
Lapping with Grain Size Transition,” submitted for publication, Trans. ASME. J. Manuufacturing Science
and Engineering..

88. Lee, S. H. and D. A. Dornfeld, “Precision Laser Deburring and Acoustic Emission Feedback, Trans.
ASME. J. Manuufacturing Science and Engineering., to appear.

89. Guo, Y. B. and Dornfeld, D. A., “Finite Element Modeling of Drilling Burr Formation Process,” Trans.
ASME. J. Manuufacturing Science and Engineering., submitted for publication.

90. Lee, Y. and Dornfeld, D. A., “Micro-planning of Ductile Regime Machining using Probabilistic
Modeling,” Trans. North American Manufacturing Research Institute, submitted for publication.

91. Dornfeld, D., Wright, P., Wang, F., Sheng, P. Stori, J., Sundarajaran, V., Krishnan, N, and Chu, C., “Multi-
agent Process Planning for a networked Machining Service,” Trans. North American Manufacturing
Research Institute, submitted for publication.

79
B. Laboratory Publications - Conference Proceedings
1. D. A. Dornfeld, "An Investigation of Orthogonal Cutting via Acoustic Emission Signal Analysis,"
Proceedings of the Seventh North American Metal Working Research Conference, University of Michigan,
Ann Arbor, May 1979, pp. 270-274.

2. D. M. Auslander, D. A. Dornfeld and P. Sagues, "Software for Micro Processor Control of Mechanical
Equipment," Proceedings of 1979 Joint Automatic Control Conference, ASME, Denver, Colorado, June
1979.

3. D. A. Dornfeld, D. M. Auslander and P. Sagues, "Programming and Optimization of Multi-microprocessor


Controlled Manufacturing Processes," presented at 1979 ASME Winter Annual Meeting, New York,
December 1979; also appears in Mechanical Engineering, Vol. 102, No. 13, 1980, pp. 34-41.

4. D. Dornfeld, "Acoustic Emission and Metalworking - Survey of Potential and Examples of Applications,"
Proc. 8th North American Manufacturing Research Conference, University of Missouri, Rolla, MO, May
1980, pp. 207-213.

5. D. Dornfeld, M. Tomizuka, S. Motiwalla and R. Tseng, "Preview Control of Welding Torch Tracking,"
Proc. 1981 Joint Automatic Control Conference, ASME, University of Virginia, Charlottesville, VA, June
1981.

6. D. A. Dornfeld, "Investigation of Machining and Cutting Tool Wear and Chatter Using Acoustic
Emission," Proceedings AF/DARPA Review of Progress in Quantitative NDE, University of Colorado,
Boulder, CO, August 2-7, 1981, Plenum Press, pp. 475-483.

7. M. S. Lan and D. Dornfeld, "Experimental Studies of Tool Wear via Acoustic Emission Analysis," Proc.
10th North American Manufacturing Research Conference, SME, McMaster University, Hamilton, Ontario,
May 1982, pp. 305-311.

8. D. A. Dornfeld, M. Tomizuka and R. Langari, "Modeling and Adaptive Control of Arc Welding
Processes," Measurement and Control for Batch Manufacturing Processes, D. Hardt, ed., ASME, New
York, 1982, pp. 53-64.

9. H. G. Cai, X. Q. Bian, D. A. Dornfeld and M. Tomizuka, "Design and Characterization of a Two-Axis


Computer Controlled Welding Manipulator," Proceedings of ASME 2nd International Computer
Engineering Conference, San Diego, CA, August 1982, pp. 243-247.

10. D. A. Dornfeld and M. S. Lan, "Chip Form Detection Using Acoustic Emission," Proc. 11th North
American Manufacturing Research Conf., SME, Univ. of Wisconsin, Madison, May 1983, pp. 386-389.

11. D. A. Dornfeld, "Arc Weld Monitoring for Process Control- Seam Tracking," Computer Integrated
Manufacturing, M. Martinez, ed., ASME, New York, 1983, pp. 89-97.

12. M. Tomizuka, J. H. Oh and D. A. Dornfeld, "Model Reference Adaptive Control of the Milling Process,"
Control of Manufacturing Processes and Robotic Systems, D. Hardt, ed., ASME, New York, 1983, pp. 55-
63.

13. D. A. Dornfeld and C. S. Pan, "Determination of Chip Forming States Using a Linear Discriminant
Function Technique with Acoustic Emission," Proc. 13th North American Manufacturing Research
Conference, SME, University of California, Berkeley, May 1985, pp. 299-303.

14. C. S. Pan and D. A. Dornfeld, "Modeling the Diamond Turning Process with Acoustic Emission for
Monitoring Applications," Proc. 14th North American Manufacturing Research Conference, SME,
University of Minnesota, Minneapolis, May 1986, pp. 257-265.

15. M. Tomizuka, D. Dornfeld, M. S. Chen and T. C. Tsao, "Noncircular Cutting with Lathe," Proc. 14th North
American Manufacturing Research Conference, SME, University of Minnesota, Minneapolis, May 1986,
pp. 201-206.

80
16. D. A. Dornfeld, "Acoustic Emission Process Monitoring for Untended Manufacturing," Proc. Japan-USA
Symposium on Flexible Automation, JACCE, Osaka, Japan, July 1986, pp. 831-836

17. K. W. Yee, D. S. Blomquist, D. A. Dornfeld and C. S. Pan, "An Acoustic Emission Chip-Form Monitor for
Single Point Turning," Proc. 26th International Machine Tool Design and Research Conference, Univ. of
Manchester Institute of Science and Technology, England, l986, pp. 305-312.
18. D. A. Dornfeld and R. Y. Fei, "In Process Surface Finish Characterization," Manufacturing Simulation and
Processes, PED. Vol. 20, ed. A.A. Tseng, D.R. Durham and R. Komanduri, ASME, New York, 1986, pp.
191-204.

19. D. A. Dornfeld and T. Masaki, "Acoustic Emission Feedback for Deburring Automation," Modeling and
Control of Robotic Manipulators and Manufacturing Processes, R. Shoureshi, K. Youcef-Toumi, and H.
Kazerooni, eds., ASME, New York, 1987, pp. 81-89.

20. D. Dornfeld and C. Handy, "Slip Detection Using Acoustic Emission Signal Analysis," Proc. 1987 IEEE
Conference on Robotics and Automation, Raleigh, NC, pp. 1868-1875.

21. S. Y. Liang and D. A. Dornfeld, "An Analytical Relationship between Acoustic Emission and Stretch
Forming Process Parameters," Proc. 16th North American Manufacturing Research Conference, SME,
University of Illinois, Champaign-Urbana, May 1988, pp. 22-26.

22. M. Jouaneh, Z. X. Wang and D. Dornfeld, "Tracking of Sharp Corners Using a Robot and a Table
Manipulator," Proc. USA-Japan Symposium on Flexible Automation, ASME, Minneapolis, MN, July 1988,
pp. 271-278.

23. Z. X. Wang, M. K. Jouaneh and D. A. Dornfeld, "Design and Characterization of a Linear Motion
Piezoelectric Microactuator," Proc. 1989 IEEE International Conference on Robotics and Automation,
IEEE, Scottsdale, AZ, May 1989, pp. 1710-1715.

24. D. A. Dornfeld, "Monitoring of the Machining Process with Acoustic Emission Sensors," Proc. World
Meeting on Acoustic Emission, ASNT/AEWG, Charlotte, NC, March 1989, pp. 227-230.

25. S. L. Ko and D. A. Dornfeld, "Analysis and Modeling of Burr Formation and Breakout in Metal," Proc.
Symposium on Mechanics of Deburring and Surface Finishing Processes at 1989 ASME Winter Annual
Meeting, Dec. 1989, pp. 79-92.

26. D. A. Dornfeld and E. Erickson, "Robotic Deburring with Real Time Acoustic Emission Feedback
Control," Proc., Symposium on Mechanics of Deburring and Surface Finishing Processes at 1989 ASME
Winter Annual Meeting, Dec. 1989, pp. 13-26.

27. C. L. Jiaa and D. A. Dornfeld, "On-line Tool Condition Monitoring in Face Milling with Acoustic
Emission," Proc. Symposium CAD and Manufacture of Cutting and Forming/Forging Tools at the 1989
ASME Winter Annual Meeting, Dec. 1989, pp. 45-54.

28. G. S. Choi, Z. X. Wang, D. A. Dornfeld and K. Tsujino, "Development of an Intelligent On-Line Tool
Wear Monitoring System for Turning Operations," Proc. Japan-US Symposium on Flexible Automation,
ISCIE, Kyoto, Japan, July 1990, pp. 683-690.

29. J. Stein and D. A. Dornfeld, "A Classification and Design Methodology for Manufacturing Process Sensor
Systems," Proc. Japan US Symposium on Flexible Automation, ISCIE, Kyoto, Japan, July 1990, pp. 675-
681.

30. G. H. Choi and D. A. Dornfeld, "Analytical Predictions of Rotary Cutting Processes," Proc. 18th North
American Manufacturing Research Conference, SME, Penn State University, May 1990, pp. 146-153.

31. J. R. Klaiber, D. A. Dornfeld and J. J. Liu, "Acoustic Emission Feedback for Diamond Turning," Proc. 18th
North American Manufacturing Research Conf., SME, Penn State Univ., May 1990, pp. 113-119.

81
32. S. M. Yoo and D. A. Dornfeld, "Computer Simulation of the Flexible Disk Grinding Process: Flat Surface
Control using Variable VerticalFeed Speed," Proc. Symposium on Monitoring and Control for
Manufacturing Processes, ASME, New York, NY, November 1990, pp. 121-132.

33. S. M. Yoo, D. A. Dornfeld and R. L. Lemaster, "Modeling of the Flexible Disk Grinding Process: Part I-
Model Development," Proc. Symposium on Monitoring and Control for Manufacturing Processes, ASME,
New York, NY, November 1990, pp. 213-235.

34. S. M. Yoo and D. A. Dornfeld, "Modeling of the Flexible Disk Grinding Process: Part II- Control and
Optimization," Proc. Symposium on Monitoring and Control for Manufacturing Processes, ASME, New
York, NY, November 1990, pp. 225-236.

35. S. M. Yoo and D. A. Dornfeld, "Three Dimensional Modeling of the Flexible Disk Grinding Process,"
Proc. Symp. on Fundamental Issues in Mach., ASME, New York, NY, November 1990, pp. 299-308.

36. G. S. Choi, Z. X. Wang and D. A. Dornfeld, "Adaptive Optimal Control of Machining Process using
Neural Networks," Proc. 1991 IEEE International Conference on Robotics and Automation, IEEE,
Sacramento, CA, April 7-12, 1991, pp. 1567-1572.

37. J. J. Liu, C. Y. Liu and D. A. Dornfeld, "Optimization of Texturing Process via Sensor Feedback in
Manufacturing of Thin-film Rigid Disks," Proc. 1992 Japan-USA Symposium on Flexible Automation,
ASME, 1992, pp. 1591-1597.

38. Z. X. Wang and D. A. Dornfeld, "In Process Tool Wear Monitoring using Neural Networks,” Proc. 1992
Japan-USA Symposium on Flexible Automation, ASME, 1992, pp. 263-270.

39. Y. P. Chang, A. E. Diniz and D. A. Dornfeld, "Monitoring the Grinding Process through Acoustic Emission
using a Squirter," Proc. 1992 Japan-USA Symposium on Flexible Automation, ASME, pp. 335-340.

40. J. J. Liu and D. A. Dornfeld, "Modelling and Analysis of Acoustic Emission in Diamond Turning,"
Precision Machining: Technology and Machine Development and Improvement, PED-Vol. 58, ASME,
1992, New York, pp.43-58.

41. Y. P. Chang and D. A. Dornfeld, "Chatter and Surface Pattern Detection for Grinding Processes using Fluid
Coupled Acoustic Emission Sensor," Proc. International Conference on Machining of Advanced Materials,
NIST, 1993, pp. 159-167.

42. R. L. Lemaster and D. A. Dornfeld, "The Use of Acoustic Emission to Monitor an Abrasive Machining
Process," Proc. 11th Int'l Wood Machining Seminar, Norway, 1993.

43. L. A. Campos Bicudo, A. Sokolowski, J. F. Gomes de Oliveira and D. A. Dornfeld, "Compensation of


Thermal Deformation on Cylindrical Grinding Machines using Neural Networks,” Proc. Japan-USA
Symposium on Flexible Automation, ISCIE, 1994, Kobe, Japan, pp. 877-884.

44. A. Sokolowski, R. Narayanaswami and D. A. Dornfeld, "Prediction of Burr Size using Neural Networks
and Fuzzy Logic," Proc. Japan-USA Symposium on Flexible Automation, ISCIE, 1994, Kobe, Japan, pp.
889-896.

45. D. Dornfeld, "Monitoring Technologies for Intelligent Machining, "Uberwachung von Zerspan-und
Umformprozessen," CIRP/VDI Konferenz, VDI Berichte 1179, March 1995, pp. 71-90.

46. G. Byrne, D. Dornfeld, I. Inasaki, G. Ketteler, W. Konig, and R. Teti, "Tool Condition Monitoring (TCM)-
Status of Research and Industrial Application," CIRP Annals, 44, 2, 1995, pp. 541-567.

47. J. Stein and D. A. Dornfeld, "Integrated Design and Manufacturing for Precision Mechanical Components,"
Proc. 1st Int'l. Conf. on Integrated Design and Manufacturing, PRIMECA, Nantes, France, 1996, pp. 195-
204.

82
48. Pruitt, B. and D. A. Dornfeld, "Monitoring End Mill Contact using Acoustic Emission," Proc. Japan US
Symposium on Flexible Automation, Boston, July 1996.

49. Lee, Y. and D. A. Dornfeld, “Application of Open Architecture Control System in Precision Machining,”
Proc. 31st CIRP Int’l Seminar on Manufacturing Systems, Berkeley, CA, May 1998, pp. 436-441.

50. Blondaz, L., Brissaud, D. and D. A. Dornfeld, “Design for Manufacturing: Avoiding Machining Burrs in
Structural and Embodiment Design,” Proc. 2nd Int'l. Conf. on Integrated Design and Manufacturing,
PRIMECA, Compiegne, France, 1998, to appear.

51. Tang, J. S., Dornfeld, D. A., Pangrele, S. and Dangca, A., “In-Process Detection of Micro-scratching
during CMP using Acoustic Emission Sensing Technology,” Proc. TMS Annual Meeting,, San Antonio TX,
TMS, February, 1998.

52. Lee, Y. and Dornfeld, D.A., "Open Architecture Control System for Precision Manufacturing," Proceedings
of 1998 Japan-USA Symposium on Flexible Automation, Volume 3,1998, pp1165 - 1172.

53. Hassamontr, J., Blondaz, L. and D. A. Dornfeld, “Avoiding Exit Burrs in CNC End Milling by an Adapted
Tool Path”, ASME IMECE, Anaheim, November, 1998, to appear.

54. Lee, Y., Dornfeld, D. A., and P. K. Wright, “Open Architecture Based Framework in Integrated Precision
Machining System,” to appear, ASME IMECE, Anaheim, November, 1998.

83
C. Laboratory Publications - Invited and Conference Papers
1. D. A. Dornfeld, "Tool Wear Sensing via Acoustic Emission Analysis," 8th NSF Grantee's Conference on
Production Research and Technology, Stanford University, January 1981.

2. D. A. Dornfeld, "Investigation of Machining and Tool Wear via Acoustic Emission Analysis," Proc. Ninth
Conference on Production Research and Technology, University of Michigan, Ann Arbor, MI, November
3-5, 1981.

3. D. A. Dornfeld and R. L. Lemaster, "Sensing of Tool Wear by Acoustic Emission," Proc. Seventh Wood
Machining Seminar, University of California, Forest Products Laboratory, Richmond, CA, October 1982,
pp. 312-323.

4. R. L. Lemaster and D. A. Dornfeld, "Measurement of Surface Quality of Sawn and Planed Surfaces with a
Laser," Proc. Seventh Wood Machining Seminar, University of California, Forest Products Laboratory,
Richmond, CA, October 1982, pp. 54-63.

5. D. A. Dornfeld, "Investigation of Metal Cutting and Forming Process Fundamentals and Control Using
Acoustic Emission," Proc. Tenth NSF Conference on Production Research and Technology, Detroit, MI,
March 1983.

6. D. A. Dornfeld and M. Tomizuka, "Development of a Comprehensive Control Strategy for Gas Metal Arc
Welding," Proc. Tenth NSF Conference on Production Research and Technology, Detroit, MI, March
1983.

7. D. A. Dornfeld, "Role of Acoustic Emission in Manufacturing Process Monitoring," Proc. Seminar on


Sensor Technology for Untended Manufacturing, SME, Chicago, Illinois, April 5-6, 1984; also appears in
SME Manufacturing Engineering Transactions, 1985, pp. 69-74.

8. D. A. Dornfeld and M. Tomizuka, "Development of a Comprehensive Control Strategy for Gas Metal Arc
Welding," Proc. 11th NSF Conference on Production Research and Technology, SME, Carnegie-Mellon
University, Pittsburgh, May 1984, pp. 271-275.

9. D. A. Dornfeld, M. Tomizuka and S. Kobayashi, "Investigation of Metal Cutting and Forming Process
Fundamentals and Control Using Acoustic Emission," Proc. 11th NSF Conference on Production Research
and Technology, Carnegie-Mellon University, Pittsburgh, May 1984, pp. 377-380.

10. M. Tomizuka, R. Horowitz, D. M. Auslander and D. A. Dornfeld, "Recent Research in Motion Control,"
Power Conversion International, Vol. 10, No. 4, April 1984, pp. 28-32.

11. D. A. Dornfeld, "Acoustic Emission Monitoring and Analysis of Manufacturing Processes," Proc. 12th
NSF Conference on Production Research and Technology, SME, University of Wisconsin, Madison, May
1985, pp. 329-334.

12. D. A. Dornfeld, "Manufacturing Process Monitoring and Analysis using Acoustic Emission," Proc. 2nd
Int'l Conference on Acoustic Emission, April-September 1985, pp. S123-S126.
13. R. L. Lemaster and D. A. Dornfeld, "Monitoring the Wood Cutting Process with Acoustic Emission," Proc.
2nd Int'l Conference on Acoustic Emission, Lake Tahoe, Nevada, November 1985, and J. Acoustic
Emission, Vol. 4, No. 2/3, April-September 1985, pp. S228-S231.

14. D. Dornfeld and M. Tomizuka, "Advanced Control and Signal Processing for Manufacturing,"
Manufacturing Processes, Machines and Systems, Proc. 13th NSF Conference on Production Research and
Technology, SME, University of Florida, Gainesville, November 1986, pp. 113-118.

15. D. Dornfeld, "Monitoring for Untended Manufacturing Using Acoustic Emission," Proc. SCTE Conference
in Advances in Tool Materials for Use in High Speed Machining, ASM, Scottsdale, AZ, February 1987, pp.
117-126.

84
16. M. Jouaneh, R. L. Lemaster and D. A. Dornfeld, "Measuring Workpiece Dimensions Using a Non-Contact
Laser Detection System," Int. J. Advanced Manufacturing Technology, Vol. 2, No. 1, pp. 59-74, 1987.

17. D. A. Dornfeld, "Intelligent Sensors for Monitoring Untended Manufacturing Processes," Proc. 1987
International Machine Tool Research Forum, NMTBA, Chicago, September 1-2, 1987, pp. 12-1- 12-19.

18. D. A. Dornfeld and M. Tomizuka, "Sensors and Control for Untended Manufacturing," Proc. 1987 NSF
Manufacturing Systems Research Conference, University of Michigan, Ann Arbor, MI, October 6-9, 1987.

19. D. A. Dornfeld, "Monitoring of the Cutting Process by Means of Acoustic Emission Sensor," Proc. 3rd
International Machine Tool Engineer's Conference, Japan Machine Tool Builders' Association, Tokyo,
JAPAN, November 1988, pp. 145-161.

20. D. A. Dornfeld, "Intelligent Acoustic Emission Sensors for Manufacturing Process Monitoring," Proc.
Process Monitoring and Control, 1988 ASNT Fall Conference, ASNT, September 1988, Anaheim, CA.
21. D. Dornfeld and M. Tomizuka, "Sensors for Manufacturing Process Automation-Welding," Proc. 1989
15th NSF Manufacturing Systems Research Conference, SME, University of California, Berkeley, CA,
January 1989, pp. 431-435.

22. D. A. Dornfeld, M. Tomizuka, R. Langari and M. Jouaneh, "Sensing for Process Automation- Welding,"
Proc. 1990 16th NSF Manufacturing Systems Research Conference, SME, Arizona State University,
January 1990,445-452.

23. D. A. Dornfeld, "Cultural Differences in Manufacturing Technologies in the US, Japan and Europe," Proc.
1st CIM Japan Seminar, Vol. 1, CIM Japan '90, Cahners Exposition Group, Tokyo, July 18, 1990, pp. 223-
243.

24. D. Dornfeld, "Unconventional Sensors and Signal Conditioning for Automatic Supervision," Proc. III
International Conference on Automatic Supervision, Monitoring and Adaptive Control in Manufacturing,
CIRP, Rydzyna, Poland, 3-5 September 1990, pp. 197-233.

25. T. Blum and D. A. Dornfeld, "Grinding Process Feedback using Acoustic Emission," Proc. 4th
International Grinding Conference, SME, Dearborn, MI, October 1990, 525-1 - 525-20.

26. G. H. Choi and D. A. Dornfeld, "A Study on Rotary Tool Machining," Proc. 1991 17th NSF
Manufacturing Systems Research Conference, SME, Univ. of Texas-Austin, January 1991, pp. 791-798.

27. T. Blum and D. A. Dornfeld, "Milling Process Monitoring via Acoustic Emission using a Ferro-fluid
Coupled Sensor Device," Proc. 4th World Meeting on Acoustic Emission, ASNT, Boston, MA, 1991,
pp.335-340.

28. G. S. Choi, Z. X. Wang and D. A. Dornfeld, "Detection of Tool Wear using Neural Networks," Proc. 4th
World Meeting on Acoustic Emission, ASNT, Boston, MA, 1991, pp. 342-349.

29. R. L. Lemaster and D. A. Dornfeld, "The Use of Air Coupled AE Sensors to Measure Density Profiles in
Wood-based Products," Proc. 4th World Meeting on Acoustic Emission, ASNT, Boston, MA, 1991, pp. 439-
446.

30. A. E. Diniz, J. J. Liu and D. A. Dornfeld, "Monitoring the Surface Roughness through AE in Finish
Turning," Proc. 4th World Meeting on Acoustic Emission, ASNT, Boston, MA, 1991, pp. 487-493.

31. R. Langari, D. A. Dornfeld and Z. X. Wang, "Intelligent Sensing and Control in Metal Cutting," Robotics
and Manufacturing, Vol. 4, M. Jamshidi et al, eds., ASME, 1992, pp. 749-755.

32. D. Dornfeld, "Intelligent Deburring of Precision Components," Proc. 1992 International Conference on
Industrial Electronics, Control, Instrumentation and Automation, IEEE, 1992, pp. 953-960.

33. D. Dornfeld, "Application of Acoustic Emission Techniques in Manufacturing," Proc. 11th International
Acoustic Emission Symposium, JSNDI, Fukuoka, Japan, 1992, pp. 1-15.

85
34. D. Dornfeld, "Intelligent Sensors for Manufacturing Process Monitoring," Proc. US-Taiwan Joint
Symposium, NSF, Georgia Tech, Atlanta, GA, 1993, pp. 309-318.

35. J. Stein, D. Dornfeld, et al., "Intelligent Deburring of Precision Components," Proc. 1993 Deburring and
Surface Conditioning Symposium, SME, October 1993.

36. D. Dornfeld, W. Koenig and G. Kettler, "Present State of Tool and Process Monitoring in Cutting," Proc.
New Developments in Cutting, International CIRP/VDI Conference, Duesseldorf, September 1993, pp.
363-376.

37. A. Sokolowski and D. A. Dornfeld, "Intelligent System for Cutting Parameter Optimization and Design of
Cutting Process Monitoring System," Proc. First S.M. Wu Symposium on Manufacturing Science, SME,
1994, pp. 19-26.

38. A. Sokolowski and D. A. Dornfeld, "On Designing Tool Wear Monitoring Systems using Supervised and
Unsupervised Neural Networks," Proc. Joint Hungarian-British Mechatronics Conference, MechE,
Budapest, 1994.

39. D. A. Dornfeld, "Intelligent Deburring of Precision Components," Proc. 3rd Int'l Conf. on Precisiion
Surface Finishing and Bur Technology, BEST-K, Seoul, November 1994.

40. J. F. Gomes de Oliveira, D. A. Dornfeld and C. Schneider, "A otimizacao da dressagem e a reducao do
custo de rebelos," Maquinas e Metais, No. 340, Maio, 1994, pp. 32-44.

41. M. Hashimura and D. A. Dornfeld, "Analysis of Burr Formation Mechanism in Machining Process," 1st
Int'l. Machining and Grinding Conference, SME, September 1995, MR95-178-1-14.

42. S. H. Lee, D. S. Park and D. A. Dornfeld, "Burr Size Measurement using a Capacitance Sensor," Proc.
Second S.M. Wu Symposium on Manufacturing Science, SME, 1996, pp. 31-36.

43. J. H. Ahn, H. S. Lim and D. A. Dornfeld, "Burr and Shape Distortion in Micro-grooving of Optical
Components, Proc. 1996 Annual Meeting of the ASPE, November 1996, Monterey, to appear.

44. J. Daniel and D. A. Dornfeld, "Design and Application of In-process Acoustic Sensors for Maximum
Sensitivity- Methods and an Example on Diamond Tooling," Proc. 1996 Annual Meeting of the ASPE,
November 1996, Monterey.

45. J. Tang, X. Chen, and D. A. Dornfeld, "Ultraprecision Metal Cutting Process Monitoring using Acoustic
Emission," Proc. 1996 Annual Meeting of the ASPE, November 1996, Monterey.

46. P. S. Sheng and D. A. Dornfeld, "California Perspective on Designing and Manufacturing for a Clean
Environment," CIRP Annals, Vol. 44, No. 2, pp. 534-535, 1995.

47. Tang, J. S., Unger, C., Moon, Y. S. and D. A. Dornfeld, "Low-k Dielectric Material Chemical Mechanical
Polishing Process Monitoring using Acoustic Emission," Low-Dielectric Constant Materials III, C. Case,
et al, eds., Materials Research Society, 1998, Vol 476, pp. 155-160.

48. Dornfeld, D., “Monitoring of Ultraprecision Machining Processes,” Proc. 8th International Machine Tools
Engineer Conference, Osaka, Japan, November, 1998, to appear.

49. Dornfeld, D., “Process Monitoring for Precision Manufacturing,” Proc. CIRP/VDI Conference on High
Performance Tools- the Key to Innovative Machining Technologies,” Düsseldorf, Germany, November
1998.

86
D. Laboratory Publications - Reports/Edited Volumes/Chapters in Books
1. Automation in Manufacturing: Systems, Processes and Computer Aids," Proceedings of Sessions at 1981
ASME Winter Annual Meeting, ASME PED-Vol. 4, Washington, D.C., November 1981 (Editor).

2. "Inspection and Quality Control in Manufacturing Systems," Proceedings of Sessions at 1982 ASME
Winter Annual Meeting, ASME PED-Vol. 6, Phoeniz, AZ, November, 1982, with DeVries, W.R. (Editor).

3. "Acoustic Emission Monitoring and Analysis in Manufacturing," Proceedings of Symposium at 1984


ASME Winter Annual Meeting, ASME-PED, Vol. 14, New York, 1984. (Editor)

4. "Proceedings of the Fourth Water Jet Conference," ASME, Berkeley, CA, August, 1987. (Co-Editor with
M. Hood)

5. "Sensors for Manufacturing," Proceedings of Symposium at 1987 ASME Winter Annual Meeting, ASME-
PED, Vol. 26, New York, 1987, with M. K. Tse (Editor).

6. "Acoustic Emission Process Monitoring," contributed chapter to ASNT Nondestructive Testing Handbook,
Vol. 5-Acoustic Emission Testing, ASNT, Columbus, OH, 1988, pp. 485-503.9.

7. Manufacturing Processes, Systems and Machines, Proc. 15th NSF Conference on Production Research and
Technology, SME, University of California at Berkeley, January, 1989. (Editor)

8. D. A. Dornfeld, "Monitoring the Machining Process by Means of Acoustic Emission Sensors," Acoustic
Emission: Current Practice and Future Directions, ASTM, STP 1077, W. Sachse, J. Roget and K.
Yamaguchi, Eds., American Society of Testing and Materials, Philadelphia, 1991.

9. D. A. Dornfeld, "Sensor Fusion," contributed chapter in Handbook of Intelligent Sensors for Automation,
N. Zuech, Ed., Addison-Wesley, 1991, pp. 419-508.

10. D. A. Dornfeld, "Signal Processing for Automatic Supervision," chapter in Automatic Supervision in
Manufacturing, M. Szafarczyk, ed., Springer-Verlag, London, 1994, pp. 209-250.

11. Dornfeld, D. A., “Design and Implementation of In-Process Sensors for the Control of Precision
Manufacturing Processes,” chapter in Advanced NDE Techniques for Process Sensing and Control, ASNT,
1998, pp. 125-150 .

12. D. A. Dornfeld et al, eds., Proc. Symposium on Research Issues in Precision Manufacturing, Univ. of
California, Berkeley, September, 1995.

13. P. Chedmail, J. C. Bouquet, and D. A. Dornfeld, eds.,Integrated Design and Manufacturing, Kluwer, 1998.

14. Dornfeld, D. A., ed., “Networked Manufacturing: Integrated Design, Prototyping and Rapid Fabrication,”
Proc. 31st CIRP Int’l. Seminar on Manufacturing Systems, May, 1998, Berkeley.

87
DAVID A. DORNFELD

David Dornfeld received his B.S., M.S. and Ph.D. degrees in Mechanical Engineering
from the University of Wisconsin-Madison in 1976 in the area of Production Engineering. His
Ph.D. thesis concerned the study of the fundamentals of the mechanical pulping process
(abrasive machining). He joined the faculty of the University of California at Berkeley in the
Mechanical Engineering Department in 1977 and is presently Professor of Manufacturing
Engineering. He is past-Director of the Engineering System Research Center in the College of
Engineering. In 1982 and 1992 he was Directeur de Recherche Associe, Ecole Nationale
Superieure des Mines de Paris, Paris and Invited Professor, Ecole Nationale Superieure d'Arts et
Metiers-ENSAM, Paris, respectively.

Dr. Dornfeld's research activities are in several fields of manufacturing engineering and
flexible automation: acoustic emission monitoring and analysis of manufacturing processes; burr
formation and edge finishing (leads an industry consortium supporting work in this area);
precision manufacturing; green manufacturing; and intelligent sensors and signal processing for
process monitoring and optimization. He has published over 150 papers in these fields,
contributed chapters to several books and has four patents based on his research work. He is a
consultant on sensors, manufacturing automation, and process modeling and the associated
intellectual property issues.

Professor Dornfeld is a Fellow and an active member of the American Society of


Mechanical Engineers (ASME), contributing to the technical programs and journals of the
society. He is the past Technical Editor, Trans. ASME, Journal of Engineering for Industry. He
was the recipient of the ASME Blackall Machine Tool and Gage Award in 1986. He is a Fellow
and past-Director of the Society of Manufacturing Engineers (SME), member of Japan Society of
Precision Engineering (JSPE), American Society of Precision Engineering (ASPE) and the U.S.
Acoustic Emission Working Group (AEWG). He is past-President of the Board of Directors and
a member of the Scientific Committee, North American Manufacturing Research Institute
(NAMRI/SME). He is an Active Member of the CIRP (International Institution for Production
Engineering Research) where he served as co-chair of the Working Group on Tool Condition
Monitoring and is Vice-Chair of the Scientific Technical Committee on Cutting. He serves on
the National Research Council Visiting Committee for the Manufacturing Laboratories at NIST.

88
Research Group Biographies

Author Academic Background Status Graduation Date Field of Future Interest


Daniel G. Abels B.S. 1992, University of Dayton Graduate Student May 1999 Control of Precision Manufacturing
M.S. 1995, UC Berkeley Processes and Lapping
Kori Bevans B.S. 1997, M.I.T. Graduate Student May 1999 CMP, Abrasive Machining, Precision
Manufacturing
Andrew Chang B.S. 1995, UC Berkeley Graduate Student May 2000 Sensor Development and Integration
M.S. 1997, UC Berkeley
Chih-Hsing Chu B.S. 1990, National Taiwan University Graduate Student May 2000 CAD/CAM, Intelligent Manufacturing
M.S. 1992, National Taiwan University Systems and CAGD
John Hewson B.S. 1997, Purdue University Graduate Student May 1999
Yoon Lee B.S. 1989 University of Michigan Graduate Student Dec 1999 Precision Engineering and CIM
M.S. 1991 University of Michigan
Jinsoo Kim B.S. 1989 Yonsei University, Korea Graduate Student May 2000 Drilling Burr Formation
M.S. 1991, KAIST, Korea
Debbie Krulewich B.S. , Purdue University Graduate Student Precision Engineering
M.S. , UC Davis
Jianfeng Luo B.S. 1997, Tsinghua University Graduate Student May 2002 Computer Simulation of CMP process
M.S. 1998, University of Cincinnati
Sangkee Min B.S. 1991, Yonsei University, Korea Graduate Student May 2001 Simulation of Manufacturing Processes
M.S. 1993, Yonsei University, Korea
Yongsik Moon B.S. 1994, Chung-Ang Unviersity, Korea Graduate Student May 1999 CMP, Lapping, Polishing, Abrasive
M.S. 1996, UC Berkeley Machining, Precision Manufacturing
Christian Brennum Siv. Ing. (M.S) 1999, Norwegian University Research Fellow CAD/CAM, Intelligent Manufacturing
of Science and Technology, Trondheim
Henning Dechow Vordiplom (B.S.), 1995, Technical Research Fellow Dipl.-Ing. December Design and Manufacturing of Composite
University of Hamburg-Harburg, Germany 1998 Materials
Eungsug Lee B.S. 1980, Seoul National Univ., Korea Visiting Scholar CMP, Diamond Machining, Mirror
M.S. 1982, Seoul National Univ., Korea Finishing, Precision Grinding
Ph.D. 1997, KAIST, Korea
Yohichi Nakao B.S. 1986, Kanagawa University, Japan Visiting Scholar Free form Surface Machining
M.S. 1988, Kanagawa University, Japan Diamond Turning
Ph.D. 1997, Tokyo Inst. of Tech., Japan Intelligent Control of Machine Tool
John Philip Tyler MEng. 1993, University of Sheffield, UK Research Fellow Edge finishing.
Ph.D. Candidate, University of Sheffield,
UK

89
Further Information
For further details on project areas and/or to discuss specific projects of interest to you, please contact:

Professor David A. Dornfeld


5100A Etcheverry Hall
University of California
Berkeley, CA 94720
Tel: (510) 642-0906
Email: dornfeld@dornfeld.me.berkeley.edu
FAX: (510) 643-7463 or (510) 643-8982

This work is supported by the federal and state government as well as a consortium of private industries. There are a
variety of ways that you could participate in this research program. Pleas contact Professor Dornfeld or:

Industrial/Liaison Program/ME Affiliate Program


Mechanical Engineering Department
University of California
Berkeley, CA 94720
Tel: (510) 643-8710
Email: kate@me.berkeley.edu
FAX: (510) 643-9786

Research Sponsors
The following sponsors have provided support or donated experimental equipment and materials to the laboratory:

DARPA/NSF Machine Tool-Agile Manufacturing Research Institute at the University


of Illinois
Boeing
Competitive Semiconductor Manufacturing Program
Caterpillar, Inc.
Computer Mechanics Laboratory at UC Berkeley
Ford Motor Company
Hibbit, Karlsson, & Sorensen, Inc.
IBM
Lawrence Livermore National Laboratory
National Science Foundation
Sandia National Laboratory
United Technologies Research Center
MAPAL Dr. Kress KG, Germany
MAPAL , Inc.
Guhring, Inc.
Kennametal/Hertel
University of California-Semiconductor Manufacturing Alliance for Research and
Training (UC-SMART)
Rodel
Diamonex
EKC Technology

90
Members of the LMA Research Group
1998 - 1999

Front Row
John Imamura, Yoon Lee, Sangkee Min, Henning Dechow, John Hewson, Andrew Chang
Back Row
Professor David A. Dornfeld, Jinsoo Kim, Yohichi Nakao, Chih-Hsing Chu, Yonsik Moon, Jianfeng Luo
Kori Bevans, Eungsug Lee

Missing in Photo
Daniel G. Abels, Debbie Krulewich, Christian Brennum, Philip John Tyler

91

Вам также может понравиться