Зві т
з лабораторної работи №5
дисципліна: «Технології проектування компьютерных систем»
Ассерції
(тема)
Виконав: Проверил:
Ст. гр. КІУКІ 16-5 Шевченко О.Ю.
Давиденко Б.Є
Харків 2019
module device_tb();
reg clk = 0;
reg R = 0, St, S0 = 1, S1 = 1;
shortint Data0, Data1;
byte F;
initial begin
#10 St = 1; Data0 <= 47; Data1 <= 228;
#5 St = 0;
#10 St = 1;
#5 St = 0;
// Make the assertion fail
#45 S1 = 0; S0 = 0;
#10 St = 1;
#5 St = 0;
// Make the assertion pass
#40 Data0 <= 1337; Data1 <= 1488;
#20 $finish;
end
endmodule
Листинг 5.1 – Testbench.sv
vsim +access+r;
run -all;
acdb save;
acdb report -db fcover.acdb -txt -o cov.txt;
exit
Листинг 5.2 – run.do
// Code your design here
module assertion(
input reg R, St, S0, S1,
input shortint Data0, Data1,
output byte F,
input clk
);
sequence seq(A, B);
(A == 1) ##6 (B > 0 && !$isunknown(B));
endsequence
property system_prop;
@(posedge clk)
disable iff (R) (St == 1 && (($past(St, 1) == 0) ||
($isunknown($past(St, 1))))) ##1 (seq(S0, Data0) or seq(S1, Data1));
endproperty