Вы находитесь на странице: 1из 17

Cours Electronique Numérique

2016-2017
Audioprothèse 2eme Année
Christophe Adessi
christophe.adessi@univ-lyon1.fr

LPMCN
Université Claude Bernard Lyon1

Electronique Numérique 2016-2017 – p. 1


Sommaire
I Chaı̂ne de traitement numérique du signal
I.A Le codage binaire
I.B Notion d’échantillonage et de conversion
analogique ⇔ numérique
I.C Exemple de traitement numérique du signal

II Algèbre et logique combinatoire


II.A Opération logique élémentaire
II.B Algèbre de Boole
II.C Application

III Logique séquentielle


III.A Bascules
III.B Compteurs
III.C Registres
Electronique Numérique 2016-2017 – p. 2
IV - Logique séquentielle
Introduction
En logique séquentielle, le résultat dépend des données à l’instant t mais également
de l’état précédent des sorties.
Contrairement à la logique combinatoire, on introduit en plus la notion de mémoire
(typiquement, la bascule).
L’état des sorties en logique séquentielle dépendra de l’ordre dans lequel ont été
appliquées les combinaisons d’entrées c.a.d. la séquence.
On distingue 2 types de fonctionnement :
Fonctionnement asynchrone, pour lequel la sortie logique peut changer d’état à
tout moment quand une ou plusieurs entrées changent.
Fonctionnement synchrone, ou le changement d’état est commandé par un signal
d’horloge.

Nous nous intéresserons à 3 types de composants :


Les bascules ou montage bistable.
Les registres.
Les compteurs.
Electronique Numérique 2016-2017 – p. 3
IV - Logique séquentielle
IV.A - Bascules
IV.A.1 - Bascules RS avec des portes NAND
IV.A.1.a - Table de vérité
S S
Q
d’où,
S=1 ⇒ Q = 1; R=1 ⇒ Q′ = 1
Q’ S=1 ⇒ Q = 1; R=0 ⇒ Q′ = 0
R
 R R=1 ⇒ Q′ = 1; S=0 ⇒Q=0
 Q = Q′ .S = Q′ + S Enfin, si R = 0 et S = 0 ⇒ Q = Q′ .
Nous avons :
 Q′ = Q.R = Q+R
Pour R = S = 0, les sorties sont complémentaires
S R Q Q′
mais leur état reste inchangé.
0 0 Q Q Les sorties sont toujours complémentaires, hormis
0 1 0 1 pour la combinaison R = S = 1 (combinaison
1 0 1 0 inutilisée).
R correspond à "RESET" (mise à zéro) et S à "SET"
1 1 1 1
(mise à 1).

Electronique Numérique 2016-2017 – p. 4


IV - Logique séquentielle
IV.A.1.b - Tableau de Karnaugh de Qn+1
"L’état de repos" correspond à R = S = 0. Cela confère la fonction mèmoire à la bascule
RS.
On note Qn l’état de la sortie
à l’instant tn et Qn+1 l’état à Séquence 1 :
l’instant suivant tn+1 . tn : S = 0; R = 1 ⇒ Qn = 0 (Q′n = 1)
tn+1 : R = 0; S = 0 ⇒ Qn+1 = 0 (Q′n+1 = 1)
La sortie Qn+1 ne dépend
Séquence 2 :
pas uniquement de R et S tn : S = 1; R = 0 ⇒ Qn = 1 (Q′n = 0)
mais également de Qn (i.e. de tn+1 : R = 0; S = 0 ⇒ Qn+1 = 1 (Q′n+1 = 0)
la séquence).

Tableau de Karnaugh de Qn+1 :


PP S 1
PP SR 11 10 00 01
Qn PP 0 t
P R 1
0 1 1 0 0
0 t
1 1 1 1 0 Q 1

Qn+1 = S + R.Qn 0 t

Electronique Numérique 2016-2017 – p. 5


IV - Logique séquentielle
IV.A - Bascules
IV.A.2 - Principe d’une bascule synchrone
La bascule RS est dite “asynchrone”. La sortie dépend à tout instant de la succession
des combinaisons appliquées.
Les bascules dites “synchrone” évolue elle au rhytme d’un signal d’horloge. L’action
des entrées sur l’état des sortie n’est effective que lors d’un changement d’horloge i.e.
durant un temps infiniment court.

Les bascules, actives lorsque l’entrée d’horloge passe de


l’état bas à l’état haut ( ), sont dites actives sur front R Q
montant. H
Les bascules, actives lorsque l’entrée d’horloge passe de S Q
l’état haut à l’état bas ( ), sont dites actives sur front R Q
descendant. H
S Q
Pour passer d’une bascule active sur front montant à une Représentation symbolique d’une
bascule RS synchrone active sur
bascule active sur front descendant, il suffit de rajouter un front montant et descendant.
inverseur.
Electronique Numérique 2016-2017 – p. 6
IV - Logique séquentielle
IV.A.3 - Entrée d’horloge

 S
1 = S.H S
Nous avons :
 R1 = R.H S1
Q
or, Qn+1 = S1 + R1 .Qn
H

d’où Qn+1 = S.H + R.H.Qn Q’


R1
Si H = 0 : Qn+1 = Qn R
(R, S inopérant) Quand H = 0, c’est le mode bloqué.
Si H = 1 : Qn+1 = S + R.Qn
S 1 Quand H = 1, c’est une
0 t bascule R S asynchrone.
R 1 S R Qn+1
On a deux états commandés.
0 t
H 1
0 0 Qn On a un état mémoire.
0 t 0 1 0 Le fonctionnement n’est pas
Q 1
1 0 1 “synchrone” car le
0 t
changement ne se fait pas
Cond. Init. Qn = R = S = H = 0 1 1 1
exclusivement pour ou

Electronique Numérique 2016-2017 – p. 7


IV - Logique séquentielle
IV.A.4 - Bascules D-Latch "verrou"
D C’est une bascule RS avec R = S.
Q La combinaison R = S est impossible.
H C’est un montage suiveur qui recopie
l’entrée.
Q’

Nous avions
Qn+1 = S.H + R.H.Qn
D 1
= D.H + D.H.Qn
0 t
H 1 H=1 ⇒ Qn+1 = D + D.Qn
0 t = D. (1 + Qn ) = D (suiveur)
Q 1
H=0 ⇒ Qn+1 = Qn (verrou)
0 t
PP
PP HD 00 01 11 10
Qn PP
P Le tableau de Karnaugh donne :
0 0 0 1 0 Qn+1 = D.H + H.Qn
1 1 1 1 0
Remarque : L’entrée d’horloge sert ici d’entrée de validation.

Electronique Numérique 2016-2017 – p. 8


IV - Logique séquentielle
IV.A.5 - Bascule D Maitre-Esclave
Position du problême :Comment obtenir un effet "verrou" uniquement sur un front montant
ou descendant i.e. comment obtenir une bascule synchrone.
La solution est d’associer 2 bascules en cascade, la 2ème étant asservie par la première.
L’horloge de la 1ière bascule est inversée par rapport à la 2ème .
Maître H
D Esclave 1
QM
QS
0 t
H
H D
1

0 t
QM
verrou suiveur verrou suiveur
H
1

L’entrée de l’esclave recopie la sortie QM du


0 t
maître. QS
suiveur verrou suiveur verrou
1
Le Maître ne répercute le changement de D que
lorsque H passe à 0. 0 t

L’esclave reste bloqué et ne répercute la sortie du maître que lorsque H passe à 1.


Le changement de l’esclave n’intervient ainsi que lorsque l’on a un front montant.

Electronique Numérique 2016-2017 – p. 9


IV - Logique séquentielle
IV.A.6 - Bascule JK
Nous avions : Qn+1 = S1 + R1 .Qn .
S1 De plus, S1 = J.H.Qn et R1 = K.H.Qn .
J
Q D’où, Qn+1 = J.H.Qn + K.H.Qn .Qn .

H
On obtient ainsi :

R1 Q
K Qn+1 = J.H.Qn + K.H.Qn

J 1
J K Qn+1 0 t
0 0 Qn K 1

0 1 0 0 t
H 1
1 0 1 0 t
1 1 Qn Q 1
0 t

Il n’y a plus d’ambiguité pour J = K = 1 contrairement à la bascule RSH.

Electronique Numérique 2016-2017 – p. 10


IV - Logique séquentielle
IV.B - Compteur
IV.B.1 - Compteur asynchrone modulo 2n
Les compteurs asynchrones (ou encore série) sont basés sur des bascules JK en
série avec J = K = 1.
Le changement d’état se fait impérativement sur front descendant ( décompteur).
Le terme "modulo" désigne le nombre d’états distincts en sortie.

Avec 3 bascules en
J Qa J Qb J Qc série, nous avons un
H
K K K compteur modulo 8.
H 1
Pour n bascules
0 t nous avons un
Qa 1 1 1 1 1

0 0 0 0 0
compteur modulo
0 t
Qb 1 1 1 1 1 2n .
Qc 1
0
0 0 0
1
0
1 1 1
0
t Qa représente le
0
0 0 0 0 0
t
LSB et Qc le
M SB.

Electronique Numérique 2016-2017 – p. 11


IV - Logique séquentielle
E S L’inconvénient du compteur est le délai de propagation
E1
entre les entrées et les sorties.
0 t Il existe un délai (tLH ) entre le changement à l’entrée et
S1
le changement en sortie.
0 t
t LH Ce délai se cummule entre les différentes bascules.

IV.B.2 - Compteur synchrone


H 1 Les entrée des bascules sont soit à 1
Qa 1
0 t LH t HL t soit à 0 :
J K Qn+1
0 t
Qb 1 J=0
b
J=1
b
J=0
b
J=1
b 0 0 Qn
0
Jb.Q b=0 Jb.Q b=0 Jb.Q b=0 Jb.Q b=1
t 1 1 Qn
Qc 1
0 t
Le délai de propagation est le même
pour toute les bascules.
1 J Qa J Qb J Qc Toute les bascules sont commandées
H
K K K par la même horloge ⇒ synchrone.

Du fait du délai de propagation, la bascule b ne change d’état que pour un front


descendant sur deux.

Electronique Numérique 2016-2017 – p. 12


IV - Logique séquentielle
IV.B.3 - Commande "Preset" et "Clear"
IV.B.3.a - Bascule RS initialisable
S S1
P Q
Nous avons : Qn+1 = S1 + R1 .Qn
avec, S1 = S + P et R1 = R + C = R.C
C Q’ Qn+1 = S + P + R.C.Qn .
R1
R
S 1

On considère l’état de mémorisation R = S = 0 : 0 t


Qn+1 = P + C.Qn R 1

P = 0, C = 0 ⇒ Qn+1 = Qn (inchangé). 0 t
C 1
P = 1, C = 0 ⇒ Qn+1 = 1, sortie forcée à 1.
0 t
P = 0, C = 1 ⇒ Qn+1 = 0, sortie forcée à 0. P 1

P = 1, C = 1 ⇒ Combinaison inutilisée. 0 t
Q 1
0 t

Electronique Numérique 2016-2017 – p. 13


IV - Logique séquentielle
IV.B.3.b - compteurs modulo < 2n
Position du problème : On souhaite obtenir un compteur dont le
(clear actif sur 0)
modulo N est diffèrent d’une puissance de 2.
J Q
On utilise des bascules JK réinitialisables (possédant une H
K C Q
entrée "Clear")
L’entrée "Clear" peut être active sur 0 ou 1. (clear actif sur 1)

On définit une fonction f tel que : J Q


H
K C Q
f = 1 ("Clear" inactif) lorsque la combinaison est < N
f = 0 ("Clear" actif) lorsque la combinaison est égale à N

Exemple modulo 5 : (tableau de Karnaugh f )


PP
PPQb Qa 00 01 11 10 H
J Qa J Qb J Qc
Qc PP K C K C K C
P
0 0 0 0 0
1 0 1 X X
f = Qc .Qa

Remarque : Les combinaisons Qc = 1 , Qb = 1 , Qa = 0 et Qc = 1 , Qb = 1 , Qa = 1


ne sont pas utilisées.
Electronique Numérique 2016-2017 – p. 14
IV - Logique séquentielle
IV.C - Registres
Les registres sont des circuits séquentiels à n entrées de données et n sorties.
Ils possèdent également une entrée d’horloge et une entrée de commande “Load”.
Lorsque l’entrée “Load” est active, les entrées sont recopiées sur les sorties sur un
front d’horloge.

IV.C.1 - Registre à chargement parallèle


Réalisation avec des bascules D (sans “Load”) : H 1
0 t
D2 Q2 D1 Q1 D0 Q0 D0 1
0 t
D1 1
H 0 t
D2 1
0 t
Permet la mémorisation (stockage) d’un nombre Q0 1
binaire. 0 t
Q1 1
L’incorporation de la fonction “Load” nécessiterait 0 t
Q2 1
un câblage spécifique. 0 t

Electronique Numérique 2016-2017 – p. 15


IV - Logique séquentielle
IV.C.2 - Registre à décalage
D0 Q0 D1 Q1 D2 Q2 D3 Q3

H
H 1
0 t
D0 1 Le décalage se fait vers la droite (Di = Qi−1 ).
Q0 1
0 t Le décalage peut également se faire vers la
0 t
gauche.
Q1 1 Il est possible de combiner le chargement
0 t parallèle et le décalage.
Q2 1
0 t Application : multiplieur
Q3 1
0 t
Décalage à gauche :

D0 Q0 D1 Q1 D2 Q2 D3 Q3

Electronique Numérique 2016-2017 – p. 16


IV - Logique séquentielle
Remarques finales
Les bascules étudiées ici sont des bascules dites bistables ou de type flip-flop : les
deux niveaux de sorties sont stables et exclusivement fonctions :
De l’ordre de basculement des entrées de commande (R, S, J, K, D, T...).
De l’horloge (H) et du basculement des entrées directes (C, P).

Les temps de propagation doivent être étudiés avec attention pour la réalisation des
circuits à logique séquentielle, qui combinent les modules de base tels que compteurs,
registres. Le chronogramme est un outil essentiel pour la conception des circuits. Le
mode synchrone est recommandé pour les circuits complexes et/ou rapides.

Le temps de propagation dépend de la technologie utilisée. Ex: technologie CMOS


(basée sur transistors à effet de champ ou FET) moins rapide que la technologie TTL
(sur les transistors bipolaires).

Les bascules dites astables et monostables possèdent un voir deux niveaux qui ne
peu(ven)t être occupé(s) que pendant un temps limité. Ces bascules rentrent en
compte dans la réalisation des horloges numériques.

Electronique Numérique 2016-2017 – p. 17

Вам также может понравиться