Академический Документы
Профессиональный Документы
Культура Документы
FUNCIONES LÓGICAS
ELECTRONICA DIGITAL
CARLOS PARRA
COD: 80818553
DIRECTORA
SANDRA MILENA GARCIA
GRUPO: 243004A_614
BOGOTA D.C.
Primero que todo debemos tener en cuenta que hoy en día la electrónica
digital se encuentra en todo equipo electrónico o todo lo que tenga que
ver con la rama de la tecnología. Gracias a estos primeros pasos
podremos tener un conocimiento más amplio para poder afrontar los
problemas de la vida cotidiana, también tendremos el privilegio de ir
conocer un poco más de la electrónica digital.
12AB
1 1 0 0 1 0 1 0 1 0 1 1
2. Convierta los siguientes números a complemento a 2 con el
número bits indicados (Sección 3.7.2 del libro de Muñoz).
-18
1 0 1 1 1 0
0 1 0 0 1 0
18
b. 65 con 8 bits
65
0 1 0 0 0 0 0 1
1 0 1 1 1 1 1 1
-65
-32
1 0 0 0 0 0
1 0 0 0 0 0
32
Mapa de Karnaught
a) Utilizando mapas de Karnaught encuentre la mínima expresión
Suma de Productos.
F ( A , B ,C , D ) =∑ (0,2,4,6,8,10,14)
F ( A , B ,C , D ) =∏ (1,3,5,7,8,15,14,10,9)
C-D 00 01 11 10
A-B
00 1 1
01 1 1
11 1 1
10 1 1 1
0 0 0 0
1 0 0 0
BE = P1* P´ 2* P´ 3
BT = P´ 1*P2* P´ 3
F ( A , B ,C , D ) =∑ ( 0,4,8,5,7,15 ) + ∑ ( 12,13,14)
d
CD 00 01 11 10
AB
00 1 0 0 0
01 1 1 1 0
11 x x 1 x
10 1 0 0 0
-- Carlos Parra
-- Codigo: 80818553
-- Electronica Digital
-- Tarea 2: Multiplexor 2 a 1
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity mux_2to1 is
port(
A,B : in STD_LOGIC;
S0: in STD_LOGIC;
Z: out STD_LOGIC
);
end mux_2to1;
begin
process (A,B,S0) is
begin
else
Z <= B;
end if;
end process;
end bhv;
Simulacion:
-- Carlos Parra
-- Codigo: 80818553
-- Electronica Digital
-- Tarea2 : Multiplexor 6 a 1
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_textio.all;
use ieee.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity mux61_con is
y: out std_logic
);
end mux61_con;
begin
with s select
y <= a when"000",
b when"001",
c when"010",
d when"011",
e when"100",
f when"101",
endest;
Pantallazo
Simulador
-- Carlos Parra
-- Codigo: 80818553
-- Electronica Digital
-- Tarea2 : Multiplexor 8 a 1
library IEEE;
use IEEE.STD_LOGIC_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
entity multiplexer_8o1 is
Port (
y : out STD_LOGIC
);
end multiplexer_8o1;
begin
process (x,sel)
begin
case sel is
when "000"=>y<=x(0);
when "001"=>y<=x(1);
when "010"=>y<=x(2);
when "011"=>y<=x(3);
when "100"=>y<=x(4);
when "101"=>y<=x(5);
when "110"=>y<=x(6);
when "111"=>y<=x(7);
end case;
end process;
End Behavioral;
Pantallazo
Simulación
Describa en VDHL un decodificador de 3 entradas utilizando la sentencia
with-select.
-- Carlos Parra
-- Codigo: 80818553
-- Electronica Digital
-- Tarea 2 : Decodificador 3
library IEEE;
use IEEE.Std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
entity DEC3_SELECT is
A, B, C, : in STD_LOGIC;
F : out STD_LOGIC);
begin
B when "001",
Pantalla
Simulacion
-- Carlos Parra
-- Codigo: 80818553
-- Electronica Digital
-- Tarea 2 : codificador de 4
library IEEE;
use IEEE.Std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
entity DEC3_SELECT is
A, B, C, D : in STD_LOGIC;
F : out STD_LOGIC);
begin
B when "001",
D when others;
Pantalla
Simulacion
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_textio.all;
use ieee.numeric_std.all;
use IEEE.std_logic_unsigned.all;
y: out std_logic);
end mux21_con;
begin
b when others;
end est;
library ieee;
use ieee.std_logic_1164.all;
entity mux21_cond is
port ( a, b, s: in std_logic;
y: out std_logic);
end mux21_cond;
endest;
Pantalla
Simulacion
Figura 2
Pantalla
Simulacion
Describa en VDHL el circuito que se muestra en la siguiente figura. El
diseño debe contener tres módulos diferentes (tres COMPONENTs) y un
archivo de alto nivel, tal como se muestra en la siguiente figura.
Figura 3