Вы находитесь на странице: 1из 47

Ellectronique n

numérique
Présenté par 
Dr.  Césaire Ndiaye
Dr.  Césaire 
Dr Césaire Ndiaye
1
Dr. Ndiaye Césaire
Généralités & Définitions
Généralités & Définitions 

Rappel: Logique Combinatoire
Rappel: Logique Combinatoire
 La sortie d’une fonction ne dépend que de ses entrées:
 Pour les mêmes entrées on obtient toujours les mêmes sorties.
 Permet de construire des opérations :
p
 Logiques
 Arithmétiques

Ellectronique n
La logique séquentielle
 Le temps de propagation tp est non nul. Durant ce temps :
 Laa sortie
o ie n’est
e papas valide
ai e

numérique
 On ne peut pas modifier les entrées

Comment enchaîner plusieurs calculs ?


 On maintient les entrées stables au moins pendant tp.
 Dès que le résultat est prêt, la sortie est obtenue; on peut au même
instant modifier les entrées.
entrées
 On utilise donc le même signal de synchronisation: La même horloge
Dr. Ndiaye Césaire 2
Généralités & Définitions
Généralités & Définitions 

Circuit séquentiel
q : Circuit dont l’état des sorties dépend
p non seulement
des entrées mais également de l’état antérieur des sorties.

Les fonctions séquentielles Les circuits séquentiels fondamentaux :


de base :  bascules ;
 mémorisation ;  compteurs ;
 comptage
p g ;  registres
g ;

Eleectronique nu
 décalage.  RAM (Random Access Memory).

 Circuits p pouvant travailler soit


soit::

umérique
 En mode asynchrone: À tout moment, les signaux d’entrée peuvent
provoquer le changement d’état des sorties (après un certain retard appelé
« temps de réponse »)
 En mode synchrone: Le moment exact où les sorties peuvent changer
d’état est commandé par un signal d’horloge.
Les changements d état ss’effectuent
d’état effectuent tous pendant une transition appelée «
front » (montant ou descendant).
Dr. Ndiaye Césaire 3
Généralités & Définitions
Généralités & Définitions 

Notation des états

 Comment noter un état « Q » dans le passé, le présent ou le futur?

Ellectronique n
Passé Présent Futur

numérique
Dr. Ndiaye Césaire 4
I Les bascules 
I‐ Les bascules

Elé
Elément de base des circuits séquentiels
td b d i it é ti l

 La bascule: circuit bistable pouvant


p prendre
p deux états logiques
gq
ʺ0ʺ ou ʺ1ʺ .

 Lʹétat

Ellectronique n
Lʹét t de
d la
l bascule
b l peutt être
êt modifié
difié en agissant
i t sur une ou
plusieurs entrées.

numérique
 Le nouvel état de la bascule dépend de lʹétat précédent.

La bascule peut conserver son état pendant une durée


quelconque:
 Donc, elle peut être utilisée comme mémoire.

5
Dr. Ndiaye Césaire
I Les bascules 
I‐ Les bascules

Bascules
Rôle : Mémoriser une information élémentaire. Mémoire à 1 bit possédant 2
sorties complémentaires Q et Q .

 Mémorisation faisant appel à un verrou (latch) ou système de blocage


utilisant le principe de rétroaction:

Electronique numérique
E
2 états possibles pour une bascule: 

Les interconnexions du 
verrou interdisent les 2 
autres combinaisons Type de circuit, qui nʹa que 2 états 
Dr. Ndiaye Césaire stables possibles = circuit bistable  6
I Les bascules: 1‐
I‐ Les bascules: 1 Bascules RS   
Bascules RS

Exemple de montage
p g Table de fonctionnement

Ellectronique n
numérique
 Q1 et Q2 complémentaires
 Les entrées ne doivent Etat interdit 
jamais être simultanément à 1

S : Set = mise à 1. R : Reset = mise à 0. 2 types de bascule RS:


 Q1 est forcé à 1 par S  Asynchrone
 Q2 est forcé à 1 par R  Synchrone
Dr. Ndiaye Césaire 7
I Les bascules: 1‐
I‐ Les bascules: 1 Bascules RS   
Bascules RS
Bascule R S ( Bascule asynchrone )

 La bascule RS
mémorise la valeur
des entrées : Sortie
dépendant de la

Ellectronique n
dernière entrée mise
à 1 (R ou S).

numérique
Fonction Set:
S = 1             Q=1
Fonction Reset:
Fonction Reset:
R = 1             Q=0
Dr. Ndiaye Césaire 8
I Les bascules: 1‐
I‐ Les bascules: 1 Bascules RS   
Bascules RS
Bascule R S H ( Bascule synchrone )

 Bascule R S dont la prise en compte de lʹétat des entrées est synchronisée


par une impulsion dʹhorloge.
A i é accidentelle
Arrivée id ll de
d ʺzéroʺ
ʺ é ʺ sur R ou sur S évitée.
é ié
Horloge = signal périodique

Ellectronique n
Fréquence d dʹhorloge
horloge = inverse de la période (généralement
entre 1 et 500 MHz ) ‐ Cycle de 1000 à 2 ns.

numérique
R S H =

Bascule RS
Bascule RS

Horloge

Dr. Ndiaye Césaire H= 0 mémorisation de l’état précédent. 9


I Les bascules: 1‐
I‐ Les bascules: 1 Bascules RS   
Bascules RS
Bascule R S H ( Bascule synchrone )
Conventions de dessin

Ellectronique n
numérique
Dr. Ndiaye Césaire 10
I Les bascules: 1‐
I‐ Les bascules: 1 Bascules RS   
Bascules RS
Bascule R S H ( Bascule synchrone )

Logigramme Table de fonctionnement

Ellectronique n
numérique
Equation

Sn et Rn : états des entrées à lʹinstant t = n


Dr. Ndiaye Césaire Qn+1 : Sortie au prochain cycle dʹhorloge (instant t = n + 1) 11
I Les bascules: 1‐
I‐ Les bascules: 1 Bascules RS   
Bascules RS
Bascule R S H ( Bascule synchrone )

Bascule RSH latch:


Activation sur niveau
h
haut dʹh l
dʹhorloge

Ellectronique n
numérique
Bascule RSH flip‐flop:
Activation sur front
montant dʹhorloge
Dr. Ndiaye Césaire 12
I Les bascules: 1‐
I‐ Les bascules: 1 Bascules RS   
Bascules RS
Bascule R S H ( Bascule synchrone )
Signal dʹhorloge: Une bascule synchronisée peut être déclenchée :
sur le front montant  ou sur le front descendant  de lʹimpulsion dʹhorloge.

Ellectronique n
Temps à respecter (définis par le
constructeur):

numérique
 ts= temps de stabilisation (5‐50ns)
 tm=temps de maintien (0‐10ns)

Le temps de commande doit rester


stable pendant une durée égale à ts+tm.

Lever l’indétermination sur l’état interdit (RS) Bascule JK


Dr. Ndiaye Césaire 13
I Les bascules: 2‐
I‐ Les bascules: 2 Bascules JK   
Bascules JK
Bascule JK synchrone 

Bascule J K synchrone (simple étage) :


 obtenue à partir dʹune bascule R S H dont les sorties sont rebouclées sur les
entrées
entrées.
 permet dʹéliminer lʹétat indéterminé.

Ellectronique n
numérique
14
Dr. Ndiaye Césaire
I Les bascules: 2‐
I‐ Les bascules: 2 Bascules JK   
Bascules JK
Bascule JK synchrone 
Table de fonctionnement

H=  ou 

Ellectronique n
numérique
C
Conservation de l’état précédent (mémorisation)
ti d l’ét t é éd t ( é i ti )
Mise à 0 (Reset)
Mise à 1 (Set)
Inversion de l’état (mode basculement ou Toogle)
Dr. Ndiaye Césaire 15
I Les bascules: 2‐
I‐ Les bascules: 2 Bascules JK   
Bascules JK
Bascule JK synchrone 
Exemple de chronogramme
h=front montant 

Ellectronique n
numérique
Remarque:
 Pour J = K = 1 , Mode basculement Bascule « T »(Toggle).
»(Toggle)
 Cette bascule passe à lʹétat opposé à chaque signal dʹhorloge.
16
Dr. Ndiaye Césaire
I Les bascules: 2‐
I‐ Les bascules: 2 Bascules JK   
Bascules JK
Bascule JK synchrone 
Table d’excitation

Table de transition de la bascule J‐K:


 donne les états dans lesquels doivent se trouver les entrées J et K pour
obtenir chacune des 4 transitions possibles de la sortie Q.

Ellectronique n
Exemple:
 pour obtenir la transition 0 → 1 de la sortie Q il faut que lʹentrée J soit
dans lʹétat 1,, q
quelque
q soit lʹétat de lʹentrée K.

numérique
Possibilité d’avoir J = K = 1 qui inverse lʹétat de la bascule ou J = 1 et K = 0
qui charge 1 dans la bascule.

Une croix indique


q
que lʹétat de lʹentrée
considérée est
indifférent : 0 ou 1
Dr. Ndiaye Césaire 17
I Les bascules: 3‐
I‐ Les bascules: 3 Bascules D   
Bascules D

Bascule D réalisée soit à partir
Bascule D réalisée soit à partir:
p
 dʹune bascule R S
d’une bascule J K dont les entrées sont reliées par un inverseur. Ceci 
impose donc que les entrées prennent des états complémentaires
impose donc que les entrées prennent des états complémentaires.

 Bascule ne possédant qu’une seule entrée de commande D.

Electrronique numéérique
 Sortie Q prend ll’état
état de ll’entrée
entrée D à ll’entrée
entrée du front actif de ll’horloge.
horloge.
 Niveau actuel de D mémorisé dans la bascule à l’instant du front
actif.

Réalisation J K D Q n 1  D
SRD

Dr. Ndiaye Césaire 18
I Les bascules: 3‐
I‐ Les bascules: 3 Bascules D   
Bascules D

Equation

Ellectronique n
numérique
Utilisation: La sortie
prend lʹétat de lʹentrée
D après llʹimpulsion
impulsion
dʹhorloge:
synchronisation du
transfert de données
en parallèle.
Dr. Ndiaye Césaire 19
I Les bascules: 3‐
I‐ Les bascules: 3 Bascules D   
Bascules D
Bascule D à verrouillage (Latch)
Bascule ne possédant pas de circuit détecteur de front: la sortie Q prend donc
lʹétat de lʹentrée D tant que lʹhorloge est à lʹétat haut.

Ellectronique n
numérique
Remarque:
R Entrée
E té de
d
validation plutôt que
horloge
Qn 1  V Qn  VD
Dr. Ndiaye Césaire 20
I Les bascules: 4‐
I‐ Les bascules: 4 Entrées asynchrones   
Entrées asynchrones

Bascule D recopie lʹentrée:


llʹentrée
entrée
entrée:
 Parasites en entrée pendant V = 1 ceux‐ci seront ʺrecopiésʺ

Mesures pour éviter que les parasites modifient lʹétat


l état de la sortie
sortie:
 V = 1 : Sortie verrouillée & Entrée ouverte
 V = 0 : Entrée verrouillée.

Ellectronique n
une conception en deux parties

Commandes interconnectées via un inverseur:


inverseur
 Quand lʹune
l une est 1 lʹautre
l autre sera à 0 et inversement
inversement.

numérique
 Structure « maître – esclave »

21
Dr. Ndiaye Césaire
II‐ Les
Les bascules: 4‐
bascules: 4 Entrées
Entrées asynchrones   
asynchrones
Bascule R S H Maitre‐Esclave

Ellectronique n
numérique
Avantage de la RSH/ME
 Sortie ne prenant en compte qu
quʹune
une seule information par impulsion
dʹhorloge
Inconvénients de la RSH/ME
H = 1 Bascule ouverte et peut toujours être perturbée par des
parasites.
Dr. Ndiaye Césaire 22
II‐ Les bascules: 4
Les bascules: 4‐ Entrées asynchrones   
Entrées asynchrones
Bascule J K Maitre‐Esclave

Cʹest une RSH dont les sorties sont ramenées aux entrées via deux ET et qui
dispose dʹentrées asynchrones de mise à 1 ou à 0.

Ellectronique n
numérique
Remarque: En agissant directement sur la bascule
esclave, on peut forcer à ʺ1ʺ ou ʺ0ʺ la sortie Q de la
Dr. Ndiaye Césaire bascule J K. R et S sont des entrées de forçage. 23
II‐ Les bascules: 5
Les bascules: 5‐ Graphes d
Graphes d’état
état   

Représentation graphique permettant de synthétiser les différents états de la


sortie d’un système ainsi que les transitions qui les génèrent.

Automatisation du mouvement dʹune perceuse:


perceuse
Un moteur, pouvant être activé dans les deux sens, va faire descendre
puis monter le bloc de perçage .
 Deux interrupteurs
p de fin de course sont p
placés en bas et en haut,, p
pour

Ellectronique n
terminer respectivement la descente et la montée.
 Le bouton‐poussoir Start permet à lʹouvrier de démarrer le perçage.

numérique
Dr. Ndiaye Césaire 24
I‐
I Les
Les bascules: 5
bascules: 5‐ Graphes
Graphes d
d’état
état   

Automatisation du 

mouvement dʹune perceuse

3 états: 
3 états:
3 états
états
 Arrêt,
 Descente

Ellectronique n
 Montée. 

numérique
Les deux valeurs binaires associées à chaque état correspondent aux
sorties:
Avance et
 Recule
qui commandent le moteur.

Transitions permettant le passage dʹun état à lʹautre.


Dr. Ndiaye Césaire  Elles sont associées à une condition sur les entrées. 25
II‐ Les compteurs   
II Les compteurs
C’est quoi un compteur ?

 Un compteur est un circuit séquentiel qui possède N états


(Q0,Q1,…..,Qn‐1).

 À chaque top d’horloge, il passe de l’état Qi à l’état Qi+1. Il revient


toujours à l’état initiale Q0 : Un compteur possède un cycle ( une séquence

Ellectronique n
d’états).

 Un compteur
p est constitué de n bascules: le nombre d’états d’un

numérique
compteur est inférieur ou égal à 2n.

 Deux types de compteurs : compteurs synchrones et asynchrones.


– les bascules qui constituent un compteur synchrone possèdent la même
horloge.
– les bascules qui constituent un compteur asynchrone possèdent des
horloges différentes.
Dr. Ndiaye Césaire 26
II‐ Les compteurs   
II Les compteurs
C’est quoi un compteur ?

 Les compteurs se présentent généralement sous la forme de circuits


intégrés. Ces derniers contiennent principalement des bascules.
 Ils comptent, suivant le système de numération binaire, le nombre
d’impulsions appliquées à son entrée.
 Suivant
S i t qu’une
’ nouvelle
ll impulsion
i l i incrémente
i é t ou décrémente
dé é t la
l valeur
l

Electroniquee numérique
du mot binaire de sortie, le circuit fonctionne respectivement en compteur
ou en décompteur.

Schéma d’un compteur 3 bits

Entrée
E é Q2
de mise à 0
COMPTEUR Q1 Sorties
Horloge
Dr. Ndiaye Césaire Q0 27
II‐ Les compteurs   
II Les compteurs
Description des entrées/sorties
Entrées :
Horloge (H, CLK,) Types de compteurs

Entrée permettant une évolution  Compteurs
C d l 2n (cycle
modulo ( l
de la sortie. complet)::
complet)
 n=2 : 0 ,1,2,3,0 modulo 4

Ellectronique n
Remise à zéro (Reset, CLR)  n=3 : 0,1,2,3,4,5,6,7,0 modulo 8
 n=4 : 0,1,2,…,14,15,0 modulo 16
Entrée
Entrée permettant une mise à zéro 
permettant une mise à zéro

numérique
des sorties.  Compteurs modulo N ( cycle
Active sur niveau haut ou niveau bas incomplet)::
incomplet)
 Pour N
N=5 0 1 2 3 4 0 modulo 5
5 : 0,1,2,3,4,0
Sorties : Q2, Q1, Q0  Pour N=10 : 0,1,2,3,4,5,6,7,8,9,0
modulo 10
 Les compteurs à cycle
Q2 : poids fort Q0 : poids faible quelconque : 0,2,5,6,7,8,10,0
Dr. Ndiaye Césaire 28
II‐ Les compteurs: 1
II Les compteurs: 1‐ Compteurs asynchrones   
Compteurs asynchrones
Compteurs modulo 2n ( cycle complet)

Compteur asynchrone:
asynchrone
 Constitué de n bascules J‐K fonctionnant en mode T.
 Signal
g dʹhorloge
g nʹest reçu
ç q par le 1er étage
que p g ((bascule LSB).
)
 Pour chacune des autres bascules le signal dʹhorloge est fourni par une
sortie de la bascule de rang immédiatement inférieur.

Exemple: Compteur modulo 8 constitué de 3 bascules J‐K.

Electroniqu
Supposition: les 3 bascules à zéro à lʹinstant t = 0.

ue numériquee
Dr. Ndiaye Césaire 29
II‐
II Les
Les compteurs: 1
compteurs: 1‐ Compteurs
Compteurs asynchrones   
asynchrones
Compteurs modulo 2n ( cycle complet)
MME:
ulo 8 
OGRAM
ur modu

Ellectronique n
HRONO
Compteu
CH

numérique
C

Horloge
Horloge active sur front descendant
active sur front descendant

 Sortie Q0 bascule sur chaque front descendant du signal dʹhorloge.


 Sortie Q1 change d état à chaque transition 1 → 0 de la sortie Q0.
dʹétat
 Basculement de Q2 déclenché par une transition 1 → 0 de la sortie Q1.
Dr. Ndiaye Césaire 30
II‐ Les compteurs: 1
II Les compteurs: 1‐ Compteurs asynchrones   
Compteurs asynchrones
Compteurs modulo 2n (cycle complet): Compteur modulo 8 

A partir du chronogramme                     la liste des états successifs des 3 sorties 

Compteur
p sʹincrémentant dʹune unité
à chaque top dʹhorloge, avec un cycle
de huit valeurs de 0 à 7 (modulo 8)

Ellectronique n
numérique
 Q0, Q1 et Q2 fournissent des signaux périodiques de fréquences
respectivement 2,
2 4 et 8 fois plus faibles.
faibles
 Application des compteurs: La division de fréquence
Dr. Ndiaye Césaire 31
II‐ Les compteurs: 1
II Les compteurs: 1‐ Compteurs asynchrones   
Compteurs asynchrones
Compteurs modulo 2n (cycle complet): Décompteur modulo 8

Réalisation d’un décompteur:


décompteur
 Changement dʹétat dʹune bascule lorsque la bascule de rang
immédiatement inférieur passe de lʹétat
l état 0 à 11.
Méthode: Utiliser la sortie Qi de chaque bascule pour déclencher la
Méthode
suivante.

Ellectronique n
numérique
Dr. Ndiaye Césaire 32
II‐ Les compteurs: 1‐
p Compteurs asynchrones   
p y
Compteurs modulo 2n (cycle complet): Décompteur modulo 8 

Ellectronique n
numérique
Méthode
Méthode:
brancher les sorties Q i
de chaque
q bascule sur
l’horloge de la bascule
suivante.
 Regarder ll’évolution
évolution
des sorties Qi
Dr. Ndiaye Césaire 33
II‐
II Les
Les compteurs: 1
compteurs: 1‐ Compteurs
Compteurs asynchrones   
asynchrones
Compteurs modulo 2n (cycle complet): Compteur modulo 16

Compteur 
modulo 16 
d l 16

Ellectronique n
numérique
Dr. Ndiaye Césaire 34
II‐
II Les
Les compteurs: 1
compteurs: 1‐ Compteurs
Compteurs asynchrones   
asynchrones
Compteurs modulo 2n (cycle complet):Décompteur modulo 16

Décompteur 
modulo 16
modulo 16 

Electroniqu
ue numériquee
Dr. Ndiaye Césaire 35
II‐ Les compteurs: 1
II Les compteurs: 1‐ Compteurs asynchrones   
Compteurs asynchrones
Compteurs modulo N (cycle incomplet): Compteur modulo 10

N QD QC QB QA
Réalisation
Réalisation:
 Remise à zéro 
à é 0 0 0 0 0
du compteur à  1 0 0 0 1
la 10ème 2 0 0 1 0

Ellectronique n
impulsion.
3 0 0 1 1
4 0 1 0 0

numérique
Méthode
Méthode:
5 0 1 0 1
Détecter la 
valeur (10)
( )10 soit  6 0 1 1 0
(1010)2 et activer  7 0 1 1 1
les entrées Clear 8 1 0 0 0
des bascules par 
des bascules par
un niveau bas.  9 1 0 0 1
Dr. Ndiaye Césaire Bouclage 1 0 0 0 1 0 0 0 36
II‐ Les compteurs: 1
II Les compteurs: 1‐ Compteurs asynchrones   
Compteurs asynchrones
Compteurs modulo N (cycle incomplet):Compteur modulo 10 
Méthode
Méthode:
 Remise à 0 activée dès que QD = QB = 1 et QC = QA = 0
 Comptage par ordre croissant, 1ère fois que QD = QB=1
1 : il suffit donc
de détecter le passage à 1 de ces 2 sorties et dʹenvoyer un 0 sur les entrées
Clear des 4 bascules, ce qui se fait avec un simple porte NAND

Electroniqu
ue numériquee
37
Dr. Ndiaye Césaire
II‐ Les compteurs: 1
II Les compteurs: 1‐ Compteurs asynchrones   
Compteurs asynchrones
Compteurs  dans un ordre quelconque (cycle irrégulier) 

Méthode
Méthode:
On réalise un compteur de même modulo, puis on transcode ses sorties pour
obtenir le cycle demandé.
demandé
Exemple : Cycle 2, 5, 6, 8, 4, 10, 2, 5, …

Ellectronique n
T bl
Tableau d Karnaugh
de K h

numérique
Sorties
So tie du
transcodeur
en fonction de
Q0, Q1,Q2
Dr. Ndiaye Césaire 38
II Les compteurs: 1‐
II‐ Les compteurs: 1 Compteurs asynchrones   
Compteurs asynchrones
Avantages/ Inconvénients
Inconvénients
Avantages
 Limitations de la vitesse de
fonctionnement:
 Conception très simple:
 Chaque bascule attend celle précédente
 Retard de commutation
 Liaisons entre bascules 

Ellectronique n
Liaisons entre bascules
 Temps de basculement s’ajoutent
sont peu nombreuses
 Limitation de la fréquence d’utilisation
 Plus économiques:

numérique
Pl é i
 Présence d’états transitoires
indésirables:
 Moins de composants
 Horloge générée par une bascule
 Clear généré par une fonction
combinatoire
bi t i
Blocage du compteur
Dr. Ndiaye Césaire 39
II Les compteurs: 2‐
II‐ Les compteurs: 2 Compteurs synchrones   
Compteurs synchrones
Définition

 Compteurs (décompteurs) dont tous les étages (bascules) sont


commandés par le même signal dʹhorloge:
d horloge:

Toutes les sorties du compteur basculent donc au même instant,

Ellectronique n
sans faire apparaître de temps de décalage entre elles.

numérique
 A chaque front actif sur
l’horloge, une bascule réagira en
fonction des états qui étaient
présents sur ces entrées J et K
avant le front d horloge.
d’horloge.

40
Dr. Ndiaye Césaire
II Les compteurs: 2‐
II‐ Les compteurs: 2 Compteurs synchrones   
Compteurs synchrones
Méthode de synthèse à partir de bascules JK

Rappels:  Table d’excitation 
Table de vérité de la bascule JK de la bascule JK

Ellectronique n
numérique
Représentation de la table de vérité d’une façon inversée:
Trouver pour chaque transition possible de ll’état
état Qt à ll’état
état Qt+1 les valeurs
nécessaires des entrées J et K.
Dr. Ndiaye Césaire 41
II Les compteurs: 2‐
II‐ Les compteurs: 2 Compteurs synchrones   
Compteurs synchrones
Méthode de synthèse à partir de bascules JK

A l’instant t, les sorties des bascules du compteur sont à l’état Qt.

Problématique
P
Problématique:
blé ti quelles
ll sontt les
l valeurs
l à appliquer
li aux entrées
t é Ji ett
Ki pour qu’à l’impulsion d’horloge suivante (instant t+1) les sorties
prennent les valeurs Qt+1 imposées par le cycle de comptage désiré ?

Ellectronique n
La table d’excitation permet la détermination des entrées Ji et Ki, à
appliquer
pp q pour
p obtenir les sorties désirées du compteur,
p connaissant les

numérique
sorties avant l’impulsion d’horloge.

Expression booléenne de chaque


entrée Ji et Ki obtenue en utilisant le
diagramme de Karnaugh.
Karnaugh
42
Dr. Ndiaye Césaire
II Les compteurs: 2‐
II‐ Les compteurs: 2 Compteurs synchrones   
Compteurs synchrones
Synthèse d’un compteur synchrone MODULO‐16

Ellectronique n
numérique
Dr. Ndiaye Césaire Table de vérité d’un compteur synchrone MODULO‐16 43
II Les compteurs: 2‐
II‐ Les compteurs: 2 Compteurs synchrones   
Compteurs synchrones
Synthèse d’un compteur synchrone MODULO‐16

Ellectronique n
numérique
Dr. Ndiaye Césaire 44
II Les compteurs: 2‐
II‐ Les compteurs: 2 Compteurs synchrones   
Compteurs synchrones
Synthèse d’un compteur synchrone MODULO‐16

Ellectronique n
numérique
Câblage d’un compteur synchrone modulo 16
g p y
J0 = K0 = 1 J1 = K1 = Q0 J2 = K2 = Q0.Q1 J3 = K3 = Q0.Q1.Q2

Même chronogramme que pour ll’asynchrone


asynchrone à la Possibilité de synthèse
différence du temps de propagation négligée. avec des bascules D
Dr. Ndiaye Césaire 45
II Les compteurs: 2‐
II‐ Les compteurs: 2 Compteurs synchrones   
Compteurs synchrones
Synthèse d’un compteur synchrone MODULO‐8

Table d’excitation  Table de vérité d’un compteur MODULO‐8
de la bascule D 

Ellectronique n
numérique
D = Q
Qt+1

Equation permettant de déterminer ll’entrée Di à appliquer pour obtenir les


entrée Di,
sorties désirées , connaissant l’état des sorties avant l’impulsion d’horloge.
Dr. Ndiaye Césaire 46
CONCLUSION

Ellectronique n
Questions ?

numérique
47
Dr. Ndiaye Césaire

Вам также может понравиться