Вы находитесь на странице: 1из 19

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS

FACULTAD DE INGENIERÍA ELECTRÓNICA


ESCUELA DE INGENIERÍA ELECTRÓNICA

CIRCUITOS DIGITALES
LABORATORIO No3
INTRODUCCION A VHDL Y USO DEL MAX PLUS II

Profesor: Ing. Alfredo Granados Ly.


UNMSM – FIEE Circuitos Digitales

OBJETIVO:

 Implementar circuitos combinacionales en el FPGA utilizando VHDL


 Utilizar el estilo flujo de datos en el diseño de circuitos combinacionales.
 Utilizar la herramienta de simulación del MAX+PLUS II

INTRODUCCIÓN:

En un programa VHDL hay dos bloques básicos: La entidad y la arquitectura.

Entidad: La entidad, nos sirve para relacionar nuestro diseño con el mundo exterior, es decir,
analizamos lo que tratamos de crear como una "caja negra", de la que sólo conocemos sus
entradas, salidas y la disposición de las mismas.

Sintaxis:

entity identificador is
[genéricos]
[puertos]
end [identificador];

Nota: lo que se encuentra entre corchetes [] se considera que su uso es opcional.

Cada señal en una declaración de entidad está referida a un puerto (o grupo de señales), el cual
es análogo a un(os) pin(es) del símbolo esquemático. Un puerto es un objeto de información, el
cual puede ser usado en expresiones y a la vez se le pueden asignar valores. A cada puerto se le
debe asignar un nombre válido.

La declaración de un puerto consta de:


- nombre: identifica a un pin de la entidad
- modo: indica el flujo de la señal.
- tipo: indica el conjunto de valores que puede tomar un objeto, en este caso el puerto.

El modo determina como las sentencias de la arquitectura pueden acceder al puerto. Tenemos 4
tipos de modos:
- in: es de sólo lectura (no se puede escribir).
- out: es de sólo escritura (no se puede leer).

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

- buffer: se comporta como un puerto de salida (out) que se puede leer.


- Inout: es de tipo bidireccional, quiere decir que se puede leer y escribir.

Arquitectura: En la declaración de la arquitectura es donde reside todo el funcionamiento de un


programa, ya que es ahí donde se indica que hacer con cada entrada para obtener la salida. La
arquitectura es el conjunto de detalles interiores de la caja negra.

Sintaxis:
architecture nombre of entidad is
- - Zona de declaración
begin
sentencias concurrentes;
sentencias concurrentes;
sentencias concurrentes;
end nombre;

Para describir una arquitectura podemos utilizar tres estilos, teniendo cada uno su propio nivel de
abstracción:
- Estilo algorítmico (behavioral)
- Estilo flujo de datos (dataflow)
- Estilo estructural (structure)

Cada estilo está basado en sentencias concurrentes que determinan el grado de abstracción del
circuito a diseñar, así tenemos que el estilo algorítmico se caracteriza por utilizar las sentencias de
los lenguajes de alto nivel, el estilo flujo de datos basado en sentencias que asignan valores a una
señal y el estilo estructural que permite interconectar componentes ya elaborados.

La descripción de una arquitectura se compone de un conjunto de sentencias concurrentes que


se ejecutan en forma asíncrona entre sí y se comunican mediante señales. Estos procesos que se
ejecutan concurrentemente deben poder comunicarse (sincronizarse) entre ellos. El elemento
necesario para comunicar dos procesos es la señal (signal).

En cada uno de los estilos de modelado se utiliza la sentencia de asignación de señales: <=, para
esto hay que tener en cuenta que:

- Las señales a ambos lados del operador de asignación (<=) deben ser del mismo tipo.
- Si hay varias asignaciones a la misma señal en un mismo proceso, prevalece el valor de la
última asignación.
- Las asignaciones a señales pueden aparecer en sentencias concurrentes (estilo flujo de
datos) o sentencias secuenciales (estilo algorítmico).

En la arquitectura las sentencias concurrentes hacen referencia en todo momento a señales, estas
señales pueden ser puertos. La señal es un tipo de objeto en VHDL que puede cambiar de valor
y tiene un modelo de retardo asociado.

Tipo: El VHDL es un lenguaje de programación donde los objetos a utilizar (señales, variables,
constantes) deben tener asignado un tipo. El tipo define el conjunto de valores que pueden tomar
los objetos. Así tenemos por ejemplo el tipo bit (declarado en el paquete Standard de la biblioteca
STD) como:

TYPE BIT IS ('0', '1')

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Indica que el tipo bit sólo puede tomar los valores: '0' y '1'. Este es un tipo básico y con el ya
podemos crear puertos y nodos internos para interconectar los circuitos digitales. Pero hay un
inconveniente: este tipo no permite implementar componentes cuya salida pueda tomar un valor
de alta impedancia (Z), no se pueden realizar operaciones aritméticas (sólo lógicas) ya que no hay
implementadas funciones para tal fin con este tipo y tampoco pueden unirse más de una señal
sobre salidas de varios circuitos porque el tipo bit tampoco tiene asociado una función que permita
resolver las múltiples asignaciones a un mismo objeto. Por esta razón es preferible utilizar el tipo de
dato std_logic que se encuentra en el paquete STD_LOGIC_1164 de la biblioteca IEEE. El tipo
std_logic es un tipo de dato multivaluado como se muestra en parte de la descripción del paquete:

El tipo de dato utilizado por lo general std_logic (que es un sub-tipo del tipo std_ulogic) por las
ventajas que hemos mencionado en el párrafo anterior. Para poder utilizar este tipo de dato en el
programa VHDL debemos declarar previamente en que paquete se encuentra y a que biblioteca
pertenece el paquete, para esto utilizamos las siguientes sentencias:

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Con ello tenemos la posibilidad de utilizar todos los elementos que se encuentran declarados en
los paquetes: STD_LOGIC_1164, STD_LOGIC_ARITH y STD_LOGIC_UNSIGNED. En el paquete
STD_LOGIC_1164 encontramos la declaración de tipos y subtipos de datos, funciones de
conversión de un tipo a otro. En el paquete STD_LOGIC_ARITH encontramos funciones
aritméticas, lógicas y de relación que se puede utilizar entre los objetos declarados con tipos de
datos STD_LOGIC ó STD_LOGIC_VECTOR. El paquete STD_LOGIC_UNSIGNED complementa al
paquete STD_LOGIC_ARITH con operaciones aritméticas sin tener en cuenta los bits de signo.

1. ESTILO FLUJO DE DATOS PARA EL DISEÑO DE CIRCUITOS COMBINACIONALES

Este estilo se caracteriza por utilizar las asignaciones concurrentes a señales (ACS). Tenemos 3 tipos
de ACS:
- ACS única
- ACS condicional
- ACS selectiva

Estas sentencias terminan asignando un valor a una señal después de evaluar toda una expresión,
esta evaluación se realiza cuando ocurre un evento en una de las señales que se encuentran a la
derecha del símbolo de asignación a señal (<=).

ACS única: se basa en expresiones muy parecidas a las ecuaciones booleanas, en la mayoría de los
casos se expresan en suma de términos producto.
Sintaxis:
señal <= expresión;

en la expresión pueden aparecer valores, señales, operadores lógicos o aritméticos. Veamos


algunos ejemplos:
enable <= ‘1’ ;
z <= a and b or c ;
f <= p1 + p2;
temp <= var1 & var2;
y <= “10101”;

ACS condicional: se basa en expresiones que deben evaluar una condición y dependiendo de la
respuesta terminan asignando el resultado de la expresión a la señal.
Sintaxis:
señal <= expresión1 when condición1 else expresión2;

En la declaración anterior, si la condición1 es verdadera entonces la señal toma el resultado de la


expresión1, caso contrario (si condición1 es falsa) entonces la señal toma el resultado de la
expresión2.

La sintaxis de la ACS condicional en su forma general es:

señal <= expresión1 when condición1 else


expresión2 when condición2 else
expresión3 when condición3 else
........
expresiónN when condiciónN else expresiónM ;

En la declaración anterior se nota que hay una prioridad en la asignación a señal, por ejemplo
para que la señal tome el resultado de la expresión3 debe cumplirse que la condición1 y

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

condición2 sean falsas y la condición3 sea verdadera. La prioridad la tiene la condición1, si esta
no se cumple se pasa a evaluar la condición2, si esta no se cumple se pasa a evaluar la condición3
y así sucesivamente se evalúan todas las condiciones hasta que cumpla alguna de ellas.

Hay que aclarar que siempre se termina evaluando una expresión y asignando la respuesta a la
señal así no se cumpla ninguna condición.

Veamos algunos ejemplos:

- compuerta AND:

C <= A when B =’1’ else ‘0’;

- multiplexor de 2 a 1:

Z <= A when SEL=’0’ else B;

- en el siguiente circuito:

F <= A when Z=’1’ else


B when Y =’1’ else
C when X =‘1’ else ‘0’;

- decodificador de 3 a 8;

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

ENTRADA <= C & B & A;


TEMPORAL <= “11111110” when ENTRADA = “000” else
“11111101” when ENTRADA = “001” else
“11111011” when ENTRADA = “010” else
“11110111” when ENTRADA = “011” else
“11101111” when ENTRADA = “100” else
“11011111” when ENTRADA = “101” else
“10111111” when ENTRADA = “110” else “01111111” ;
Y <= TEMPORAL when ENA =’1’ else “11111111”;

ACS selectivo: se utiliza mucho para implementar tablas de verdad de pequeños circuitos. Se evalúa
una expresión (la que sigue a la palabra with) y de acuerdo al valor que tome se le asigna a la
señal la respuesta de una expresión. Veamos su sintaxis:

with expresión select señal <= expresión1 when valor1,


expresión2 when valor2,
expresión3 when valor3,
............
expresiónN when valorN ;

Esta sentencia requiere que se especifique todos los posibles valores que puede tomar la expresión
a evaluar, por lo que generalmente la sentencia se escribe de la siguiente manera:

with expresión select señal <= expresión1 when valor1,


expresión2 when valor2,
expresión3 when valor3,
............
expresiónN when others;

Con la palabra others se está cubriendo el resto de valores que no han sido especificados en la
sentencia. Veamos algunos ejemplos:

- compuerta AND:

with A select C <= B when ’1’


‘0’ when ‘0’;
Asumimos que A sólo puede tomar los valores de ‘0’ y ‘1’ (tipo bit);

- multiplexor de 2 a 1:

with SEL select Z <= A when ‘0’,


B when others;

Asumimos que SEL puede tomar otros valores a parte de ‘0’ y ‘1’ (tipo std_logic).

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

- Decoder de 2 a 4:

with E select Y <= “1110” when “00”,


“1101” when “01”,
“1011” when “10”
“0111” when others;

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

USO DEL MAX+ PLUS II EN LA IMPLEMENTACIÓN DE CIRCUITOS DIGITALES

Ingrese al Max+Plus II:

Seleccione el comando File -- New

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Escriba el siguiente programa:

Guarde el programa asignándole como nombre de archivo igual que el nombre de la


entidad. El archivo debe tener la extensión VHD. Para eso seleccione la casilla de
Automatic Extensión .VHD

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Compile el programa previamente seleccione: File-Project–Set Project to Current File

Ahora si puede compilar. Seleccione: Max+Plus II - Compiler

Si el programa no tiene errores se mostrará el siguiente mensaje:

Si es que indica algún tipo de error debe corregirlos antes de pasar al siguiente punto. A
continuación vamos a simular el circuito diseñado.

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Lo primero que realizamos es crear los estímulos. Seleccione: Max+Plus II–Waveform


Editor. Le aparecerá la siguiente ventana:

Pulse un clic derecho en el centro de la ventana, apareciendo la siguiente ventana:

Seleccione Enter Nodes from SNF… apareciendo la siguiente ventana:

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Pulse el botón List y los nodos disponibles selecciónelos pulsando el botón: => Pulse OK.
Debe tener la siguiente forma de señales de entrada y salida:

Como puede notar todas las entrada se les asigna por defecto el valor 0 y las salidas tienen
un valor indeterminado. Debemos asignar los valores que deseamos que tomen las
entradas en función del tiempo. Para esto contamos con los siguientes botones:

Coloca a un nivel bajo.

Coloca a un nivel alto.

Describe un nivel indefinido.

Describe una alta impedancia.

Invierte la señal.

Señal de reloj.

Asigna un valor de cuenta a un nodo o grupo tomando como referencia el tamaño del
paso.

Para asignar un valor en un determinado tiempo para un nodo, sólo debemos de arrastrar el ratón
pulsando el botón izquierdo por todo el intervalo de tiempo que deseamos fijar y posteriormente
pulsar uno de los botones descritos anteriormente.

Pulse este botón para ajustar todo el tiempo de simulación (por defecto es de 0ns
a 1us).

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Debe tener la siguiente presentación:

Seleccione el nodo e y pulse clic derecho, mostrándose el siguiente menú:

Con esta opción separa el grupo e en bits de manera individual mostrándose la siguiente
ventana:

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Para saber cual es el menor tiempo que podemos asignar un valor seleccionamos del menú
Options el comando: Grid Size mostrándose la siguiente ventana:

Podemos cambiar el tamaño del Grid a 20ns.

Para asignar un valor en un determinado tiempo para un nodo, sólo debemos de arrastrar
el ratón pulsando el botón izquierdo por todo el intervalo de tiempo que deseamos fijar y
posteriormente pulsar uno de los botones descritos anteriormente.

Por ejemplo, seleccione el tiempo 200ns a 500ns en el nodo e2 y pulse el botón


se mostrará la siguiente ventana:

Vamos a asignarles valores de señales periódicas. Para eso seleccione el nodo e0 y pulse
el botón:

Aparecerá la siguiente ventana:

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Pulse el botón OK mostrándose la siguiente salida:

Ahora con lo aprendido hasta el momento realice las siguientes asignaciones de estímulos
a las señales de entrada:

Para lograr los valores que toma el nodo sel se utilizó el botón

Mostrándose la siguiente ventana:

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Ahora si ya tiene el aspecto del diagrama de tiempos anterior ya podemos simularlo.


Previamente debemos guardar este archivo de simulación como:

Pulse OK y luego seleccione: Max+Plus II y luego el comando: Simulator aparecerá la


siguiente ventana:

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

Pulse START apareciendo el siguiente resultado:

Pulse Aceptar y luego en la ventana del Simulador pulse el botón Open SCF Mostrádose
el resultado de la simulación:

Como puede apreciar la señal z de salida toma el valor de la señal e0 cuando el valor de
la señal sel toma el valor de 00. Lo mismo pasa para las otras señales.

Ing. Alfredo Granados Ly Laboratorio No3


UNMSM – FIEE Circuitos Digitales

PROBLEMAS PROPUESTOS: Implemente en VHDL los siguientes circuitos.

1. Se desea implementar un circuito que active la alarma (Z) cuando se cumplan las
siguientes condiciones:

 Puerta (P) abierta y Motor (M) esté encendido.


 Faros (F) encendidos y Motor (M) esté apagado.

2. Se desea implementar un circuito para elegir si la cena es en una pollería o un chifa.


Para la elección intervienen 4 personas: Papá (P), mamá (M), hijo (H), hija (A). Las
condiciones que debe tener el circuito son:

 Si los padres están de acuerdo ellos eligen, caso contrario


 Si los hermanos están de acuerdo ellos eligen, caso contrario
 Por defecto es pollería.

3. Implementar un circuito de 8 bits de entrada (SWITCH) que muestre a la salida


(LEDS) el complemento a 2 de la entrada.

INTEGRANTES:

1. _______________________________________ Código: _____________

2. _______________________________________ Código: _____________

3. _______________________________________ Código: _____________

4. _______________________________________ Código: _____________

Ing. Alfredo Granados Ly Laboratorio No3

Вам также может понравиться