Вы находитесь на странице: 1из 77

MB120 IDTV

SERVICE MANUAL
Table of Contents
1. INTRODUCTION .......................................................................................................................................................... 2
2. TUNER ........................................................................................................................................................................... 3
A. SI2151 Terrestrial and Cable TV Tuner: ..................................................................................................................... 3
B. M88TS2022 Satellite Tuner ........................................................................................................................................ 5
3. AUDIO AMPLIFIER STAGES...................................................................................................................................... 6
A. MAIN AMPLIFIER (U8) (10W/12W options) ............................................................................................................... 6
B. SUBWOOFER AMPLIFIER (U9) (12 W) ........................................................................................................................ 8
C. HEADPHONE AMPLIFIER (U59) ................................................................................................................................ 10
D. SUBWOOFER PREAMPLIFIER (U30) .......................................................................................................................... 12
E. SCART AUDIO AMPLIFIER (U31) ............................................................................................................................... 12
4. POWER STAGE ........................................................................................................................................................... 12
A. TPS54528 ................................................................................................................................................................. 13
B. TPS54628 ................................................................................................................................................................. 15
C. TPS54821 ................................................................................................................................................................. 17
D. FDS4685 ................................................................................................................................................................... 19
E. NTGS3446 ................................................................................................................................................................ 20
F. APL5910 ................................................................................................................................................................... 21
G. LM1117 .................................................................................................................................................................... 23
5. MICROCONTROLLER (MSTAR MSD95M0D) ........................................................................................................ 24
6. VIDEO BACK-END PROCESSOR (MSTAR) ........................................................................................................... 31
MST7410FE ................................................................................................................................................................... 31
7. 2Gb DDR3 SDRAM ..................................................................................................................................................... 34
Hynix H5TQ2G63GFR .................................................................................................................................................... 34
8. 4Gb DDR3L SDRAM ................................................................................................................................................... 35
Hynix H5TQ4G63GFR .................................................................................................................................................... 35
9. 32GBIT (4G X 8 BIT) NAND FLASH MEMORY ..................................................................................................... 37
MT29F4G08ABAEAWP .................................................................................................................................................. 37
10. 16M-BIT [16M x 1] CMOS SERIAL FLASH EEPROM ............................................................................................ 39
A. MX25L1606E SPI Flash ............................................................................................................................................. 39
B. M25Q32FV SPI Flash ................................................................................................................................................ 41
11. DEMODULATOR STAGE .......................................................................................................................................... 43
12. LNB SUPPLY AND CONTROL IC ............................................................................................................................ 46
TPS65233 ...................................................................................................................................................................... 46
13. SOFTWARE UPDATE ................................................................................................................................................ 47
Main SW update ........................................................................................................................................................... 47

1
14. TROUBLESHOOTING ................................................................................................................................................ 48
A. No Backlight Problem .............................................................................................................................................. 48
B. CI Module Problem .................................................................................................................................................. 50
C. Staying in Stand-by Mode ........................................................................................................................................ 52
D. IR Problem................................................................................................................................................................ 54
E. Keypad Touchpad Problems .................................................................................................................................... 55
F. USB Problems ........................................................................................................................................................... 56
G. No Sound Problem ................................................................................................................................................... 57
H. Standby On/Off Problem ......................................................................................................................................... 58
İ. No Signal Problem .................................................................................................................................................... 58
15. GENERAL BLOCK DIAGRAM ................................................................................................................................. 59

1. INTRODUCTION
17MB120 main board is driven by MStar SOC. This IC is a single chip iDTV solution that supports channel
decoding, MPEG decoding, and media-center functionality enabled by a high performance AV CODEC and
CPU. This IC also supports 4K2K (UHD).

Key features includes,

 Combo Front-End Demodulator


 A multi standart A/V format decoder
 The MACEpro video processor
 Home theatre sound processor
 Internet and Variety of Connectivity Support
 Dual-stream decoder for 3D contents
 Multi-purpose CPU for OS and multimedia
 Peripheral and power management

Supported peripherals are:

 1 RF input VHF I, VHF III, UHF


 1 Satellite input
 1 Side AV (CVBS, R/L_Audio)
 1 SCART socket(Common)
 1 YPbPr / Back S-Video(Common)
 1 PC input(Common)
 4 HDMI input(Common)
 1 Common interface(Common)
 1 Optic S/PDIF output(Common)
 1 Stereo audio input for PC(Common)
 1 Subwoofer output(Common)
 1 Headphone(Common)
 1x USB3.0 and 2xUSB2.0(Common)
 1 Ethernet-RJ45 (Common)
 1 External Touchpad(Common)

2
2. TUNER
A. SI2151 TERRESTRİAL AND CABLE TV TUNER:
Description:
The Si2151 is Silicon Labs' sixth-generation hybrid TV tuner supporting all worldwide terrestrial and cable
TV standards. Requiring no external balun, SAW filters, wirewound inductors or LNAs, the Si2151 offers the
lowest-cost BOM for a hybrid TV tuner. Also included are an integrated power-on reset circuit and an option
for single power supply operation. As with prior-generation Silicon Labs TV tuners, the Si2151 maintains very
high linearity and low noise to deliver superior picture quality and a higher number of received stations when
compared to other silicon tuners. The Si2151 offers increased immunity to WiFi and LTE interference,
eliminating the need for external filtering. For the best performance with next-generation digital TV standards,
such as DVB-T2/C2, the Si2151 delivers industry-leading phase noise performance.
Features:
 Worldwide hybrid TV tuner
o Analog TV: NTSC, PAL/SECAM
o Digital TV: ATSC/QAM, DVBT2/T/C2/C, ISDB-T/C, DTMB
 1.7 MHz, 6 MHz, 7 MHz, 8 MHz, and 10 MHz channel bandwidths
 42-1002 MHz frequency range
 Industry-leading margin to A/74, NorDig, DTG, ARIB, EN55020, OpenCable™,DTMB
 Lowest BOM for a hybrid TV tuner
o No balun, SAW filters, or external inductors required
o Increased ESD protection on 4pins
 Best-in-class real-world reception
o Lowest phase noise
o High Wi-Fi and LTE immunity
 Low power consumption
o 3.3 V and 1.8 V power supplies
o Integrated 1.8 V LDO for 3.3 V singlesupply operation
 Integrated power-on reset circuit
 Standard CMOS process
 3x3 mm, 24-pin QFN package
 RoHS compliant

Figure 1.1 Si2151 Pin description

3
Table 1.1 Pin Functions

4
B. M88TS2022 SATELLİTE TUNER
Features and General Description

Pin Assigment

5
Absolute Maximum Ratings and Recommended Operating Conditions

3. AUDIO AMPLIFIER STAGES


A. MAIN AMPLIFIER (U8) (10W/12W OPTİONS)
Description
AD82587D is a digital audio amplifier capable of driving a pair of 8 ohm, 20W or a single 4 ohm, 40W
speaker, both which operate with play music at a 24V supply without external heat-sink or fan requirement.
Using I2C digital control interface, the user can control AD82587D’s input format selection, DRC (dynamic
range control), mute and volume control functions. AD82587D has many built-in protection circuits to
safeguard AD82587D from connection errors.
Features
 16/18/20/24-bit input with I2S, Left-alignment and Right-alignment data format
 PSNR & DR(A-weighting) Loudspeaker: 97dB (PSNR), 105dB (DR) @ 24V
 Multiple sampling frequencies (Fs)
 32kHz / 44.1kHz / 48kHz and
 64kHz / 88.2kHz / 96kHz and
 128kHz/176.4kHz/192kHz
 System clock = 64x, 128x, 256x, 384x, 512x, 768x,1024x Fs
 256x~1024x Fs for 32kHz / 44.1kHz / 48kHz
 128x~512x Fs for 64kHz / 88.2kHz / 96kHz
 64x~256x Fs for 128kHz /176.4kHz/192kHz
 Supply voltage
 3.3V for digital circuit
 10V~26V for loudspeaker driver
6
 Loudspeaker output power for Stereo@ 24V
 10W x 2ch into 8_ @ 0.16% THD+N
 15W x 2ch into 8_ @ 0.18% THD+N
 20W x 2ch into 8_ @ 0.24% THD+N
 Loudspeaker output power for Mono@ 24V
 20W x 1ch into 4_ @ 0.17% THD+N
 30W x 1ch into 4_ @ 0.2% THD+N
 40W x 1ch into 4_ @ 0.24% THD+N
 Sounds processing including:
 Volume control (+24dB~-103dB, 0.125dB/step)
 Dynamic range control
 Power clipping
 Channel mixing
 User programmed noise gate with hysteresis window
 DC-blocking high-pass filter
 Anti-pop design
 Short circuit and over-temperature protection
 I2C control interface with selectable device address
 Internal PLL
 LV Under-voltage shutdown and HV Under-voltage
 detection
 Power saving mode
 Dynamic temperature control

Figure 3.2: Pin description

7
Figure 3.3: Functional Block Diagram

Table3.1: Absolute Maximum Ratings

Table3.2: Recommended Operating Conditions

B. SUBWOOFER AMPLIFIER (U9) (12 W)


Description
AD82586C is a digital audio amplifier capable of driving a pair of 8 ohm, 20W operating at 24V supply
without external heat-sink or fan requirement with play music.

AD82586C has 20 bands EQ function and can operate 20W stereo or 40W mono optionally.

AD82586C can provide advanced audio processing capabilities, such as volume control, 20 bands speaker
EQ, audio mixing, 3D surround and DRC (dynamic range control). These functions are fully programmable via
a simple I2C control interface.

Robust protection circuits are provided to protect AD82586C from damage due to accidental erroneous
operating condition. AD82586C is more tolerant to noise and PVT (Process, Voltage, and Temperature)
variation than the analog Class-AB or Class-D audio amplifier counterpart implemented by analog circuit
design. AD82586C is pop free during instantaneous power switch because of its built-in, robust anti-pop circuit.
8
Features
 16/18/20/24-bits input with I2S, Left-alingment and Right-alingment data format
 PSNR & DR (A-weighting) Loudspeaker: 99dB (PSNR), 104dB (DR) @24V
 Multiple sampling frequencies (Fs)
32kHz / 44.1kHz / 48kHz and
64kHz / 88.2kHz / 96kHz and
128kHz / 176.4kHz / 192kHz
 System clock = 64x, 128x, 192x, 256x, 384x, 512x, 576x, 768x, 1024x Fs
64x~1024x Fs for 32kHz / 44.1kHz / 48kHz
64x~512x Fs for 64kHz / 88.2kHz / 96kHz
64x~256x Fs for 128kHz / 176.4kHz / 192kHz
 Supply voltage
3.3V for digital circuit
10V~26V for loudspeaker driver
 Loudspeaker output power at 24V
10W x 2CH into 8 ohm @0.17% THD+N for stereo
20W x 2CH into 8 ohm @0.26% THD+N for stereo
 Sound processing including:
20 bands parametric speaker EQ
Volume control (+24dB~-103dB, 0.125dB/step)
Dynamic Range Control (DRC)
Dual band DRC
Power clipping
3D surround sound
Channel mixing
Noise gate with hysteresis window
Bass/Treble tone control
DC-blocking high-pass filter
 Anti-pop design
 Short circuit and over-temperature protection
 I2C control interface with selectable device address
 Support hardware and software reset
 Internal PLL
 LV Under-Voltage shutdown and HV Under-Voltage detection
 Power saving mode

Figure 3.4: Pin description


9
Figure 3.5: Functional Block Diagram

Table 3.3: Absolute Maximum Ratings

Table 3.4: Recommended Operating Conditions

C. HEADPHONE AMPLIFIER (U59)


Description
The AD22657B is a 2-Vrms cap-less stereo line driver. The device is ideal for single supply electronics.
Cap-less design can eliminate output dc-blocking capacitors for better low frequency response and save cost.

The AD22657B is capable of delivering 2-Vrms output into a 10k ohm load with 3.3V supply. The gain
settings can be set by users from 1V/V to 10V/V externally. The AD22657B has under voltage protection to
prevent POP noise. Build-in shutdown control and de-pop control sequence also help AD22657B to be a pop-
less device.

The AD22657B is available in a 10-pin MSOP package.


Features
 Operation Voltage: 3V to 3.6V
 Cap-less Output
 Eliminates Output Capacitors
 Improves Low Frequency Response
 Reduces POP/Clicks
 Low Noise and THD

10
 Typical SNR 107dB
 Typical Vn 7uVrms
 Typical THD+N < 0.02%
 Maximum Output Voltage Swing into 2.5k Load
 2Vrms at 3.3V Supply Voltage
 Single-ended Input
 External Gain Setting from 1V/V to 10V/V
 Fast Start-up Time: 0.5ms
 Integrated De-Pop Control
 External Under Voltage Protection
 Thermal Protection
 Less External Components Required
 +/-8kV IEC ESD Protection at line outputs

Figure 3.6: Pin description

Table 3.5: Pin functions

Table3.6: Recommended operating conditions

11
D. SUBWOOFER PREAMPLIFIER (U30)
AD22657B is used for subwoofer out, as well.

E. SCART AUDIO AMPLIFIER (U31)


AD22657B is used for scart audio amplifier, as well.

4. POWER STAGE

Figure 4.1: Power socket and options.

Power socket is used for taking voltages which are 12V, 5V and VDD_Audio. These voltages are produced
in power board. Also socket is used for giving dimming, backlight and standby signals with power board. It is
shown at figure 4.1.

12
Figure 4.2: General illustration of voltage stages on main board.

VDD_Audio goes directly to the audio side, through power socket other incoming voltages from power card
are converted several voltages, shown in figure 8.

List of the components are:


 TPS54528
 TPS54628
 TPS54821
 FDS4685
 NTGS3446
 APL5910
 LM1117

A. TPS54528
General Description
The TPS54528 is an adaptive on-time D-CAP2 mode synchronous buck converter.The TPS54528 enables
system designers to complete the suite of various end-equipment power bus regulators with a cost effective, low
component count, low standby current solution. The main control loop for the TPS54528 uses the D-CAP2
mode control that provides a fast transient response with no external compensation components. The adaptive
on-time control supports seamless transition between PWM mode at higher load conditions and Eco-mode
operation at light loads. Eco-mode allows the TSP54528 to maintain high efficiency during lighter load
conditions. The TPS54528 also has a proprietary circuit that enables the device to adopt to both low equivalent
series resistance (ESR)output capacitors, such as POSCAP or SP-CAP, and ultra-low ESR ceramic capacitors.
The device operates from 4.5-V to 18-V VIN input. The output voltage can be programmed between 0.76 V
and 6 V. The device also features an adjustable soft start time. The TPS54528 is available in the 8-pin DDA
package, and designed to operate from -40 C to 85 C.

13
Features
 D-CAP2 Mode Enables Fast Transient Response
 Low Output ripple and Allows Ceramic Output Capacitor
 Wide VIN Input Voltage Range: 4.5 V to 18 V
 Output Voltage Range: 0.76 V to 6 V
 Highly Efficient Integrated FETs Optimized for Lower Duty Cycle Applications- 65 mOhm (High Side)
and 36 mOhm (Low Side)
 High Efficiency, less than 10 mikroAmper at shutdown
 High Initial Bandgap Reference Accuracy
 Adjustable Soft Start
 Pre-Biased Soft Start
 650-kHz Switching Frequency (fSW)
 Cycle By Cycle Over Current Limit
 Auto-Skip Eco-mode for High Efficiency at Light Load

Applications
 Wide Range of Applications for Low Voltage System
 Digital TV Power Supply
 High Definition Blu-ray Disc Players
 Networking Home Terminal
 Digital Set Top Box(STB)

Table 4.1: Recommended operating conditions

Figure 4.3: Pin Description

14
Table 4.2: Pin functions.

B. TPS54628
General Description
The TPS54628 is an adaptive on-time D-CAP2 mode synchronous buck converter.The TPS54628 enables
system designers to complete the suite of various end-equipment power bus regulators with a cost effective, low
component count, low standby current solution. The main control loop for the TPS54628 uses the D-CAP2
mode control that provides a fast transient response with no external compensation components. The adaptive
on-time control supports seamless transition between PWM mode at higher load conditions and Eco-mode
operation at light loads. Eco-mode allows the TSP54628 to maintain high efficiency during lighter load
conditions. The TPS54628 also has a proprietary circuit that enables the device to adopt to both low equivalent
series resistance (ESR)output capacitors, such as POSCAP or SP-CAP, and ultra-low ESR ceramic capacitors.
The device operates from 4.5-V to 18-V VIN input. The output voltage can be programmed between 0.76 V
and 7 V. The device also features an adjustable soft start time. The TPS54628 is available in the 8-pin DDA and
10-pin DRC packages, and is designed to operate over the ambient temperature range of -40C to 85C.
Features
 D-CAP2 Mode Enables Fast Transient Response
 Low Output ripple and Allows Ceramic Output Capacitor
 Wide VIN Input Voltage Range: 4.5 V to 18 V
 Output Voltage Range: 0.76 V to 7 V
 Highly Efficient Integrated FETs Optimized for Lower Duty Cycle Applications- 36 mOhm (High Side)
and 28 mOhm (Low Side)
 High Efficiency, less than 10 µA at shutdown
 High Initial Bandgap Reference Accuracy
 Adjustable Soft Start
 Pre-Biased Soft Start
 650-kHz Switching Frequency (fSW)
 Cycle By Cycle Over Current Limit
 Auto-Skip Eco-mode for High Efficiency at Light Load
Applications
 Wide Range of Applications for Low Voltage System
 Digital TV Power Supply
 High Definition Blu-ray Disc Players
 Networking Home Terminal
 Digital Set Top Box(STB)

15
Table 4.3: Recommended operating conditions

Figure 4.4: Pin Description

Table 4.4: Pin functions.

16
C. TPS54821
General Description
The TPS54821 in thermally enhanced 3.5 mm x 3.5 mm QFN package is a full featured 17 V, 8 A
synchronous step down converter which is optimized for small designs through high efficiency and integrating
the high-side and low-side MOSFETs. Further space savings are achieved through current mode control, which
reduces component count, and by selecting a high switching frequency, reducing the inductor's footprint. The
output voltage startup ramp is controlled by the SS/TR pin which allows operation as either a stand alone power
supply or in tracking situations. Power sequencing is also possible by correctly configuring the enable and the
open drain power good pins. Cycle by cycle current limiting on the high-side FET protects the device in
overload situations and is enhanced by a low-side sourcing current limit which prevents current runaway. There
is also a low-side sinking current limit which turns off the low-side MOSFET to prevent excessive reverse
current. Hiccup protection will be triggered if the overcurrent condition has persisted for longer than the preset
time. Thermal hiccup protection disables the device when the die temperature exceeds the thermal shutdown
temperature and enables the part again after the built-in thermal shutdown hiccup time.
Features
 Integrated 26 mΩ / 19 mΩ MOSFETs
 Split Power Rail: 1.6 V to 17 V on PVIN
 200 kHz to 1.6 MHz Switching Frequency
 Synchronizes to External Clock
 0.6V ±1% Voltage Reference Over Temperature
 Low 2 μA Shutdown Quiescent Current
 Monotonic Start-Up into Pre-biased Outputs
 –40°C to 125°C Operating Junction Temperature Range
 Adjustable Input Undervoltage Lockout
 Adjustable Slow Start/Power Sequencing
 Power Good Output Monitor for Undervoltage and Overvoltage
 Adjustable Input Undervoltage Lockout
Applications
 Digital TV Power Supplies
 Set Top Boxes
 Blu-ray DVDs
 Home Terminals

17
Table 4.5: Recommended operating conditions

Figure 4.5: Pin Description

18
Table 4.6: Pin functions.

D. FDS4685
General Description
This P-Channel MOSFET is a rugged gate version of Fairchild Semiconductor’s advanced PowerTrench
process. It has been optimized for power management applications requiring a wide range of gate drive voltage
ratings (4.5V – 20V).

Features
 –8.2 A, –40 V RDS(ON) = 0.027 Ω @ VGS = –10 V
RDS(ON) = 0.035 Ω @ VGS = –4.5 V
 Fast switching speed
 High performance trench technology for extremely lowRDS(ON)
 High power and current handling capability

Figure 4.6: Pins

19
Table 4.7: Absolute maximum ratings

E. NTGS3446
Features
 Ultra Low RDS(on)
 Higher Efficiency Extending Battery Life
 Logic Level Gate Drive
 Diode Exhibits High Speed, Soft Recovery
 Avalanche Energy Specified
 IDSS Specified at Elevated Temperature
 Pb−Free Package is Available
Applications
 Power Management in portable and battery−powered products, i.e. computers, printers, PCMCIA cards,
cellular and cordless
 Lithium Ion Battery Applications
 Notebook PC

Figure 4.7: Pin description

20
Table 4.8: Maximum ratings

F. APL5910
General Description
The APL5910 is a 1A ultra low dropout linear regulator. The IC needs two supply voltages, one is a control
voltage (VCNTL) for the control circuitry, the other is a main supply voltage (VIN) for power conversion, to
reduce power dissipation and provide extremely low dropout voltage. The APL5910 integrates many functions.
A Power-On- Reset (POR) circuit monitors both supply voltages on VCNTL and VIN pins to prevent erroneous
operations. The functions of thermal shutdown and current-limit protect the device against thermal and current
over-loads. A POK indicates that the output voltage status with a delay time set internally. It can control other
converter for power sequence. The APL5910 can be enabled by other power systems. Pulling and holding the
EN voltage below 0.4V shuts off the output.

The APL5910 is available in a SOP-8P package which features small size as SOP-8 and an Exposed Pad to
reduce the junction-to-case resistance to extend power range of applications.
Features
 Ultra Low Dropout
- 0.12V (Typical) at 1AOutput Current
 0.8V Reference Voltage
 High Output Accuracy
- ±1.5%over Line, Load, and Temperature Range
 Fast Transient Response
 Adjustable Output Voltage
 Power-On-Reset Monitoring on Both VCNTL and VIN Pins
21
 Internal Soft-Start
 Current-Limit and ShortCurrent-Limit Protections
 Thermal Shutdown with Hysteresis
 Open-Drain VOUT Voltage Indicator (POK)
 Low Shutdown Quiescent Current (< 30mA )
 Shutdown/Enable Control Function
 Simple SOP-8P Package with Exposed Pad
 Lead Free and Green Devices Available (RoHS Compliant)

Applications
 Motherboards, VGA Cards
 Notebook PCs
 Add-in Cards

Figure: Pin configuration.

Table 4.9: Recommended operating conditions.

22
Table 4.10: Pin description.

G. LM1117
General Description
The LM1117 is a series of low dropout voltage regulators with a dropout of 1.2V at 800mA of load current.
It has the same pin-out as National Semiconductor’s industry standard LM317.
The LM1117 is available in an adjustable version, which can set the output voltage from 1.25V to 13.8V
with only two external resistors. In addition, it is also available in five fixed voltages, 1.8V, 2.5V, 2.85V, 3.3V,
and 5V.
The LM1117 offers current limiting and thermal shutdown. Its circuit includes a zener trimmed bandgap
reference to assure output voltage accuracy to within ±1%.
The LM1117 series is available in LLP, TO-263, SOT-223, TO-220, and TO-252 D-PAK packages. A
minimum of 10µF tantalum capacitor is required at the output to improve the transient response and stability.

Features
 Available in 1.8V, 2.5V, 2.85V, 3.3V, 5V, and Adjustable Versions
 Space Saving SOT-223 and LLP Packages
 Current Limiting and Thermal Protection
 Output Current 800mA
 Line Regulation 0.2% (Max)
 Load Regulation 0.4% (Max)
 Temperature Range:
- LM1117 0˚C to 125˚C
- LM1117I −40˚C to 125˚C
Applications
 2.85V Model for SCSI-2 Active Termination
 Post Regulator for Switching DC/DC Converter
 High Efficiency Linear Regulators
 Battery Charger
 Battery Powered Instrumentation

23
5. MICROCONTROLLER (MSTAR MSD95M0D)
General Description

24
Features

25
26
27
28
29
Table 5.1: Recommended operating conditions.

Table 5.2: Absolute Maximum Ratings

30
6. VIDEO BACK-END PROCESSOR (MSTAR)
MST7410FE
General Description

Table 6.1: Recommended operating conditions

31
Features

32
Block Diagram

Figure 6.1: Block diagram

33
7. 2GB DDR3 SDRAM
HYNİX H5TQ2G63GFR

Description
The H5TQ2G83GFR-xxC, H5TQ2G63GFR-xxC, H5TQ2G83GFR-xxI, H5TQ2G63GFR-xxI,
H5TQ2G83GFRxxL, H5TQ2G63GFR-xxL, H5TQ2G83GFR-xxJ, H5TQ2G63GFR-xxJ are a 2, 147, 483, 648-
bit CMOS Double Data Rate III (DDR3) Synchronous DRAM, ideally suited for the main memory applications
which requires large memory density and high bandwidth. SK Hynix 2Gb DDR3 SDRAMs offer fully
synchronous operations referenced to both rising and falling edges of the clock. While all addresses and control
inputs are latched on the rising edges of the CK (falling edges of the CK), Data, Data strobes and Write data
masks inputs are sampled on both rising and falling edges of it. The data paths are internally pipelined and 8-bit
prefetched to achieve very high bandwidth.
Features

Table 7.1: Recommended operating conditions.

34
8. 4GB DDR3L SDRAM
HYNİX H5TQ4G63GFR
Description
The H5TC4G83CFR-xxA(I,L,J),H5TQC4G63CFR-xxA(I,L,J) are a 4Gb low power Double Data Rate III
(DDR3L) Synchronous DRAM, ideally suited for the main memory applications which requires large memory
density, high bandwidth and low power operation at 1.35V. SK Hynix DDR3L SDRAM provides backward
compatibility with the 1.5V DDR3 based environment without any changes. SK Hynix 4Gb DDR3L SDRAMs
offer fully synchronous operations referenced to both rising and falling edges of the clock. While all addresses
and control inputs are latched on the rising edges of the clock (falling edges of the clock), data, data strobes and
write data masks inputs are sampled on both rising and falling edges of it. The datapaths are internally pipelined
and 8-bit prefetched to achieve very high bandwidth.

Features

35
Table 8.1: Absolute Maximum DC Ratings

Table 8.2: Recommended operating conditions.

36
9. 32GBIT (4G X 8 BIT) NAND FLASH MEMORY
MT29F4G08ABAEAWP
Key Features

Description
Micron NAND Flash devices include an asynchronous data interface for high-performance I/O operations.
These devices use a highly multiplexed 8-bit bus (I/Ox) to transfer commands, address, and data. There are five
control signals used to implement the asynchronous data interface: CE#, CLE, ALE, WE#, and RE#. Additional
signals control hardware write protection and monitor device status (R/B#).

This hardware interface creates a low pin-count device with a standard pinout that remains the same from
one density to another, enabling future upgrades to higher densities with no board redesign. A target is the unit
of memory accessed by a chip enable signal.

A target contains one or more NAND Flash die. A NAND Flash die is the minimum unit that can
independently execute commands and report status. A NAND Flash die, in the ONFI specification, is referred
to as a logical unit (LUN). There is at least one NAND Flash die per chip enable signal. For further details, see
Device and Array Organization.

37
Figure 9.1:Functional block diagram

Table 9.1: DC Characteristics and Operating Conditions (3.3V)

38
10. 16M-BIT [16M X 1] CMOS SERIAL FLASH EEPROM
A. MX25L1606E SPI FLASH
Features
General
 Single Power Supply Operation
 2.7 to 3.6 volt for read, erase, and program operations
 Serial Peripheral Interface compatible -- Mode 0 and Mode 3
 8M: 8,388,608 x 1 bit structure or 4,194,304 x 2 bits (Dual Output mode) structure
16M: 16,777,216 x 1 bit structure or 8,388,608 x 2 bits (Dual Output mode) structure
 256 Equal Sectors with 4K byte each (8Mb)
512 Equal Sectors with 4K byte each (16Mb)
 Any Sector can be erased individually
 16 Equal Blocks with 64K byte each (8Mb)
32 Equal Blocks with 64K byte each (16Mb)
 Any Block can be erased individually
 Program Capability
 Byte base
 Page base (256 bytes)
 Latch-up protected to 100mA from -1V to Vcc +1V
Performance
 High Performance
 Fast access time: 86MHz serial clock
 Serial clock of Dual Output mode : 80MHz
 Fast program time: 1.4ms(typ.) and 5ms(max.)/page
 Byte program time: 9us (typical)
 Fast erase time: 60ms(typ.) /sector ; 0.7s(typ.) /block
 Low Power Consumption
 Low active read current: 16Mb: 25mA(max.) at 86MHz; 8Mb: 12mA(max.) at 86MHz
 Low active programming current: 20mA (max.)
 Low active erase current: 20mA (max.)
 Low standby current: 25uA (max.)
 Deep power-down mode 5uA (typical)
 Typical 100,000 erase/program cycles
 20 years of data retention
Software Features
 Input Data Format
 1-byte Command code
 Advanced Security Features
 Block lock protection
 The BP3-BP0(16Mb) ; BP2-BP0(8Mb) status bit defines the size of the area to be software protection
against program and erase instructions
 Additional 512 bit secured OTP for unique identifier
 Auto Erase and Auto Program Algorithm
 Automatically erases and verifies data at selected sector
 Automatically programs and verifies data at selected page by an internal algorithm that automatically
times the program pulse widths (Any page to be programed should have page in the erased state first)6
P/N: PM1548 REV. 1.2, JUL. 02, 2010 MX25L8006E MX25L1606E

39
 Status Register Feature
 Electronic Identification
 JEDEC 1-byte manufacturer ID and 2-byte device ID
 RES command for 1-byte Device ID
 REMS commands for 1-byte manufacturer ID and 1-byte device ID
Hardware Features
 PACKAGE
 16-pin SOP (300mil), MX25L1606E only
 8-pin SOP (150mil)
 8-pin SOP (200mil)
 8-pin PDIP (300mil)
 8-land WSON (6x5mm)
 8-land USON (4x4mm)
 All Pb-free devices are RoHS Compliant
General Description
The device feature a serial peripheral interface and software protocol allowing operation on a simple 3-wire
bus. The three bus signals are a clock input (SCLK), a serial data input (SI), and a serial data output (SO).
Serial access to the device is enabled by CS# input.

When it is in Dual Output read mode, the SI and SO pins become SIO0 and SIO1 pins for data output.

The device provides sequential read operation on whole chip.

After program/erase command is issued, auto program/ erase algorithms which program/ erase and verify the
specified page or sector/block locations will be executed. Program command is executed on byte basis, or page
basis, or word basis for erase command is executes on sector, or block, or whole chip basis.

To provide user with ease of interface, a status register is included to indicate the status of the chip. The
status read command can be issued to detect completion status of a program or erase operation via WIP bit.

Advanced security features enhance the protection and security functions, please see security features
section for more details.

When the device is not in operation and CS# is high, it is put in standby mode.

The device utilizes Macronix's proprietary memory cell, which reliably stores memory contents even after
typical 100,000 program and erase cycles.

Figure 10.1: Pin configuration.

40
Table 10.1: Pin description.

B. M25Q32FV SPI FLASH


Key Features
 New Family of SpiFlash Memories
- W25Q32FV: 32M-bit/ 4M-byte
- Standard SPI: CLK, /CS, DI, DO, /WP, /Hold
- Dual SPI:CLK, /CS, IO0, IO1, /WP, /Hold
- Quad SPI: CLK, /CS, IO0, IO1, IO2, IO3
- QPI: CLK, /CS, IO0, IO1, IO2, IO3
- Software & Hardware Reset
 Highest Performance Serial Flash
- 104MHz Single, Dual/Quad SPI clocks
- 208/416Mhz equivalent Dual/Quad SPI
- 50 MB/S continuous data transfer rate
- More than 100,000 erase/program cycles
- More than 20-year retention
 Efficient “Continuous Read” and QPI Mode
- Continuous Read with 8/16/32/64-Byte Wrap
- As few as 8 clocks to address memory
- Quad Peripheral Interface (QPI) reduces instruction overhead
- Allows true XIP (execute in place) operation
- Outperforms X16 Parallel Flash
 Low Power, Wide Temperature Range
- Single 2.7 to 3.6V supply
- 4mA active current, <1uA Power-down(typ.)
- -40C to +85C operating range

 Flexible Architecture with 4KB sectors


- Uniform Sector/Block Erase (4K/32K/64K-Byte)
- Program 1 to 256 byte per programmable page
- Erase/Program Suspend&Resume
 Advanced Security Features
- Software and Hardware Write-Protect
- Power Supply Lock-Down and OTP protection
- Top/Bottom, Complement array protection
- Individual Block/Sector array protection
- 64-Bit Unique ID for each device
- Discoverable Parameters (SFDP) Register
41
- 3x256-Bytes Security Registers with OTP locks
- Volatile & Non-volatile Status Register Bits
 Space Efficient Packaging
- 8-pin SOIC 208-mil / VSOP 208-mil
- 8-pad WSON 6x5-mm / 8x6-mm
- 16-pin SOIC 300-mil (additional / RESET pin)
- 8-pin PDIP 300-mil
- 24-ball TFBGA 8x6-mm (6x4/5x5 ball array)
- Contact Winbond for KGB and other options
General Description
This W25Q32FV (32M-bit) Serial Flash memory provides a storage solution for systems with limited space,
pins and power. The 25Q series offers flexibility and performance well beyond ordinary Serial Flash devices.
They are ideal for code shadowing to RAM, executing code directly from Dual/Quad SPI (XIP) and storing
voice, text and data. The device operates on a single 2.7V to 3.6V power supply with current consumption as
low as 4mA active and 1uA for power-down. All devices are offered in space-saving packages.

The W25Q32FV array is organized into 16,384 programmable pages of 256-bytes each. Up to 256 bytes can
be programmed at a time.Pages can be erased in groups of 16 (4KB sector erase), groups of 128 (32KB block
erase), groups of 256 (64KB block erase) or the entire chip (chip erase). The W25Q32FV has 1,024 erasable
sectors and 64 erasable blocks respectively. The small 4KB sectors allow for greater flexibility in applications
that require data and parameter storage.

The W25Q32FV support the standart Serial Peripheral Interface (SPI), Dual/Quad I/O SPI as well as 2-
clocks instruction cycle Quad Peripharel Interface (QPI): Serial Clock, Chip Select, Serial Data I/O0 (DI), I/O1
(D0), I/O2 (/WP), and I/O3 (/HOLD). SPI clock frequencies of up to 104MHz are supported allowing
equivalent clock rates of 208MHz (104MHz x 2) for Duad I/O and 416Mhz (104MHz x 4) for Quad I/O when
using the Fast Read Dual/Quad I/O and QPI instructions. These transfer rates can outperform standart
Asynchronous 8 an 16-bit Parallel Flash memories. The Continuous Read Mode allows for efficient memory
Access with as few as 8-clocks of instruction-overhead to read a 24-bit address, allowing true XIP(execute in
place) operation.

A Hold pin, Write Protect pin and programmable write protection, with top or bottom array control, provide
further control flexibility. Additionally, the device supports JEDEC standart manufacturer and device ID and
SFDP Register, a 64-bit Unique Serial Number and three 256-bytes Security Registers.

Figure 10.2: Pin configuration.

42
Table 10.2: Pin description.

11. DEMODULATOR STAGE

A. MSB1246 DVB-T2
Features

43
General Description
The MSB1246 is a single chip demodulator supporting DVB-T2, DVB-T, DVB-C, DVB-S2 and DVB-S
standards. The device integrates a house keeping microcontroller that takes care of all real time and algorithmic
tasks simplifying the host control interface.

For DVB-T2/T/C, the MSB1246 front end can accept tuners that provide IF or low IF output. For DVB-
S2/S, the MSB1246 front end can accept tuners that provide zero-IF output. A high rejection channel filter has
been included easing the channel filtering requirement of the tuner whilst still meeting the stringent
requirements for adjacent channel interference. The MSB1246 may be clocked directly using a crystal, typically
24MHz.

The MSB1246 is capable of blind acquisition of DVB-T/T2, DVB-C and DVB-S2/S signals. All parameters
may be detected in this mode enabling fast and accurate auto scanning. Its frequency recovery circuit is able to
compensate for all typical tuner and broadcast frequency errors.

44
Block Diagram

Pinning

45
Absolute Maximum Ratings and Recommended Operating Conditions

12. LNB SUPPLY AND CONTROL IC


TPS65233
General Description
Designed for analog and digital satellite receivers, the TPS65233 is a monolithic voltage regulator with I2C
interface, specifically to provide the 13-V/18-V power supply and the 22-kHz tone signaling to the LNB
downconverter in the antenna dish or to the multi-switch box. It offers a complete solution with very low
component count, low power dissipation together with simple design and I2C standard interfacing. TPS65233
features high power efficiency. The boost converter integrates a 120-mΩ power MOSFET running at 500-kHz
switching frequency. Drop out voltage at the linear regulator is 0.8 V to minimize power loss. TPS65233
provides multiple ways to generate the 22-kHz signal. Integrated linear regulator with push-pull output stage
generates clean 22-kHz tone signal superimposed at the output even at zero loading. Current limit of linear
regulator can be programmed by external resistor with ±10% accuracy. Full range of diagnostic read by I2C is
available for system monitoring.

46
Features

Pinouts

13. SOFTWARE UPDATE


MAIN SW UPDATE

In MB120 project, please follow software update procedure:

1. mb120_en.bin, RomBoot.bin, PM51.bin and usb_auto_update_G6F.txt documents should be copied directly


inside of a flash memory (not in a folder).
2. Insert flash memory to the TV when TV is powered off.
3. While pushing the OK button in remote control, power on and wait. TV will power-up itself.
4. If First Time Installation screen comes, it means software update procedure is successful.

47
14. TROUBLESHOOTING
A. NO BACKLİGHT PROBLEM

Problem: If TV is working, led is normal and there is no picture and backlight on the panel.

Possible couses: Backlight pin, dimming pin, backlight supply, stby on/off pin

BACKLIGHT_ON/OFF pin should be high when the backlight is ON. R89 must be low when the backlight
is OFF. If it is a problem, please check Q10 and the panel cables. Also it can be tested in TP137 on main board

Dimming pin should be high or square wave in open position. If it is low, please check S97 for Mstar side
and panel or power cables, connectors.

48
Backlight power supply should be in panel specs. Please check Q44, shown below; also it can be checked
TP175.

STBY_ON/OFF_NOT should be low for tv on condition, please check Q23’s collector.

49
B. CI MODULE PROBLEM

Problem: CI is not working when CI module inserted.

Possible couses: Supply, suply control pin, detect pins, mechanical positions of pins.

 CI supply should be 5V when CI module inserted. If it is not 5V please check CI_PWR_CTRL, this pin
should be low.

 Please check mechanical position of CI module. Is it inserted properly or not?

 Detect ports should be low. If it is not low please check CI connector pins, CI module pins.

50
51
C. STAYİNG İN STAND-BY MODE

Problem: Staying in stand-by mode, no other operation

This problem indicates a short on Vcc voltages. Protect pin should be logic high while normal operation.
When there is a short circuit protect pin will be logic low. If you detect logic low on protect pin, unplug the TV
set and control voltage points with a multimeter to find the shorted voltage to ground.

52
53
D. IR PROBLEM

Problem: LED or IR not working

Check LED card supply on MB120 chasis.

54
E. KEYPAD TOUCHPAD PROBLEMS

Problem: Keypad or Touchpad is not working

Check keypad supply on MB120.

55
F. USB PROBLEMS

Problem: USB is not working or no USB Detection.

Check USB Supply, It should be nearly 5V. Also USB Enable should be logic high.

For USB 3.0 ports:

For Side USB 2.0 port:

56
For Back USB 2.0 port:

G. NO SOUND PROBLEM

Problem: No audio at main TV speaker outputs.

Check supply voltages of 24V_VCC, VDD_AUDIO_MAIN and 3.3V_AMP with a voltage-meter. There
may be a problem in headphone connector or headphone detect circuit (when headphone is connected, speakers
are automatically muted). Measure voltage at HP_DETECT pin, it should be 3.3v.

57
H. STANDBY ON/OFF PROBLEM

Problem: Device can not boot, TV hangs in standby mode.

There may be a problem about power supply. Check main supplies with a voltage-meter. Also there may be
a problem about SW. Try to update TV with latest SW. Additionally it is good to check SW printouts via
Teraterm. These printouts may give a clue about the problem. You can use mini scart for terraterm connection.

İ. NO SİGNAL PROBLEM

Problem: No signal in TV mode.

Check tuner supply voltage 3V3_TUNER and. Check tuner options are correctly set in Service menu. Check
voltage at TUNER_SCL and TUNER_SDA pin of tuner.

58
14. GENERAL BLOCK DIAGRAM
2x20W

5V_VCC 5V_VCC 5V_VCC

Small SubW Out


TPS2553 TPS2553 TPS2553
(256Mx16bit) Bathroom
Subwoofer USB Power USB Power USB Power

3840x2160

1920x1080
(1866 MT/s)

@120Hz

@120Hz
ESMT Amp. Supply Switch Supply Switch Supply Switch
(USB1) (USB2) (USB3)
AD82586C
1V5_VCC 1V5_VCC 1V5_VCC 1V5_VCC SC AUDIO_OUT
Audio Amp
ESMT AD82587D
Audio Amp AZ099-4S AZ099-4S AZ099-4S
12V_VCC or ESD ESD ESD
DDR3 DDR3 SUBW.

HP / LINEOUT
DDR3 DDR3 24V_VCC Protection Protection Protection
Pre Amp.
RAM RAM RAM RAM
16 Lane VbyONE Out DAC

I2S AUD_OUT
DSP_SUB_OUT
3V3_W_WOWL

SC_L/R_OUT
3V3_STBY
1V8_EMMC

SPDIF OUT
SPI

3D_SYNC_O
3V3_VCC
1V5_VCC RJ12 (16Mbit)
3V3_STBY 1V_G6F_CPU

.
1V_G6F_CORE

1920x1080@60Hz
25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 INTERNAL
A WOWL_DET BLUETOOTH
LINE Hotel I2S SPI WI-FI
SPDIF (SG,HP,SPK,AIR
RAM INTERFACE POWER GPIO I/O ETHERNET
FLASH
INTERFACE MOUSE)
OUT TV I/O
Dimming

3840x2160@60Hz
C

4x D
USB 2.0 USB3 2.0
E
Dimming USB2 2.0
Dimming(MFC)
Circuitry F

MFC 11 1x
USB 3.0 G
Dimming_Main

USB1 3.0

UHD 100Hz I2C I2C


IRIN
H

J IR_IN LED 5V_STBY

HW K
Reset RESET
3V3_STBY
Block L CIRCUIT

LVDS / VbyONE
LVDS
SOC
POWER INPUT

1V 1920x1080p@60Hz M CEC

OUTPUT
LVDS

HDMI 4
1.5V - N TMDS_4
VByONE I2C_4
3.3V
VbyOne
4k2k@60Hz
G6F P
600 MHz
4k2k @60Hz

HDMI 3
R

HDMI INTERFACE
TMDS_3
XIN/XOUT

T I2C_3 444 -> 8bit


422 -> 12bit
U

HDMI 2
TMDS_2
V I2C_2
SPI RAM INTERFACE W
FLASH
I2C_1

HDMI 1
Y

MHL
WOWL_DET TMDS_1

GPIO
AA ARC
SC_Pin8
HP_DETECT AB
XIN/ XTAL
PROTECT AC
XOUT (24 Mhz)
KEYBOARD
SPI AD
FLASH Dimming_Main
NAND TS0 TS1 TS2 TS3 TS4 HP ANALOG AUDIO/VIDEO

SAV/VGA/YPbPr Audio_In
4MB CI I/O DIFP/M IN AE
FLASH I I I I OUT INTERFACE

CVBS_IN .
HP_L/R
TS1_SIGNALS

YPbPr/SOY
MST_TS0
NAND_CONTROL

YPbPr Audio
3V3_VCC NAND Flash

R/L In
(512Mx8bit)

RGB/HS/VS
ATV
DEMOD 2-TS

SC1 CVBS_OUT
DEMOD 1 TS

SC AUDIO_IN
YPbPr
PCM/NAND_DATA

SC CVBS_IN
SC RGB/FB
PCM_ADDRESS

EMMC
CI_TS0

Slim SAV
CI_TS1

I2C
MSB1240 MSB1240 VIDEO
AMP.
I2C T-T2-C-S-S2 T-T2-C-S-S2
3V3_STBY KEYBOARD KEYBOARD
DVB_T2
SAT_ADC

AGC

DIGITAL IF_T/T2/C

SAT_ADC
AGC_DVB_T2

3V3_STBY TOUCHPAD XTAL


(24 Mhz) SI2151 SI2151
DIGITAL IF_T/T2/C Silicon Tuner Silicon Tuner
SLIM SCART
(2) (1)
24V_VCC
12V_VCC AV2018
SHORT CCT AV2018

SC_Pin8
3V3_VCC PROTECT Digital
PROTECTION Satellite Digital
5V_VCC Satellite 12V_VCC
12V_VCC Tuner
3V3_VCC_TUNER

3V3_VCC_TUNER
1V_VCC LNA Tuner
3V3_VCC 3V3_VCC
BGU7045 SC AUDIO_OUT
LNBP 1V25_VCC 1V25_VCC LNBP
LNB_Voltage 1 LNB_Voltage 2
LNBH29 LNBH29

I2C
17MB120 Block
59 I2C
XTAL
(24 Mhz)
Diagram
1 2 3 4 5 6 7 8
CN14 TP141
POWER SOCKET 12V_VCCS13 SW W/IPS20 5V_VCC SW W/IPS20
2 1
C348
S10
5V_STBY
5V_VCC

C544
12V_VCC
F46 60R
F47
0R
5V DC-DC R1=124k7 R2=22k
4 3

4
C350 10V 100n S11 FS2 10u C727 22p 50V VFB_5V_VCC
12V_STBY
S12

NTGS3446
TP139 1 2
TP140 10V 60R C723 C493
6 5 VDD_AUDIO
100n 10V C724 22u 100n R679 R525 R678

Q45
12V_STBY Q43 7A/32VDC
C351 FS1 12V_VCC 22u 16V 16V 120k R1 4k7 22k R2
A 12V_STBY 8 7 12V_STBY 1 FDS4685 8 1 2
R133
2
R371
1
16V A
4k7 10k
C353
12V_STBY 10 9 12V_STBY TP135
100n 7A/32VDC Vout=0.765x(1+(R1/R2))

3
10V 2 7

R575
S17

33k
1 EN VIN 8

C709
100n 10V S7 5V_VCC

220n
25V
12V_STBY 12 11 5V_STBY 3 6 12V_STBY R576 R668 S18
U22 C494 L26
S19

1
2 1 1 2
33k 47R 2 VFB 16V
VBST 7

2
C356 DIMMING R667 VFB_5V_VCC
14 13 4 5 100nC492 10u C677
TP138
C355
1
47R
2
TPS54528 100n C676 TP148
10V 3 VREG5 SSW 6 22u 22u

R53
16V

1k
16 15 100n S20
TP137 10V 100n 6V3 6V3 5V_STBY

R591
BACKLIGHT_ON/OFF

6k8
4 VSS GND 5 S22
18 17 C665
STBY_ON/OFF STBY_ON/OFF 1u

C711
S8 TP136 S16 R52 S21

50V
8n2
10V Q22 16V TP142
20 19 100n 1k
R847 TP298 C354 3

R370
BC848B TPS54628 ADJ/6A C675
22u
33R Q21
3D_EN_PW C352 S15
24V_VCC
BC848B
2 1
10k
2
STBY_ON/OFF_NOT 30084920 6V3

100n 10V S6 1

2
10k 1
3V3_VCC 10V 100n
B R377 B
C349

3V3_STBY LDO
12V_VCC F48 0R
3V3_VCC 1V8_VCC
60R C728 22p 50V
C496 R697 R2 R699
22u 22u VFB_3V3_VCC 1 POK
100n R131 GND 8 10R 910R
16V 16V 16V R696 R688 R694 2 1
U26
R1 5V_VCC 4k7
C726 C725 33k 680R 10k R2 2 EN FB 7

10u
10V
3V3_VCC APL5910

R698
150R
R134 R372 60R 3 VIN U57
VOUT 6
4k7 2
10k
1
Vout=0.765x(1+(R1/R2)) F49
1 2

5V_STBY
LM1117
TP297
C545 4 VCNTL
1 EN 5V_VCC NC 5 3 IN OUT 2 3V3_STBY
VIN 8 30074386 3u3 4A4 2
S250
1
100n C357
L1 TP143 C546 R1 ADJ VOUT C2309
U23 C495
10u
10V 10V
22u
2 VFB VBST 7 16V 3V3_VCC 10u
VFB_3V3_VCC 10V 1 4 6V3
10u

R177
C TPS54528 100n C678 C679 C2308 C

1k
3 VREG5 SSW 6 22u 22u
R1=33k68
4 VSS GND 5
6V3 6V3
Vout=0.8x(1+(R1/R2))
C666
1u
R2=10k
C712

R1=1k15 R2=920R
50V
8n2

16V
1V8_VCC
TP144
30069495

C695

6V3
22u
LDO AP2111H 3.3V/600MA SOT223

1V_MFC_CORE

TP91
1V15_VCC_DEMOD 1V_G6F_CORE

TP90
6V3
100u
C466
L10
R2 L9
R705 R695 1V_MFC_CORE
D 1 POK GND 8
1V_G6F_CORE 2u4 D

EN_MFC_CORE
R132 470R 10k 2u4
EN_G6F_CORE

2 1
U27 C455 C456 C454 C453 S125 R256
5V_VCC 4k7 C358 R526 C472 C473 C452 C450
2 EN 22u 22u 22u 22u 10k
FB 7 4k7 R1 22u 22u 22u 22u 6V3 6V3 6V3 6V3
1V15_VCC_DEMOD
10u
10V

3V3_VCC 60R APL5910 100n 6V3 6V3 6V3 6V3


3 VIN C444 C465
1 2
VOUT 6 10V C442 C464 100n 22n
F51 TP146 100n 22n
C697

C547 16V 6V3


6V3

4 VCNTL NC 5
22u

5V_VCC 16V 6V3

S121
2 1
S252
C548

13

12

11

10

9
13

12

11

10

10u
9

C461
10V C460

BOOT

PH_1

PH_0

EN

SS/TR
BOOT

PH_1

PH_0

EN

SS/TR

S119

C458

C459

MFC_CHIP_VDET
39p
39p R255 50V C446

560p
50V
R254 50V C445
Vout=0.8x(1+(R1/R2))

560p
50V
14 8
14 PWRGD U32 COMP 8 4k7
PWRGD U33 COMP 4k7
TPS54821 TPS54821 4n7
1 7
R1=4k7 R2=10k47 1 RT/CLK VSENSE 7 4n7
50V
RT/CLK VSENSE 50V

PVIN_0

PVIN_1
MFC_CORE_FB

10k
PVIN_0

PVIN_1

GND_0

GND_1
G6F_CORE_FB

10k
GND_0

GND_1

R348
R347

VIN
R1
VIN

R1
E E

6
R373 MFC_CORE_FB
2

3V3_STBY
1
10k
2
STBY_ON/OFF G6F_CORE_FB R249
100k

R359
499R
R136
R358
499R

R376 Q23 100k


1 2
3V3_STBY 10k
R54
STBY_ON/OFF_NOT 1k R345 R353
BC848B R258 R352

R357
33k 8k2

9k1
12V_VCC
R356

33k 8k2
9k1

12V_VCC R2

C2284

C2285
R2
C5V6

10u

10u
D33

C2283

16V

16V
C448

C447

C463

10u

10u
C2316

16V

16V
10u
C449

10u

10u

10u

16V

16V
16V

16V

R355
7k5
R354

CORE_RESET
7k5

CORE_RESET S122
S116
EN_MFC_CORE
EN_G6F_CORE

F F
Vout=0.6x(1+(R1/R2)) Vout=0.6x(1+(R1/R2)) Vout=0.95V

R1=10k R2=17k1 Vout=0.95V R1=10k R2=17k1 VESTEL PROJECT NAME : 17MB120-R2 A3


SCH NAME :01_POWER_1 T. SHT:17
DRAWN BY :AKIN ZOHRE 11-09-2015_18:25
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8

1V5_DDR_STR W/FAST POWER ON 1V5_VCC MFC_CORE_FB

R738 R1 R499 R500 R1 R739

R350
470R
51k 47k 47k 51k
A C877 C876
A

2p NC 2p NC R MFC_VID[0]
16V C12

R366
200k
50V 50V
FEEDBACK D28 C693 C694 C691 C692
100n 22u 22u C11 22u 22u
1N4148 6 BOOT 6V3 6V3 6V3 6V3
GND 1 6 BOOT GND 1 C457
L24 TP276 L23
STR_EN R329 U46 100n16V U45 TP257
1
10k 2 5 EN LX 2 1V5_DDR_STR 5 EN LX 2 1V5_VCC nc
3u3 3u3 10n
RT6213BHGJ6F 1N5819 RT6213BHGJ6F F32 16V
4 FB VIN 3 12V_VCC 4 FB VIN 3 12V_VCC Q32 R44
C429 C721 D47 C428 C719 60R 2N7002
22u 22u 22u 22u 1k MFC_VID0
100n 1N5819 100n
R26 16V 16V 16V 16V 16V 16V
5V_STBY
100k C722 C720
D48 NC

R2
R2 FEEDBACK

100k
R328 R128

R27
1 2
10k 4k7
B Vout=0.765x(1+(R1/R2)) B
R1=98k R2=100k
Vout=0.765x(1+(R1/R2))30091219
30091220
R1=98k R2=100k TPS563200 ADJ/3A SOT23
TPS562200 ADJ/2A SOT23

3V3_WOWL W/WOWL
1V_G6F_CPU R771 R1 R754
Vout
37k4
33k
100R
4k7
MFC_VID[0] R2
R1 C874
1k8 10k
C C
R769
C875
R693
C9 2p NC 0,95 V
50V L 17k1
G6F_CPU_FB FEEDBACK2 D27 C687 C688
2p NC 100n 22u 22u
50V 1N4148 16V 6V3 6V3
6 BOOT GND 1 TP278
C689 C690
C10 22u 22u WOWL_EN R326 U43 L21 14k6 1,01 V
6 BOOT GND 1
6V3 6V3 1
10k 2 5 EN LX 2
3u3 1N5819
3V3_WOWL H
L22 TP277 RT6213BHGJ6F
100n16V U44 4 FB VIN 3 12V_VCC
5 EN LX 2 1V_G6F_CPU
3u3 C426 C716 D39
RT6213BHGJ6F F31 22u 22u 1N5819
4 FB 100n
VIN 3 12V_VCC R770 16V 16V 16V
60R 5V_STBY
C427 C717 12k C715
22u 22u D46 NC
100n R2
R367

16V 16V
59k

16V
C718 FEEDBACK2

R2 R327 R127

D
1
10k
2
4k7
Vout=0.765x(1+(R1/R2)) D
R363
4k02

S117
CORE_RESET
R1=37k5 R2=12k
30091220
Vout=0.765x(1+(R1/R2)) 30091219 TPS562200 ADJ/2A SOT23
R1=11k8 R2=63k TPS563200 ADJ/3A SOT23
G6F_CORE_FB

VID[0] VID[1] R2

R362
Vout

20k

R349
150R
E L L 0,95 V E
G6F_CPU_FB
17k1

R361
20k
R VID[1] R VID[0]
VID[0] VID[1] R2 Vout

R257
120k
R365

L
15k

R351

H 15k 1 V
8k2

R360
2k7
L L 63k 0,95 V C451
nc
R VID[1] R VID[0]

Q51
10n
16V H L 12k2 1,09 V
R368
180k

Q52 R800
R364
40k2

L H 1 V 2N7002
2N7002
1k CORE_VID0
C437 47k2
nc
R801
H H 10k9
10n
L C443
1k CORE_VID1
1,15 V
Q31
16V H 29k5 1,12 V nc
Q30 R42
2N7002 CPU_VID0 10n
F 2N7002 1k
16V
F
C346 R41
H H 24k2
nc
1k CPU_VID1
1,19 V
10n
16V VESTEL PROJECT NAME : 17MB120-R2 A3
SCH NAME :02_POWER_2 T. SHT:17
DRAWN BY :AKIN ZOHRE 05-09-2015_12:33
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8
AB_AVDD CD_AVDD U6
R186 DDR_VTT MSD95M0D

B2
D9
G7
K2
K8
N1
N9
R1
R9

A1
A8
C1
C9
D2
E9
F1
H2
H9

B2
D9
G7
K2
K8
N1
N9
R1
R9

A1
A8
C1
C9
D2
E9
F1
H2
H9
A_REF_DQ

C_REF_DQ
100R
1 R1 8
AB_A14 C894 F17 AB_DDR3_A0 1 CD_DDR3_A0 H28
N3 N3 2 R2 7 AB_A0 CD_A0

VDD_1
VDD_2
VDD_3
VDD_4
VDD_5
VDD_6
VDD_7
VDD_8
VDD_9

VDDQ_1
VDDQ_2
VDDQ_3
VDDQ_4
VDDQ_5
VDDQ_6
VDDQ_7
VDDQ_8
VDDQ_9

VDD_1
VDD_2
VDD_3
VDD_4
VDD_5
VDD_6
VDD_7
VDD_8
VDD_9

VDDQ_1
VDDQ_2
VDDQ_3
VDDQ_4
VDDQ_5
VDDQ_6
VDDQ_7
VDDQ_8
VDDQ_9
AB_A0 A0 CD_A0 A0 AB_A8 C17 K31
P7 P7 3 10V 100n AB_A1 AB_DDR3_A1 CD_DDR3_A1 CD_A1
AB_A1 A1 CD_A1 A1 AB_A11 R3 6 E17 J29
P3 P3 4 C269 AB_A2 AB_DDR3_A2 CD_DDR3_A2 CD_A2
AB_A2 A2 CD_A2 A2 AB_A6 R4 5 F18 K27
N2 N2 10V 100n AB_A3 AB_DDR3_A3 CD_DDR3_A3 CD_A3
AB_A3 A3 CD_A3 A3 R181 B18 K30
P8 H1 P8 H1 AB_A4 AB_DDR3_A4 CD_DDR3_A4 CD_A4
AB_A4 A4 VREF_DQ CD_A4 A4 VREF_DQ 100R E18 J28
A AB_A5
P2 A5 VREF_CA M8
CD_A5
P2 A5 VREF_CA M8
AB_A1
1 R1 8 AB_A5
A17
AB_DDR3_A5 CD_DDR3_A5
K32
CD_A5 A
R8 R8 2 C893 AB_A6 AB_DDR3_A6 CD_DDR3_A6 CD_A6
AB_A6 A6 CD_A6 A6 AB_A4 R2 7 D17 H31
R2 E3 R2 E3 3 10V 100n AB_A7 AB_DDR3_A7 CD_DDR3_A7 CD_A7
AB_A7 A7 DQL0 A_DQL0 CD_A7 A7 DQL0 C_DQL0 AB_A12 R3 6 C16 J32
T8 F7 T8 F7 4 C263 AB_A8 AB_DDR3_A8 CD_DDR3_A8 CD_A8
AB_A8 A8 DQL1 A_DQL1 CD_A8 A8 DQL1 C_DQL1 AB_BA1 R4 5 E16 G30
R3 F2 R3 F2 10V 100n AB_A9 AB_DDR3_A9 CD_DDR3_A9 CD_A9
AB_A9 A9 DQL2 A_DQL2 CD_A9 A9 DQL2 C_DQL2 R185 B19 L30
L7 F8 L7 F8 AB_A10 AB_DDR3_A10 CD_DDR3_A10 CD_A10
AB_A10 A10/AP DQL3 A_DQL3 CD_A10 A10/AP DQL3 C_DQL3 100R B17 J30
R7 H3 R7 H3 8 AB_A11 AB_DDR3_A11 CD_DDR3_A11 CD_A11
AB_A11 A11 DQL4 A_DQL4 CD_A11 A11 DQL4 C_DQL4 AB_A7 R1 1 D20 L29
N7 H8 N7 H8 7 C892 AB_A12 AB_DDR3_A12 CD_DDR3_A12 CD_A12
AB_A12 A12/BC DQL5 A_DQL5 CD_A12 A12/BC DQL5 C_DQL5 AB_A9 R2 2 F16 G31
T3 G2 T3 G2 6 10V 100n AB_A13 AB_DDR3_A13 CD_DDR3_A13 CD_A13
AB_A13 A13 DQL6 A_DQL6 CD_A13 A13 DQL6 C_DQL6 AB_A13 R3 3 B16 J31
H7 H7 5 C268 AB_A14 AB_DDR3_A14 CD_DDR3_A14 CD_A14
DQL7 A_DQL7 DQL7 C_DQL7 R4 4 E20 M28
J1 J1 10V 100n AB_A15 AB_DDR3_A15 CD_DDR3_A15 CD_A15
NC1 NC1 R184 E19 L28
L1 D7 L1 D7 C895 AB_BA0 AB_DDR3_BA0 CD_DDR3_BA0 CD_BA0
NC2 DQU0 A_DQU0 NC2 DQU0 C_DQU0 100R C18 L31
M7 C3 M7 C3 1 10V 100n AB_BA1 AB_DDR3_BA1 CD_DDR3_BA1 CD_BA1
AB_A15 NC3 DQU1 A_DQU1 CD_A15 NC3 DQU1 C_DQU1 AB_BA2 R1 8 F19 K28
L9 C8 L9 C8 2 C891 AB_BA2 AB_DDR3_BA2 CD_DDR3_BA2 CD_BA2
NC4 U2 DQU2 A_DQU2 NC4 U5 DQU2 C_DQU2 AB_BA0 R2 7 G22 N28
T7 C2 T7 C2 3 10V 100n AB_RASN AB_DDR3_RASN CD_DDR3_RASN CD_RASN
AB_A14 NC5 DQU3 A_DQU3 CD_A14 NC5 DQU3 C_DQU3 AB_A15 R3 6 F21 N27
J9 A7 J9 A7 4 C267 AB_CASN AB_DDR3_CASN CD_DDR3_CASN CD_CASN
NC6 H5TQ2G63BFR-PB DQU4 A_DQU4 NC6 H5TQ2G63BFR-PB DQU4 C_DQU4 AB_WEN R4 5 E21 L27
A2 A2 10V 100n AB_WEN AB_DDR3_WEN CD_DDR3_WEN CD_WEN
DQU5 A_DQU5 DQU5 C_DQU5 R183 F20 M27
M2 B8 M2 B8 S309 AB_ODT AB_DDR3_ODT CD_DDR3_ODT CD_ODT S314
AB_BA0 BA0 DQU6 A_DQU6 CD_BA0 BA0 DQU6 C_DQU6 100R C19 M31
N8 A3 N8 A3 1 R1 8 C890 AB_CKE AB_DDR3_CKE CD_DDR3_CKE CD_CKE
AB_BA1 BA1 DQU7 A_DQU7 CD_BA1 BA1 DQU7 C_DQU7 AB_A2 F15 G32
B AB_BA2
M3 BA2 CD_BA2
M3 BA2 AB_A5
2 R2 7 10V 100n S310 AB_RESETN A20
AB_DDR3_RESETN CD_DDR3_RESETN
N32
CD_RESETN S313 B
F3 F3 3 R3 6 C266 AB_CK AB_DDR3_CK CD_DDR3_CK CD_CK
DQSL_0 A_DQSL DQSL_0 C_DQSL AB_A0 B20 M30
J7 G3 J7 G3 4 R4 5 10V 100n AB_CKN AB_DDR3_CKN CD_DDR3_CKN CD_CKN
AB_CK CK_0 DQSL_1 A_DQSLN CD_CK CK_0 DQSL_1 C_DQSLN AB_A3 S311 E15 G29 S312
K7 K7 A_CSN A_DDR3_CSN C_DDR3_CSN C_CSN
AB_CKN CK_1 CD_CKN CK_1 R182 D15 F32
B7 B7 B_CSN B_DDR3_CSN D_DDR3_CSN D_CSN
DQSU_1 A_DQSUN DQSU_1 C_DQSUN 100R
K9 C7 K9 C7 8 R1 1 C889
AB_CKE CKE DQSU_0 A_DQSU CD_CKE CKE DQSU_0 C_DQSU C23 T31
7 R2 2 10V 100n A_DQL0 A_DDR3_DQL0 C_DDR3_DQL0 C_DQL0
AB_RASN C265 B22 A_DDR3_DQL1 C_DDR3_DQL1 P30
L2 CS DML E7 L2 CS DML E7 6 R3 3 A_DQL1 C_DQL1
A_CSN A_DML C_CSN C_DML AB_CASN 10V 100n B24 A_DDR3_DQL2 C_DDR3_DQL2 T30
DMU D3 DMU D3 5 R4 4 A_DQL2 C_DQL2
A_DMU C_DMU AB_ODT C21 A_DDR3_DQL3 C_DDR3_DQL3 P31
J3 RAS J3 RAS R229 A_DQL3 C_DQL3
AB_RASN CD_RASN C888 B25 A_DDR3_DQL4 C_DDR3_DQL4 U30
K3 CAS ODT K1 K3 CAS ODT K1 100R A_DQL4 C_DQL4
AB_CASN AB_ODT CD_CASN CD_ODT AB_A10 10V 100n C20 A_DDR3_DQL5 C_DDR3_DQL5 N31
L3 WE L3 WE A_DQL5 C_DQL5
AB_WEN CD_WEN NCR228 C264 C24 A_DDR3_DQL6 C_DDR3_DQL6 U31
A_DQL6 C_DQL6
VSS_10
VSS_11
VSS_12

VSSQ_1
VSSQ_2
VSSQ_3
VSSQ_4
VSSQ_5
VSSQ_6
VSSQ_7
VSSQ_8
VSSQ_9

VSS_10
VSS_11
VSS_12

VSSQ_1
VSSQ_2
VSSQ_3
VSSQ_4
VSSQ_5
VSSQ_6
VSSQ_7
VSSQ_8
VSSQ_9
B_CKE 100R B21 N30
VSS_1
VSS_2
VSS_3
VSS_4
VSS_5
VSS_6
VSS_7
VSS_8
VSS_9

VSS_1
VSS_2
VSS_3
VSS_4
VSS_5
VSS_6
VSS_7
VSS_8
VSS_9
T2 T2 10V 100n A_DQL7 A_DDR3_DQL7 C_DDR3_DQL7 C_DQL7
AB_RESETN RESET CD_RESETN RESET NCR227 C22 R31
L8 L8 C887 A_DML A_DDR3_DML C_DDR3_DML C_DML
ZQ ZQ AB_RESETN 100R A23 T32
10V 100n A_DQSL A_DDR3_DQSL C_DDR3_DQSL C_DQSL
240R 240R R230 B23 R30
C886 A_DQSLN A_DDR3_DQSLN C_DDR3_DQSLN C_DQSLN
A9
B3
E1
G8
J2
J8
M1
M9
P1
P9
T1
T9

B1
B9
D1
D8
E2
E8
F9
G1
G9

A9
B3
E1
G8
J2
J8
M1
M9
P1
P9
T1
T9

B1
B9
D1
D8
E2
E8
F9
G1
G9
R5 R8 B_CK 100R
10V 100n
R231 D23 P27
C270 A_DQU0 A_DDR3_DQU0 C_DDR3_DQU0 C_DQU0
B_CKN 100R D26 U29
C 10V 100n A_DQU1
E22
A_DDR3_DQU1 C_DDR3_DQU1
P28
C_DQU1 C
A_DQU2 A_DDR3_DQU2 C_DDR3_DQU2 C_DQU2
AB_AVDD CD_AVDD D27 A_DDR3_DQU3 C_DDR3_DQU3 U27
A_DQU3 C_DQU3
R192 A_DQU4 F23 A_DDR3_DQU4 C_DDR3_DQU4 R28 C_DQU4
DDR_VTT
B2
D9
G7
K2
K8
N1
N9
R1
R9

A1
A8
C1
C9
D2
E9
F1
H2
H9

B2
D9
G7
K2
K8
N1
N9
R1
R9

A1
A8
C1
C9
D2
E9
F1
H2
H9
B_REF_DQ

D_REF_DQ
100R E26 V28
1 A_DQU5 A_DDR3_DQU5 C_DDR3_DQU5 C_DQU5
CD_A14 R1 8 D22 P29
N3 N3 2 C905 A_DQU6 A_DDR3_DQU6 C_DDR3_DQU6 C_DQU6
R2 7
VDD_1
VDD_2
VDD_3
VDD_4
VDD_5
VDD_6
VDD_7
VDD_8
VDD_9

VDDQ_1
VDDQ_2
VDDQ_3
VDDQ_4
VDDQ_5
VDDQ_6
VDDQ_7
VDDQ_8
VDDQ_9

VDD_1
VDD_2
VDD_3
VDD_4
VDD_5
VDD_6
VDD_7
VDD_8
VDD_9

VDDQ_1
VDDQ_2
VDDQ_3
VDDQ_4
VDDQ_5
VDDQ_6
VDDQ_7
VDDQ_8
VDDQ_9
AB_A0 A0 CD_A0 A0 CD_A8 E25 U28
P7 P7 3 10V 100n A_DQU7 A_DDR3_DQU7 C_DDR3_DQU7 C_DQU7
AB_A1 A1 CD_A1 A1 CD_A11 R3 6 E24 T28
P3 P3 4 C277 A_DMU A_DDR3_DMU C_DDR3_DMU C_DMU
AB_A2 A2 CD_A2 A2 CD_A6 R4 5 D24 T27
N2 N2 10V 100n A_DQSU A_DDR3_DQSU C_DDR3_DQSU C_DQSU
AB_A3 A3 CD_A3 A3 R187 E23 R27
P8 H1 P8 H1 A_DQSUN A_DDR3_DQSUN C_DDR3_DQSUN C_DQSUN
AB_A4 A4 VREF_DQ CD_A4 A4 VREF_DQ 100R
P2 A5 VREF_CA M8 P2 A5 VREF_CA M8 1 R1 8
AB_A5 CD_A5 CD_A1 C904 C28 B_DDR3_DQL0 D_DDR3_DQL0 AA31
R8 A6 R8 A6 2 R2 7 B_DQL0 D_DQL0
AB_A6 CD_A6 CD_A4 10V 100n C26 B_DDR3_DQL1 D_DDR3_DQL1 W31
R2 A7 DQL0 E3 R2 A7 DQL0 E3 3 R3 6 B_DQL1 D_DQL1
AB_A7 B_DQL0 CD_A7 D_DQL0 CD_A12 C272 B29 B_DDR3_DQL2 D_DDR3_DQL2 AA30
T8 A8 DQL1 F7 B_DQL1 T8 A8 DQL1 F7 4 R4 5 B_DQL2 D_DQL2
AB_A8 CD_A8 D_DQL1 CD_BA1 10V 100n A26 B_DDR3_DQL3 D_DDR3_DQL3 W32
R3 A9 DQL2 F2 R3 A9 DQL2 F2 B_DQL3 D_DQL3
AB_A9 B_DQL2 CD_A9 D_DQL2 R191 C29 B_DDR3_DQL4 D_DDR3_DQL4 AB31
L7 A10/AP DQL3 F8 L7 A10/AP DQL3 F8 100R B_DQL4 D_DQL4
AB_A10 B_DQL3 CD_A10 D_DQL3 C25 B_DDR3_DQL5 D_DDR3_DQL5 V31
R7 A11 DQL4 H3 R7 A11 DQL4 H3 8 R1 1 B_DQL5 D_DQL5
AB_A11 B_DQL4 CD_A11 D_DQL4 CD_A7 C903 A29 B_DDR3_DQL6 D_DDR3_DQL6 AB32
N7 A12/BC DQL5 H8 N7 A12/BC DQL5 H8 7 R2 2 B_DQL6 D_DQL6
AB_A12 B_DQL5 CD_A12 D_DQL5 CD_A9 10V 100n B26 B_DDR3_DQL7 D_DDR3_DQL7 V30
T3 A13 DQL6 G2 T3 A13 DQL6 G2 6 R3 3 B_DQL7 D_DQL7
AB_A13 B_DQL6 CD_A13 D_DQL6 CD_A13 B27 W30
D DQL7 H7
B_DQL7 DQL7 H7
D_DQL7
5 R4 4
C276 B_DML
B28
B_DDR3_DML D_DDR3_DML
Y30
D_DML D
J1 J1 10V 100n B_DQSL B_DDR3_DQSL D_DDR3_DQSL D_DQSL
NC1 NC1 R190 C27 Y31
L1 D7 L1 D7 C902 B_DQSLN B_DDR3_DQSLN D_DDR3_DQSLN D_DQSLN
NC2 DQU0 B_DQU0 NC2 DQU0 D_DQU0 100R
M7 C3 M7 C3 1 R1 8 10V 100n
AB_A15 NC3 DQU1 B_DQU1 CD_A15 NC3 DQU1 D_DQU1 CD_BA2 E29 Y28
L9 C8 L9 C8 2 R2 7 C901 B_DQU0 B_DDR3_DQU0 D_DDR3_DQU0 D_DQU0
T7
NC4 U3 DQU2
C2
B_DQU2
T7
NC4 U4 DQU2
C2
D_DQU2 CD_BA0
3 R3 6 10V 100n B_DQU1
C31 B_DDR3_DQU1 D_DDR3_DQU1 AB27
D_DQU1
AB_A14 NC5 DQU3 B_DQU3 CD_A14 NC5 DQU3 D_DQU3 CD_A15 E27 V27
J9 A7 J9 A7 4 R4 5 C275 B_DQU2 B_DDR3_DQU2 D_DDR3_DQU2 D_DQU2
NC6 H5TQ2G63BFR-PB DQU4
A2
B_DQU4 NC6 H5TQ2G63BFR-PB DQU4
A2
D_DQU4 CD_WEN 10V 100n B_DQU3
D31 B_DDR3_DQU3 D_DDR3_DQU3 AB29 D_DQU3
DQU5 B_DQU5 DQU5 D_DQU5 R189 D29 W28
M2 B8 M2 B8 B_DQU4 B_DDR3_DQU4 D_DDR3_DQU4 D_DQU4
AB_BA0 BA0 DQU6 B_DQU6 CD_BA0 BA0 DQU6 D_DQU6 100R D30 AB28
N8 A3 N8 A3 1 C900 B_DQU5 B_DDR3_DQU5 D_DDR3_DQU5 D_DQU5
AB_BA1 BA1 DQU7 B_DQU7 CD_BA1 BA1 DQU7 D_DQU7 CD_A2 R1 8 E28 W27
M3 M3 2 10V 100n B_DQU6 B_DDR3_DQU6 D_DDR3_DQU6 D_DQU6
AB_BA2 BA2 CD_BA2 BA2 CD_A5 R2 7 C30 AA27
F3 F3 3 C274 B_DQU7 B_DDR3_DQU7 D_DDR3_DQU7 D_DQU7
DQSL_0 B_DQSL DQSL_0 D_DQSL CD_A0 R3 6 B31 Y27
J7 G3 J7 G3 4 10V 100n B_DMU B_DDR3_DMU D_DDR3_DMU D_DMU
B_CK CK_0 DQSL_1 B_DQSLN D_CK CK_0 DQSL_1 D_DQSLN CD_A3 R4 5 A31 AA28
K7 K7 B_DQSU B_DDR3_DQSU D_DDR3_DQSU D_DQSU
B_CKN CK_1 D_CKN CK_1 R188 B30 Y29
B7 B7 B_DQSUN B_DDR3_DQSUN D_DDR3_DQSUN D_DQSUN
DQSU_1 B_DQSUN DQSU_1 D_DQSUN 100R
K9 C7 K9 C7 8 C899
B_CKE CKE DQSU_0 B_DQSU D_CKE CKE DQSU_0 D_DQSU R1 1
7 10V 100n
CD_RASN R2 2
L2 E7 L2 E7 6 C273 CD_AVDD
B_CSN CS DML B_DML D_CSN CS DML D_DML CD_CASN R3 3
D3 D3 5 10V 100n C2306 C2307 C2305 C2304 C2303
DMU B_DMU DMU D_DMU CD_ODT R4 4
E AB_RASN
J3 RAS CD_RASN
J3 RAS R234
100n
16V
100n
16V
100n
16V
100n
16V
100n
16V
E
K3 K1 K3 K1 C898
AB_CASN
AB_WEN
L3
CAS
WE
ODT AB_ODT CD_CASN
CD_WEN
L3
CAS
WE
ODT CD_ODT CD_A10 100R
NC R233
10V 100n
C271
DDR TERMINATION
VSS_10
VSS_11
VSS_12

VSSQ_1
VSSQ_2
VSSQ_3
VSSQ_4
VSSQ_5
VSSQ_6
VSSQ_7
VSSQ_8
VSSQ_9

VSS_10
VSS_11
VSS_12

VSSQ_1
VSSQ_2
VSSQ_3
VSSQ_4
VSSQ_5
VSSQ_6
VSSQ_7
VSSQ_8
VSSQ_9

D_CKE 100R
VSS_1
VSS_2
VSS_3
VSS_4
VSS_5
VSS_6
VSS_7
VSS_8
VSS_9

VSS_1
VSS_2
VSS_3
VSS_4
VSS_5
VSS_6
VSS_7
VSS_8
VSS_9

T2 T2 10V 100n
AB_RESETN RESET CD_RESETN RESET NC R232 16V
L8 L8 C897
ZQ ZQ CD_RESETN 100R 10V 10V 16V 100n
10V 100n C16
240R 240R R235 10u 10u 100n
C896
A9
B3
E1
G8
J2
J8
M1
M9
P1
P9
T1
T9

B1
B9
D1
D8
E2
E8
F9
G1
G9

A9
B3
E1
G8
J2
J8
M1
M9
P1
P9
T1
T9

B1
B9
D1
D8
E2
E8
F9
G1
G9

R6 R7 D_CK 100R C23 C21 C13 16V


10V 100n 1 DDQ
R236 1V5_VCC VTTREF 8 100n
C278 C15
D_CKN 100R
10V 100n
U1 R28
TP89 2 VTT EN 7 100k 3V3_VCC

for STR A_REF_DQ B_REF_DQ for STR C_REF_DQ D_REF_DQ MP20073DH R9


DDR_VTT 3 GND REF 6 1V5_VCC
AB_CKN

CD_CKN

22R
AB_CK

CD_CK

AB_AVDD CD_AVDD C385 C386


C261 C262 C14 C24 C22
R173

R174

R176

R175

C260 C384 C259 C383 4 VTTSEN VDRV 5


R172

R171

R170

R169

1k

1k

1k

1k

100n 1n 100n 1n 100n 10u 10u 3V3_VCC


1k

1k

1k

1k

100n 1n 100n 1n
R129

R130

10V 50V 10V 50V 16V 10V 10V


4k7

4k7

10V 50V 10V 50V 16V C25


AB_AVDD 100n 4u7
C2287 C2288 C2289 C2290 C2291 C2292 C17 10V
100n 100n 100n 100n 100n 100n
F 16V 16V 16V 16V 16V 16V F
R31
56R
R32
56R

R33
56R
R34
56R

CD_AVDD

CD_AVDD

AB_RESETN CD_RESETN
AB_AVDD

AB_AVDD

C30 C31
B_CKE 10n D_CKE 10n
16V 16V CD_AVDD
C2295 C2294 C2293 C2296 C2297 C2298
R10
R45

R46

VESTEL PROJECT NAME : 17MB120-R2


1k

1k

C29 B_CK C32 R12 100n 100n 100n 100n 100n 100n A3
AB_CK 22R D_CK 16V 16V 16V 16V 16V
10n 10n CD_CK 22R 16V
16V R11 16V R13
AB_CKN 22R B_CKN
D_CKN
S326
D_CKE
SCH NAME :03_G6F_DDR3 T. SHT:17
S325 CD_CKN 22R CD_CKE
AB_CKE B_CKE DRAWN BY :NAMIK GOKCEDAGLI 08-09-2015_17:32
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8

ARC
CN1 CN3 HDMIA_0N
T2 HDMIA_0N VBY0P AF31
G6F_VBY0P
1 HDMIA_2P 1 HDMIC_2P T3 HDMIA_0P VBY0N AF32
HDMIA_5V HDMIA_0P G6F_VBY0N
2 2 U1 HDMIA_1N VBY1P AG31
HDMIA_1N G6F_VBY1P
3 HDMIA_2N 3 HDMIC_2N V2 HDMIA_1P VBY1N AG32
HDMIA_1P G6F_VBY1N

R330
A A

R47

10k
V3 AH30

1k
4 HDMIA_1P 4 HDMIC_1P HDMIA_2N HDMIA_2N VBY2P/LVB0P G6F_VBY2P/LVB0P
5 5 W2 HDMIA_2P VBY2N/LVB0N AH31
HDMIA_2P G6F_VBY2N/LVB0N
6 HDMIA_1N 6 HDMIC_1N R1 HDMIA_CKN VBY3P/LVB1P AJ32
HDMIA_CKN G6F_VBY3P/LVB1P
7 HDMIA_0P 7 HDMIC_0P R2 HDMIA_CKP VBY3N/LVB1N AJ31
HDMIA_HPD HDMIA_CKP G6F_VBY3N/LVB1N
8 8 R6 HDMIA_SCL VBY4P/LVB2P AK31
HDMIA_SCL G6F_VBY4P/LVB2P
9 HDMIA_0N 9 HDMIC_0N R331 T5 HDMIA_SDA VBY4N/LVB2N AK32
HDMIA_SDA G6F_VBY4N/LVB2N
10 HDMIA_CKP 10 HDMIC_CKP Q16 10k Y2 HDMIA_HPD VBY5P/LVBCKP AL31
G6F_VBY5P/LVBCKP
11 11 BC848B U4 HDMIA_5V VBY5N/LVBCKN AL32
HDMI20A_DET G6F_VBY5N/LVBCKN
12 HDMIA_CKN 12 HDMIC_CKN VBY6P/LVB3P AL30
G6F_VBY6P/LVB3P
13 CEC 13 CEC S295 L1 HDMIB_0N VBY6N/LVB3N AK30
HDMID_0N G6F_VBY6N/LVB3N R338
14 R237 14 M2
ARC R243 HDMID_5V HDMID_0P HDMIB_0P 10k 3V3_VCC
15 100R 15 100R M3 HDMIB_1N LOCKN/LVA3N AL25
HDMIA_SCL HDMIC_SCL HDMID_1N G6F_LOCKN/LVA3N
16 R238 16 100R N2 HDMIB_1P HTPDN/LVA3P AK24
HDMIC_SDA HDMID_1P G6F_HTPDN/LVA3P

R336
R50

10k
P2

1k
17 100R HDMIA_SDA 17 R244 HDMID_2N HDMIB_2N 10k 3V3_VCC
18 18 P1 HDMIB_2P VBY7P/LVB4P AL29
HDMIA_5V HDMIC_5V HDMID_2P G6F_VBY7P/LVB4P R339
19 19 K2 HDMIB_CKN VBY7N/LVB4N AK29
HDMIA_HPD HDMIC_HPD HDMID_CKN G6F_VBY7N/LVB4N
K3 HDMIB_CKP OSD0P/LVA0P AM28
NC NC 47k HDMID_HPD HDMID_CKP G6F_OSD0P/LVA0P
L4 AK28
R501

R502

R430

47k HDMIB_SCL OSD0N/LVA0N


47k

47k

33R

HDMID_SCL G6F_OSD0N/LVA0N

R506

R505

R435
R513

47k

47k

33R
R510 R337 L5 HDMIB_SDA OSD1P/LVA1P AK27
HDMID_SDA G6F_OSD1P/LVA1P
B Q19 10k M4 HDMIB_HPD OSD1N/LVA1N AL28
G6F_OSD1N/LVA1N B
NC BC848B M5 HDMIB_5V OSD2P/LVA2P AL26
33R HDMI20D_DET G6F_OSD2P/LVA2P
33R OSD2N/LVA2N AK26
R431 G6F_OSD2N/LVA2N
R434 D2 HDMIC_0N OSD3P/LVACKN AK25
HDMIB_0N G6F_OSD3P/LVACKP
HDMI20A_DET D3 HDMIC_0P OSD3N/LVACKN AM26
HDMI20C_DET HDMIB_5V HDMIB_0P G6F_OSD3N/LVACKN R341
E2 HDMIC_1N 10k
HDMIB_1N 3V3_VCC
E3 HDMIC_1P OSDLCKN/LVA4N AL24
HDMIB_1P G6F_O_LOCKN/LVA4N

R332
CN4

R48

10k
F2 AK23

1k
HDMIB_2N HDMIC_2N OSDHTPDN/LVA4P G6F_O_HTPDN/LVA4P
1 HDMID_2P F1 HDMIC_2P 10k
HDMIB_2P 2 3V3_VCC
2 C3 HDMIC_CKN R340
CN2 HDMIB_CKN
D1
1 HDMIB_2P
3 HDMID_2N HDMIB_HPD HDMIB_CKP
H6
HDMIC_CKP U6
4 HDMID_1P HDMIB_SCL HDMIC_SCL
2
5 R333 HDMIB_SDA
H5 HDMIC_SDA MSD95M0D
3 HDMIB_2N Q17 K6
6 HDMID_1N 10k HDMIC_HPD
4 HDMIB_1P BC848B J6
7 HDMID_0P HDMI20B_DET HDMIC_5V
5
8
6 HDMIB_1N G2
9 HDMID_0N HDMIC_0N HDMID_0N
7 HDMIB_0P G3
10 HDMID_CKP HDMIC_5V HDMIC_0P HDMID_0P
8 H2
11 HDMIC_1N HDMID_1N
C 9 HDMIB_0N
12 HDMID_CKN HDMIC_1P
H3 HDMID_1P C

R334
10 HDMIB_CKP

R49

10k
J2

1k
13 CEC HDMIC_2N HDMID_2N
11 J1
14 R245 HDMIC_2P HDMID_2P
12 HDMIB_CKN F3
15 100R HDMID_SCL HDMIC_CKN HDMID_CKN
13 CEC S294 G1
16 100R HDMID_SDA HDMIC_HPD HDMIC_CKP HDMID_CKP
14 ARC R241 J4
17 R246 HDMIC_SCL HDMID_SCL
15 100R HDMIB_SCL HDMID_5V K5
18 R335 HDMIC_SDA HDMID_SDA
16 100R HDMIB_SDA HDMID_HPD Q18 H4
19 10k HDMID_HPD
17 R242 BC848B J5
NC 47k HDMI20C_DET HDMID_5V
18 HDMIB_5V
R240
R508

R507

R437

19 HDMIB_HPD R512 S120


47k

47k

33R

100R V6 HDMI_CEC
CEC
NC 47k
C566 S118 P3
R503

R504

R433

R511 HDMI_ARC
47k

47k

33R

ARC
33R 1u R239
R436 100R AG7 MHL_CD
10V
AH6 MHL_VBUS_EN
33R HDMI20D_DET
AH5 MHL_OCD
R432
HDMI20B_DET
D D

F34
1V5_VCC AB_AVDD
60R C537 C540 C288 C347 C283 C285 C300 C290 C299 C297 C295 C292 C291
F35
CD_AVDD 10u 10u 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n
1V5_VCC 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V
60R C539 C538 C329 C326 C325 C324 C323 C320 C319 C315 C314 C313
10u 10u 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n
10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V
NC F37
NC F36 1V5_DDR_STR AB_AVDD
E 1V5_DDR_STR CD_AVDD 60R C311 C309 C308 C307 C306 C305 C304 C303 C302 C301 C280 E
60R C345 C344 C343 C340 C339 C338 C337 C336 C335 C334 C331 C330 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n
100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V
10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V

F F

VESTEL PROJECT NAME : 120p-r2 A3


SCH NAME :04_HDMI_MHL T. SHT:17
DRAWN BY :NAMIK GOKCEDAGLI 09-09-2015_11:38
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8
NF_CEZ W5 CMD VDD_0 K6
VCC_EMMC_IO
3V3_VCC NF_REZ W6 CLK VDD_1 AA5
1 48
CI SOCKET CI & TS LINES NC1 NC29
VDD_2 W4

3V3_NAND
R538 R542 NF_AD4 H3 Y4
2 47 DAT0 VDD_3

R408
33R 33R NC2 NC28

10k
NF_AD3 H4 DAT1 VDD_4 AA3
TS3_D6 1 R1 8 CI_A9 1 R1 8
CN10 CI_A12 2 R2 7
G6F_TS3_D6
CI_IORD 2 R2 7
G6F_CI_A9
3 NC3 NC27 46 NF_AD2 H5 DAT2
R479 35 1 G6F_CI_A12 G6F_CI_IORD NF_AD5 J2 DAT3 VDDF_0 T10
TS3_D5 3 R3 6 CI_A11 3 R3 6 3V3_NAND
CI_CD1 33R 36 2 G6F_TS3_D5 G6F_CI_A11 NF_AD6 J3 DAT4 VDDF_1 U9
CI_D3 TS3_D4 4 R4 5 CI_OE 4 R4 5 4 NC4 NC26 45
G6F_TS3_D4 G6F_CI_OE NF_AD7 J4 M6
A TS0_D3 37 3 CI_D4
R539 R543 NF_AD0 J5
DAT5 VDDF_2
N5 A
TS0_D4 38 4 CI_D5 5 44 DAT6 VDDF_3 100n
33R 33R NC5 I/O7 NF_AD7 J6

R589
TS0_D5 39 5 NF_AD1 DAT7 C373 10V

3k9
CI_D6 TS3_VLD 1 R1 8 1 R1 8
G6F_TS3_VLD CI_A10 G6F_CI_A10 K2

1
CI_PWR
R159 TS0_D6 40 6 CI_D7 2 R2 7 2 R2 7 6 43 VDDI
3V3_VCC TS3_D3 G6F_TS3_D3 TS0_D7 G6F_TS0_D7 NC6 I/O6 NF_AD6
4k7 TS0_D7 41 7 CI_CE 3 R3 6 3 R3 6
CI_IRQA G6F_CI_IRQA CI_CE G6F_CI_CE A4 R10 BALL_K2
R160 42 8 CI_A10 4 R4 5 4 R4 5 7 42 NC_0 VSS_0
3V3_VCC TS3_D2 G6F_TS3_D2 TS0_D6 G6F_TS0_D6 NF_RBZ RB I/O5 NF_AD5 A6 U8
4k7 43 9 CI_OE NC_1 VSS_1
44 10 R540 R544 A9 NC_2 VSS_2 M7
CI_IORD CI_A11 33R 8 R I/O4 41
45 11 33R NF_REZ NF_AD4 A11 NC_3 VSS_3 AA6
CI_IOWR CI_A9 CI_WE 1 R1 8
46 12 G6F_CI_WE CI_D7 1 R1 8 B2 NC_4 VSS_4 P5
TS3_SYNC CI_A8 2 R2 7 G6F_CI_D7 9 40

R156
TS3_D1 E NC25

4k7
47 13 G6F_TS3_D1 2 R2 7 G6F_TS0_D5 NF_CEZ B13 NC_5 VSS_5 Y5
TS3_D0 CI_A13 CI_A14 3 R3 6 TS0_D5
48 14 G6F_CI_A14 CI_D6 3 R3 6 S291 D1 NC_6 VSS_6 K4
TS3_D1 CI_A14 TS3_D0 4 R4 5 G6F_CI_D6 NF_CEZ1 10 NC7 NC24 39
49 15 G6F_TS3_D0 4 R4 5 G6F_TS0_D4 D14 NC_7 VSS_7 Y2
TS3_D2 CI_WE TS0_D4
TS3_D3 50 16 CI_IRQA
NC AE1 NC_8 VSS_8 AA4
R541 R545 11 NC8 NC23 38
51 17 33R 33R AE14 NC_9
CI_PWR CI_PWR
52 18 CI_A13 1 R1 8
G6F_CI_A13 CI_D5 1 R1 8
G6F_CI_D5 12
U16 37
AG2 NC_10 NC_73 P3
2 R2 7 2 R2 7 3V3_NAND VDD1 VDD2 3V3_NAND AG13 P10
TS3_D4 53 19 TS3_VLD R484 TS3_SYNC G6F_TS3_SYNC TS0_D3 G6F_TS0_D3 NC_11 NC_74
C585 TS3_D5 54 20 33R TS3_CLK CI_A8 3 R3 6
G6F_CI_A8 CI_D4 3 R3 6
G6F_CI_D4
NAND128-A AH4 NC_12 NC_75 P12
13 VSS1 VSS2 36
4 R4 5 4 R4 5 AH6 P13

NF_DQS
10p TS3_D6 55 21 CI_A12 50V CI_IOWR G6F_CI_IOWR CI_D3 G6F_CI_D3 NC_13 NC_76
50V AH9 P14
B TS3_D7 56 22 CI_A7 10p
C588
14 NC9 NC22 35
NF_DQS AH11
NC_14 NC_77
R1 B
TS0_CLK 33R 57 23 CI_A6 NC_15 NC_78
R481 CI_RST 58 24 10k NF_AD6 H1 NC_16 NC_79 R2
CI_A5 15 NC10 NC21 34
59 25 R410 H2 NC_17 NC_80 R3
CI_WAIT CI_A4 S278
60 26 H6 NC_18 NC_81 R5
CI_A3 16 CL NC20 33 S276
NF_CLE H7 R12
CI_REG 61 27 CI_A2 ETHERNET NC_19 NC_82
R157
4k7

TS0_VLD 62 28 H8 NC_20 NC_83 R13


CI_A1 17 AL I/O3 32
TS0_SYNC 63 29 CN11 NF_ALE NF_AD3 H9 NC_21 NC_84 R14
CI_A0

S277
TS0_D0 64 30 H10 NC_22 NC_85 T1
CI_D0 TD+ 2 ETH_TXP 18 W I/O2 31
TS0_D1 65 31 NF_WEZ NF_AD2 H11 NC_23 NC_86 T2
CI_PWR CI_D1
TS0_D2 66 32 H12 NC_24 NC_87 T3
CI_D2 TD- 3 ETH_TXN 19 WP I/O1 30
CI_CD2 33R 67 33 4k7 NF_WPZ NF_AD1 H13 NC_25 NC_88 T5
CI_PWR
R480 68 34 R158 H14 NC_26 NC_89 T12
RD+ 4 20 NC11 I/O0 29
ETH_RXP NF_AD0 J1 NC_27 NC_90 T13
R409
10k

J7 NC_28 NC_91 T14


RD- 5 21 NC12 NC19 28
ETH_RXN J8 U1
NC_29 U15 NC_92
TP224 J9 NC_30 NC_93 U2
TCT 1 22 NC13 NC18 27 KLMBG4WE4A-A001
J10 NC_31 NC_94 U3
3V3_VCC TP225 C19 J11 NC_32 NC_95 U5
RCT 6 100n 23 NC14 NC17 26
J12 U6
C 16V J13
NC_33 NC_96
U7 C
7 C20 24 25 NC_34 NC_97
NC1 NC15 NC16

NF_RBZ
100n J14 NC_35 NC_98 U10
R533 K1 U12
1
33R CI & TS LINES NC2 8 16V NF_CEZ
NF_AD4
NF_AD5
NF_AD7 K3
NC_36
NC_37
NC_99
NC_100 U13
TS0_D2 R1 8 G6F_TS0_D2 K5 U14

ETH_RXP
ETH_RXN
ETH_TXP
ETH_TXN
2 NF_AD3 NF_AD0 NC_38 NC_101
R2 7 9

SPI_DO
SPI_DI
SPI_CK
CI_D2 G6F_CI_D2 R536 SHLD1 K7 V1
3 NF_AD2 NF_AD1 NC_39 NC_102
TS0_D1 R3 6 G6F_TS0_D1 33R K8 V2
4 NF_AD5 NC_40 NC_103
CI_D1 R4 5 G6F_CI_D1 CI_A3 1 R1 8
G6F_CI_A3 SHLD2 10
K9 V3
2 R2 7 NF_AD6 NC_41 NC_104
R534 CI_WAIT G6F_CI_WAIT K10 V12
3 R3 6 NF_AD7 NC_42 NC_105
33R CI_A4 G6F_CI_A4 K11 V13
1 R1 8 4 R4 5 NF_AD0 NC_43 NC_106
TS0_D0 G6F_TS0_D0 CI_RST G6F_CI_RST K12 V14
2 R2 7 NF_AD1 NC_44 NC_107
CI_D0 G6F_CI_D0 K13 W1

B2
A2
C1
B1

Y6
V5
V4
W5
3 R3 6 NF_RBZ NC_45 NC_108
TS0_SYNC G6F_TS0_SYNC K14 W2

R522
R521
R520
R519
R517
R518
R516
R515
R514
R523
4 R4 5 R537 NC_46 NC_109
CI_A0 G6F_CI_A0 L1 W3
33R AH14 AH13 BALL_K2 NC_47 NC_110

RP
RN
TP
TN

SPI_CZ
SPI_DO
SPI_DI
SPI_CK
1 G6F_CI_D0 CI_D0 TS1_IO_D0 TS1D0
R535 CI_A5 R1 8 L2 NC_48 NC_111 W7

47k
47k
47k
47k
47k
47k
47k
47k
47k
47k
33R G6F_CI_A5 G6F_CI_D1 AG13 CI_D1 TS1_IO_D1 AG11
CI_A6 2 R2 7 NF_AD7 L3 NC_49 NC_112 W8
1 R1 8 G6F_TS0_VLD G6F_CI_A6 G6F_CI_D2 AG12 CI_D2 TS1_IO_D2 AG10
TS0_VLD TS3_D7 3 R3 6 L4 NC_50 NC_113 W9
CI_A1 2 R2 7 G6F_TS3_D7 G6F_CI_D3 AK22 CI_D3 TS1_IO_D3 AJ11
G6F_CI_A1 CI_A7 4 R4 5 L12 NC_51 NC_114 W10
CI_REG 3 R3 6 G6F_CI_A7 G6F_CI_D4 AK21 CI_D4 TS1_IO_D4 AH10
G6F_CI_REG VCC_EMMC_IO L13 W11
D CI_A2 4 R4 5
G6F_CI_A2 G6F_CI_D5 AL21 CI_D5 TS1_IO_D5 AJ13
L14
NC_52 NC_115
W12 D
AM23 AG9 NC_53 NC_116
G6F_CI_D6 CI_D6 TS1_IO_D6 M1 W13
TP216

AH20 AH9 NC_54 NC_117


G6F_CI_D7 CI_D7 TS1_IO_D7 M2 W14
AH11 NC_55 NC_118
Q35 TS1_IO_CLK TS1CLK M3 Y1
AG14 AJ10 NC_56 NC_119
BSH103 G6F_CI_A0 CI_A0 TS1_IO_VLD TS1VLD M5 Y3
AL20 AH12 NC_57 NC_121
F67 G6F_CI_A1 CI_A1 TS1_IO_SYNC TS1SYNC M8 Y6
5V_VCC AG15 NC_58 NC_124
CI_PWR G6F_CI_A2 CI_A2 M9 Y7
60R AH15 AK17 TP227 NC_59 NC_125
C572 C552 C370 C371 G6F_CI_A3 CI_A3 TS0_I_D0 G6F_TS0_D0 M10 Y8
C369 AM19 AL18 TP226 NC_60 NC_126
220u 10u 100n 100n G6F_CI_A4 CI_A4 TS0_I_D1 G6F_TS0_D1 M12 Y9
6V3 10V 10V 10V AJ17 AK18 NC_61 NC_127
G6F_CI_A5 CI_A5 TS0_I_D2 G6F_TS0_D2 M13 Y10
AJ16 4 AL15 NC_62 NC_128
100n G6F_CI_A6 CI_A6 TS0_I_D3 G6F_TS0_D3 M14 Y11
AH17 AL16 NC_63 NC_129
10V G6F_CI_A7
AM20
CI_A7 U6 TS0_I_D4
AK15
G6F_TS0_D4 N14 NC_70 NC_130 Y12
R153 R154 G6F_CI_A8 CI_A8 TS0_I_D5 G6F_TS0_D5 C586 N13 Y13
12V_VCC 4k7 4k7 G6F_CI_A9 AH19 CI_A9 MSD95M0D TS0_I_D6 AM16
G6F_TS0_D6 10p N12
NC_69 NC_131
Y14
AJ20 AK16 50V R482 NC_68 NC_132
G6F_CI_A10 CI_A10 TS0_I_D7 G6F_TS0_D7 N10 AA1
AK20 AL19 NC_67 NC_133
R407 G6F_CI_A11 CI_A11 TS0_I_CLK 33R TS0_CLK N3 AA2
CI_PWR_CTRL Q36 AG17 AM17 NC_66 NC_134
10k G6F_CI_A12 CI_A12 TS0_I_VLD G6F_TS0_VLD N2 AA7
BC847B CI POWER SWITCH G6F_CI_A13 AJ19 CI_A13 TS0_I_SYNC AL17
G6F_TS0_SYNC N1
NC_65 NC_139
AA8

NC_142
NC_143
NC_144
NC_145
NC_146
AG18 NC_64 NC_140
G6F_CI_A14 CI_A14 P2 AA9
R155

E NC_72 NC_141 E
4k7

TS3_IO_D0 AH23 G6F_TS3_D0 P1 NC_71


G6F_CI_IRQA AH18 CI_IRQA TS3_IO_D1 AH27 G6F_TS3_D1
G6F_CI_OE AM22 CI_OE TS3_IO_D2 AJ23 G6F_TS3_D2

AA10
AA11
AA12
AA13
AA14
G6F_CI_IORD AG20 CI_IORD TS3_IO_D3 AG27 G6F_TS3_D3
3V3_VCC
NF_RBZ/EMMC_RST

NF_REZ/EMMC_CLK

NF_CEZ/EMMC_CMD

AL22 AH24
NF_DQS/EMMC_DS

NF_AD7/EMMC_D5
NF_AD6/EMMC_D4
NF_AD5/EMMC_D3
NF_AD4/EMMC_D0
NF_AD3/EMMC_D1
NF_AD2/EMMC_D2
NF_AD1/EMMC_D7
NF_AD0/EMMC_D6

G6F_CI_CE CI_CE TS3_IO_D4 G6F_TS3_D4


G6F_CI_WE AK19 CI_WE TS3_IO_D5 AH26 G6F_TS3_D5 C587
CI_CD1 AG21 CI_CD TS3_IO_D6 AJ25 G6F_TS3_D6 10p
3V3_STBY AH16 AG26
SDIO_CLK

G6F_CI_RST CI_RST TS3_IO_D7 G6F_TS3_D7 R483 50V 3V3_VCC 3V3_NAND


NF_CE1Z
3V3_STBY

F81 220R

100n 10V
AJ14 AH25
TP221

NF_WEZ

NF_CLE

NF_WPZ

NF_ALE

G6F_CI_REG CI_REG TS3_IO_CLK 33R TS3_CLK

C698

6V3
AG19 AJ26
R164

22u
G6F_CI_IOWR CI_IOWR TS3_IO_VLD G6F_TS3_VLD
4k7

C375

C374
100n

10V
G6F_CI_WAIT AG16 CI_WAIT TS3_IO_SYNC AG24 G6F_TS3_SYNC
C372 C681
R489
U13 100n 22u TP219
MX25L512
B13
C12
B12
A12
C11
A11
B11
C10
B6
C9
A8
B9
B7
A9
B8
C8
C6

C7

SPI_CZ 33R 10V 6V3 D12


R487 1 CS# VCC 8 R161
TP222 2 7 3V3_VCC VCC_EMMC_IO
33R SO HOLD# 4k7 R485 R630 F83 220R

100n 10V
SPI_DO 1N4148 NF_DQS 75R 75R NF_AD0
3 6

C699
33R WP# SCLK 33R

6V3
SPI_CK

22u
4 5 R626 R629

C376

C377
R488 GND SI TP217 75R NF_AD1

100n

10V
NF_CEZ1

NF_WEZ

NF_CLE

NF_WPZ

NF_ALE

33R SPI_DI R628


75R NF_AD2 1V8_VCC
FLASH_WP

F TP218 R486 F82 220R F


R163

R627
4k7

75R NF_AD3
R162

TP223
4k7
TP220

R633
S279

S289

S288

75R NF_AD4
MBOOT SPI FLASH 75R
R632
NF_AD5
75R
R631
NF_AD6 VESTEL PROJECT NAME : 17MB120-R2 A3
3V3_STBY

NF_RBZ

NF_REZ

NF_CEZ

R634
75R NF_AD7 SCH NAME :05_CI_ETH_NAND_EMMC T. SHT:17
DRAWN BY :NAMIK GOKCEDAGLI 05-09-2015_12:33
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8

3V3_STBY
R35
1k C524 C1
USB3.0 PORT
F14
optional resistors
C95 C777 10u 100n USBSS_VCC C2 C571
100n 10u 10V 16V 60R 100n 220u

R614
R613
R612
R609
R610
R611
R597
R596
R595
1 IN OUT 6

12
10V 6V3 5V_VCC 16V 6V3
U17
YPBPR/CVBS/VGA RESET 2 GND ILIM 5
R636 TP7
1

G2
75R 560R USBSS_VCC VBUS
75R
75R
75R
75R
75R
75R
75R
75R
needs GND trace from connector G6F_RESET
A TPS2553-1 R635 A
USB3_ENABLE 3 EN FAULT 4 560R 2 D-

1N4148
AUDIO INPUT / SUBW C96

R276
D10

10k
100n TP6 3
R421 47n C405 AA2 D5 G6F_RESET 10V R671 D+
VGA_R 33R RIN0P RESET
R704 47n C404 AA1 USBSS_DN 10R
68R GIN0M 4
R419 47n C403 Y3 AM4 G6F_XIN R672 TP5 GND1
VGA_G 33R GIN0P XIN
R420 47n C402 Y1 AK4 USBSS_DP 10R
VGA_B 33R BIN0P XOUT G6F_XOUT 5

CN30
AA6 nc SSRX-
VGA_HSNC HSYNC0 R193
AA5 F5 C805 8 VDD TP4
P1 1

R719

R720
VSYNC0 IRIN 100R IR_IN USBSS_VCC

12k

12k
VGA_VSNC TP44 680p 6 SSRX+
C781
R411 47n C391 180p 50V D21
33R AA3 RIN1P USB0_DN A4 USB_BT_DN 1 7 GND P2 2
SC_R R701 47n C394 WHT 50V R282 7 GND2
AC1 B4 USB_BT_DP 2
SC_G
68R
33R
R413 47n C393 AC2
GIN1M
GIN1P
USB0_DP
10k YPBPR_L
G6F XTAL AZ1065-06Q
6 P6 P3 3
TP3
8

USB
R412 47n C392 AB2 C4 USB_WIFI_DN 3 TP45 SSTX-
SC_B 33R BIN1P USB1_DN RED S42 ESD
AB3 B3 TP46 5 P5 TP2
SOGIN1 USB1_DP USB_WIFI_DP 4
YPBPR_R
50V G6F_XIN P4 4 9
10k 27p TP1 SSTX+
5 X1
R414 47n C395 AD3 AL6 USB1_DN 5 C782 nc R283 S37
PR_IN 33R RIN2P USB2_DN 10

G1
R702 47n C398 AD2 U6 AK6 BLK 180p 50V 1 4 C748 USBSS_RXN C3 GND3

R721

R722
68R GIN2M USB2_DP USB1_DP 6

12k

12k
R415 47n C396 AD1 50V 680p C749 2 3
MSD95M0D S38
B Y/CVBS_IN 33R GIN2P JK4 USBSS_TXN B

11
R416 47n C397 AC3 AM14 C806 USBSS_RXP
PB_IN 33R BIN2P USB_SSTXP USBSS_TXP 24MHz 27p 100n C4
AL14 USBSS_TXN TP47
USB_SSTXN G6F_XOUT 50V 16V
W6 HSYNC1/UART3_RX USB_PSS_DN AM13 USBSS_DN S41 USBSS_TXP
SC_PIN8
AA4 VSYNC1/UART3_TX USB_PSS_DP AK13 USBSS_DP F133 R197 100n
SC_FB
USB_SSRXP AK12 USBSS_RXP 100R 16V
R615 R703 47n C400 1k SUBW_OUT
75R 68R AD6 VCOM USB_SSRXN AL13 USBSS_RXN
R616
75R C553

R729
R417 47n C399

75k
33R AC6 CVBS0 NC0 AK9 1n
Y/CVBS_IN R418 47n C401
33R AC5 CVBS1 NC1 AL10 50V
SC_CVBS_IN
AB6 CVBS2 USB3_DN AM10 USB0_DN C526 C527

SC_CVBS_OUT
S44
180p C780
75R
R617
AC4 CVBSOUT1
USB3_DP
NC2
AK10
AM11
USB0_DP
SIDE USB2.0 10u
10V
10u
10V

5
C407 NC3 AL11 R674 TP8
S45 C408 AL2 CN17

CDA4C16GTH
DIGITAL1_IF_P S46 VIFP USB0_DN 10R
AM2 AM7 C525 C5 F15 USB0_VCC

D24
DIGITAL1_IF_N
100n
100n
16V
AK1
VIFN

SIFP
IP_S/NC
IN_S/NC
QP_S/NC
AL7
AM8
Y/CVBS-PB-PR INPUT 10u
10V
100n
16V 60R
6 IO4
U24
IO1 1
1

2
16V 5V_VCC 1 IN OUT 6 USB0_VCC
AK2 SIFN QN_S/NC AK7 USB0_VCC 5 VDD GND 2 TP9
U18 R637

4
C JK1 TP28 F130 2 GND ILIM 5 560R
AZ099-04S 3 C
IF_AGC_T_D1 AK3 IF_AGC IF_AGC_S/NC AL5 PR_IN 4 IO3 IO2 3 TP10
DISEQC_OUT/NC AM5 6 1k TPS2553-1 R638
4
RED USB_ENABLE 3 EN FAULT 4 560R R673
USB3_EN AJ1 TGPIO0 MCP_TEST/NC M7 5 TP29 F129
AJ2 PB_IN USB0_DP 10R
MFC_HDMI_T_CEC 100R TGPIO1
4 1k
R826 BLU 3 TP30 F128
10k 3V3_VCC S292
Y/CVBS_IN D36
R445 2 1k
GRN 1
S43 C5V6 BACK USB2.0

R606

R607

R608
SERVICE_SCL R273

75R

75R

75R
C530 C529
30089356 baglanacak C759 C760 C761 100R SYS_SCL 10u 10u
27p 27p 27p S293 10V 10V
50V 50V 50V
D26

D35 R676 TP13

G4 8

G3 7
SERVICE_SDA 10R
5

USB1_DN
R272 USB1_VCC 1 VCC
C5V6
CDA4C16GTH

CDA4C16GTH

100R SYS_SDA 6 IO4 IO1 1 TP12


D25

BAV70 2 DN
U25
VGA INPUT

CN16

USB
C758 5 VDD GND 2
R605

D1 USB1_VCC TP11
75R

27p PC_5V 3 DP
50V 5V_VCC AZ099-04S
D 21 PC_SCL 4 IO3 IO2 3 D
4

TP43 4 GND

5 G1

6 G2
SC_CVBS_IN C97 R675
20
TP42 USB1_DP 10R
17

16

R198
100R TP27

R278

R279
SC_CVBS_OUT

10k

10k
19 100n
TP41 C757
SHIELD1

GND1

TP256 10V
R604

R280
27p
75R

10k
18 10k
R603

50V F16
75R

C756 SCL R281 8 VCC A0 1 C528 C6


27p 15 10u 100n
17
TP40 50V R196 U28 10V 16V 60R
VSYNC 100R 7 WP A1 2
47R 14 5V_VCC 1 IN OUT 6 USB1_VCC
SC_FB
16
TP39 R646
R195 24LC02 U19 R640
HSYNC 100R 6 SCL A2 3
13 2 GND ILIM 5 560R
15 SC_R R194
50V 75R DEBUG_TX SDA 100R 5 SDA VSS 4
TPS2553-1 R639
3 EN FAULT 4
R602

27p R600 12 USB_ENABLE 560R


75R

14 C755 TP254 TP23


R199
ID0 11 TP255 PC_SDA
100R
13 SERVICE_SDA R277
SCART LT1

R601

C15V

TP299
75R

D37

C754 SGND TP21 10k


5

27p 10
SC1

12 R546
CDA4C16GTH

E TP38 50V E
KEY 9 510R VGA_VSNC
D23

SC_G
CN20

11 R547
VGA

TP37
BGND 8 510R VGA_HSNC
10
75R DEBUG_RX R700
INTERNAL WIFI BT
PC_5V

R599
GGND 2k4
4

7 10V
9 10u
TP36 R707
RGND 6
22k SC_PIN8 CN18 WIFI/BT_VCC
8
TP35 TP18 C531
GND 5 USB_BT_DP
SC_B C7 1 2
R524

7 TP22
4k7

TP34 100n C750 C751 C752 TP15


C753 RESERVED 27p 27p 27p
SCART_AUD_L_IN 27p 16V 4 USB_BT_DN 3 4
R598

6 SERVICE_SCL 50V 50V 50V S39


75R

C779 50V S205 TP19 S40 3D_SYNC_I


BLUE 3 VGA_B
180p C514 1k F86 WOWL_DET 5 6 3D_SYNC_O
5 50V TP24 33R
4n7 F69 TP17 TP14
50V GREEN 2 VGA_G TP20 USB_WIFI_DN
1k F85 C987 C970 7 8
4
BAV OPTION TP25 60R
SHIELD2

F131 R548 10u 100n


SC_AUD_L_OUT RED 1 VGA_R 10V 16V
510R 1k F84 F68 USB_WIFI_DP 9 10 WIFI/BT_VCC
GND2

3 1k TP26 1 IN
TP33 3V3_VCC OUT 6 WIFI/BT_VCC TP16
SC_CVBS_IN 220R
F F
2 NUP4004M5

4 U53 R830
5
4

3
1

2 SCART_AUD_R_IN F155
YEL 2 GND ILIM 5
R592

R593

R594

TP32 F132 R549 560R


75R

75R

75R
18

19

3V3_WOWL
D22

510R SC_AUD_R_OUT 220R S451 TPS2553-1 R831


1 1k SCART_AUD_L_IN nc 3 EN
TP31 C513 3 JK2
WIFI/BT_ENABLE FAULT 4 560R
C778 4n7 WHT
180p
50V
50V
SCART_AUD_R_IN
2
3V3_VCC

WOWL_EN
4k7
R850 nc VESTEL PROJECT NAME : 17MB120-R2 A3
1 RED S452 SCH NAME :06_AV_PERIPHERALS_USB T. SHT:17
DRAWN BY :NAMIK GOKCEDAGLI 11-09-2015_11:36
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8
1V_G6F_CORE U6
C639 C617 C102 C103 C104 C105 C106 C107 C108 C109 C110 C111 C116 C115 C114 C113 C112 U6 MSD95M0D
100u 10u 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n A15 M25
6V3 6V3 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V TP232 MSD95M0D A18
GND1 8 GND101
M26
GND2 GND102
1V_G6F_CORE J9 VDDC_CORE1 7 AVDD33_MHL31 R7 AVDD33_MHL3 A21 GND3 GND103 M29
J10 T7 A24 M32
Muji2 MEMC J11
VDDC_CORE2 AVDD33_MHL32
A27
GND4 GND104
N3
1V_G6F_CPU VDDC_CORE3 GND5 GND105
1V_G6F_CORE J12 Y7 A30 N7
C640 C618 C131 C126 C123 C122 C121 C118 C117 C132 C2323 C2324 C2325 C2326 VDDC_CORE4 AVDD33_ETH AVDD33_ETH GND6 GND106
A 100u 10u 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n J13 VDDC_CORE5 AVDD33_DADC1 AB7 AVDD33_DADC B10 GND7 GND107 N8 A
6V3 6V3 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V K9 VDDC_CORE6 AVDD33_DADC2 AB8 B32 GND8 GND108 N9
K10 VDDC_CORE7 AVDD33_ADC1 AA7 AVDD33_ADC C2 GND9 GND109 N10
K11 VDDC_CORE8 AVDD33_ADC2 AA8 C13 GND10 GND110 N11
1V_G6F_CPU K12 VDDC_CORE9 AVDD33_USB1 G9 AVDD33_USB C32 GND11 GND111 N13
F89 BOTTOM SIDE F93 K13 VDDC_CORE10 AVDD33_USB2 G10 D18 GND12 GND112 N14
1V_G6F_CORE C2330 C2329 C2328 C2327
AVDDL_MOD 3V3_STBY AVDD33_ETH 100n 100n 100n 100n L9 VDDC_CORE11 AVDD33_USB31 AF13 AVDD33_USB3 D21 GND13 GND113 N17
1k C627 C136 C135 C134 1k C149 10V 10V 10V 10V L10 VDDC_CORE12 AVDD33_USB32 AB15 D25 GND14 GND114 N18
30070980 10u 100n 100n 100n 30070980 100n L11 VDDC_CORE13 AVDD33_AU AD7 AVDD33_AU D28 GND15 GND115 N19
6V3 10V 10V 10V 10V L12 AE7 D32 N20
VDDC_CORE14 AVDD33_EAR AVDD33_EAR GND16 GND116
R11 VDDC_CORE15 AVDD33_DMPLL AF8 AVDD33_DMPLL E30 GND17 GND117 N24
BOTTOM SIDE F94 R12 VDDC_CORE16 VDDP1 AE15 VDDP33 E31 GND18 GND118 N25
3V3_STBY F100 R13 AF15 E32 N26
AVDD_DDR AVDD33_DADC 3V3_VCC close to IC VDDC_CORE17 VDDP2 GND19 GND119
1k VDDP33 T11 VDDC_CORE18 F22 GND20 GND120 P8
C137 C150 1k
100n 30070980 100n C620 C621 C164 C165 C2318 T12 VDDC_CORE19 AVDD_MOD1 V17 AVDD_MOD F24 GND21 GND121 P9
30070980 10u 10u 100n 100n 100n
10V 10V T13 VDDC_CORE20 AVDD_MOD2 V18 F25 GND22 GND122 P10
6V3 6V3 10V 10V 10V U11 W19 F26 P11
VDDC_CORE21 AVDD_LPLL1 AVDD_LPLL GND23 GND123
U12 VDDC_CORE22 AVDD_LPLL2 Y19 F27 GND24 GND124 P12
F95 U13 F28 P13
1V_G6F_CORE 3V3_STBY F101 VDDC_CORE23 GND25 GND125
B 1k
AVDD33_ADC 3V3_VCC AVDD_LPLL
AC17 VDDC_CORE24 AVDD_PLL_A N15 AVDD_LPLL F29 GND26 GND126 P14 B
C139 C2319 C153 1k AC18 VDDC_CORE25 AVDD_PLL_B N16 F30 GND27 GND127 P15
100n 100n 30070980 100n C622 C166
30070980 10u 100n AC19 VDDC_CORE26 F31 GND28 GND128 P16
10V 10V 10V AC20 H16 G11 P17
6V3 10V VDDC_CORE27 VDDP_3318_A VDDP_NAND_A GND29 GND129
AC21 VDDC_CORE28 VDDP_3318_C K16 VDDP_NAND_C G12 GND30 GND130 P18
F97 AC22 VDDC_CORE29 G13 GND31 GND131 P19
3V3_STBY F102 AD17 K17 AVDD_DDR G14 P20
AVDD33_USB AVDD_LPLL AVDD_LPLL VDDC_CORE30 AVDD_DDRA1 GND32 GND132
1k AVDD_MOD AD18 VDDC_CORE31 AVDD_DDRA2 K18 G15 GND33 GND133 P24
C157 1k C180
30070980 100n C168 C661 C662 AD19 VDDC_CORE32 AVDD_DDRA3 L17 100n G16 GND34 GND134 P25
30070980 100n 1u 1u
10V AD20 VDDC_CORE33 AVDD_DDRA4 K19 10V G17 GND35 GND135 P26
10V 6V3 6V3 AD21 L19 close to IC G18 R3
VDDC_CORE34 AVDD_DDRA5 GND36 GND136
AD22 VDDC_CORE35 AVDD_DDRA6 L20 G19 GND37 GND137 R8
F20 F96 AE19 J21 G20 R9
3V3_STBY 3V3_STBY F104 VDDC_CORE36 AVDD_DDRA7 GND38 GND138
AVDD33_EAR AVDD33_USB3 3V3_VCC AE20 VDDC_CORE37 G21 GND39 GND139 R10
1k 1k VDDP_NAND_A
C160 C154 1k AE21 VDDC_CORE38 AVDD_DDRB1 K23 AVDD_DDR G23 GND40 GND140 R14
30070980 100n 30070980 100n C169 C623
30070980 100n 10u AE22 VDDC_CORE39 AVDD_DDRB2 J23 G24 GND41 GND141 R15
10V 10V F105 TP233 C181
10V 6V3 AVDD_DDRB3 K22 100n G25 GND42 GND142 R16
1V8_VCC U21 M22 G26 R17
1k 1V_G6F_CPU VDDC_CPU1 AVDD_DDRB4 10V GND43 GND143
F98 F106 U22 VDDC_CPU2 AVDD_DDRB5 N22 G27 GND44 GND144 R18
close to IC
C 1V_G6F_CORE 3V3_STBY AVDD33_AU 3V3_VCC VDDP_NAND_C
U23 VDDC_CPU3 AVDD_DDRB6 P23 G28 GND45 GND145 R19 C
1k 1k U24 VDDC_CPU4 AVDD_DDRB7 N23 H8 GND46 GND146 R20
C144 C2320 C159 C170 C624
100n 100n 30070980 100n 30070980 100n 10u U25 VDDC_CPU5 H9 GND47 GND147 R21
10V 10V 10V F107 10V 6V3 F99 V23 L18 AVDD_DDR H10 R22
1V8_VCC 3V3_STBY VDDC_CPU6 AVDD_DDRLDO_A GND48 GND148
AVDD33_DMPLL V24 VDDC_CPU7 AVDD_DDRLDO_B L22 AVDD_DDR H11 GND49 GND149 R23
1k 1k C162 V25 VDDC_CPU8 H12 GND50 GND150 R24
F176 F92 30070980 100n W23 VDDC_CPU9 VDRAM_CMD_C1 J14 AVDD_DDR2 H13 GND51 GND151 R25
3V3_VCC AVDD33_MHL3 3V3_STBY AVDD_NODIE 10V W24 K14 H14 R26
1k 1k VDDC_CPU10 VDRAM_CMD_C2 GND52 GND152
C147 C2321 C142 W25 VDDC_CPU11 AVDD_DDRCMD_C1 J15 H15 GND53 GND153 R29
100n 100n 30070980 100n Y23 VDDC_CPU12 AVDD_DDRCMD_C2 K15 H17 GND54 GND154 R32
10V 10V 10V Y24 H18 T8
VDDC_CPU13 GND55 GND155
Y25 VDDC_CPU14 VDRAM_DATA_C1 AA16 AVDD_DDR2 H19 GND56 GND156 T9
F21 AA22 VDDC_CPU15 VDRAM_DATA_C2 AB16 H20 GND57 GND157 T10
1V5_VCC AA23 VDDC_CPU16 AVDD_DDRDATA_C1 AA17 H21 GND58 GND158 T14
AVDD_DDR
60R AA24 VDDC_CPU17 AVDD_DDRDATA_C2 AB17 H22 GND59 GND159 T15
C625 C171 C172 C173 C174 C176 C175 C177 C178 C195 C194
10u 100n 100n 100n 100n 100n 100n 100n 100n 100n 100n AA25 VDDC_CPU18 close to IC H23 GND60 GND160 T16
6V3 10V 10V 10V 10V 10V 10V 10V 10V 10V 10V AB24 VDDC_CPU19 DVDD_DDR Y17 100n C189 H24 GND61 GND161 T17
AB25 VDDC_CPU20 H25 GND62 GND162 T18
VDRAM_DATA_C AC30 100n C190 H26 GND63 GND163 T19
D 1V8_VCC
S448 BOTTOM SIDE AVDDL_MOD W21 AVDDL_MOD1 AVDD_DDRDATA_C AC31 100n C191 H27 GND64 GND164 T20 D
AVDD_DDR2 Y21 AVDDL_MOD2 H30 GND65 GND165 T21
C626 C188 C187 C186 C185 C184 C183 W20 AVDDL_MOD3 AVDD_DDRCMD_C C14 100n C192 J3 GND66 GND166 T22
10u 100n 100n 100n 100n 100n 100n 10V Y20 B14 100n C193 J7 T23
6V3 10V 10V 10V 10V 10V 10V AVDDL_MOD4 VDRAM_CMD_C GND67 GND167
100n R677 J8 GND68 GND168 T24
AD29 AVDDL_MOD5 AVDD_HDMI5_PA H7 10R HDMIA_5V J16 GND69 GND169 T25
AD30 AVDDL_MOD6 EMMC_CTRL A6 J22 GND70 GND170 T26
C133 J24 U2
for PISI request GND71 GND171
AVDD_DDR V19 AVDD15_MOD1 NC/MCP_VDDC1 AE16 J25 GND72 GND172 U3
U19 AVDD15_MOD2 NC/MCP_VDDC2 AF16 J26 GND73 GND173 U8
NC4 AE31 J27 GND74 GND174 U9
1V_G6F_CORE AA13 AVDDL_USB1 NC5 AC24 K7 GND75 GND175 U10
6V3 AF11 AVDDL_USB2 NC6 AD23 K8 GND76 GND176 U14
1u NC7 AE30 K25 GND77 GND177 U15
L13 DVDD_NODIE K26 GND78 GND178 U16
GND_EFUSE G8 L2 GND79 GND179 U17
C660 K21 L3 U18
DVDD_DDR1 GND80 GND180
1V_G6F_CORE N21 DVDD_DDR2 L8 GND81 GND181 U20
GS18 M21 DVDD_DDR3 L14 GND82 GND182 U26
E L21 DVDD_DDR4 L15 GND83 GND183 V1 E
L16 GND84 GND184 V8
GS19 AVDD_NODIE V7 AVDD_NODIE L25 GND85 GND185 V9
L26 GND86 GND186 V10
1V_G6F_CORE N12 AVDDL_MHL31 M1 GND87 GND187 V11
GS20 L7 AVDDL_MHL32 M8 GND88 GND188 V12
M9 GND89 GND189 V13
M10 GND90 GND190 V14
GS21 M11 GND91 GND191 V15
M12 GND92 GND192 V16
M13 GND93 GND193 V20
M14 V21
AD11
AD12
AD13
AD14
AD15
AD16
AD24
AD25
AD26
AD27
AD28

AE10
AE11
AE12
AE13
AE14
AE17
AE18
AE23
AE24
AE25
AE26
AE27
AE28
AE29

AF10
AF17
AF18
AF19
AF20
AF21
AF22
AF23
AF24
AF25
AF26
AF27
AF28
AF29
AF30
AG22
AG23
AG30
AH21
AH22

AJ22
AJ30

AK11
AK14

AL12
AL23
AL27
AM25
AM29
AM31
GS24 GND94 GND194
AE3
AE8
AE9

AF9

AJ3

AK5

AL1
AL3
AL4
AL9
M15 GND95 GND195 V22
M16 GND96 GND196 V26
M17 V29
GND266
GND267
GND268
GND269
GND270
GND271
GND272
GND273
GND274
GND275
GND276
GND277
GND278
GND279
GND280
GND281
GND282
GND283
GND284
GND285
GND286
GND287
GND288
GND289
GND290
GND291
GND292
GND293
GND294
GND295
GND296
GND297
GND298
GND299
GND300
GND301
GND302
GND303
GND304
GND305
GND306
GND307
GND308
GND309
GND310
GND311
GND312
GND313
GND314
GND315
GND316
GND317
GND318
GND319
GND320
GND321
GND322
GND323
GND324
GND325
GND326
GND327
GND328
GND329
GND330
GS23 GND97 GND197
M18 V32
MSD95M0D

GND98 GND198
M19 GND99 GND199 W3
GS22 M20 GND100 GND200 W8
U6

F F
GND201
GND202
GND203
GND204
GND205
GND206
GND207
GND208
GND209
GND210
GND211
GND212
GND213
GND214
GND215
GND216
GND217
GND218
GND219
GND220
GND221
GND222
GND223
GND224
GND225
GND226
GND227
GND228
GND229
GND230
GND231
GND232
GND233
GND234
GND235
GND236
GND237
GND238
GND239
GND240
GND241
GND242
GND243
GND244
GND245
GND246
GND247
GND248
GND249
GND250
GND251
GND252
GND253
GND254
GND255
GND256
GND257
GND258
GND259
GND260
GND261
GND262
GND263
GND264
GND265
VESTEL PROJECT NAME : 120p-r2 A3
AA10
AA11
AA12
AA14
AA15
AA18
AA21
AA26
AA29
AA32

AB10
AB11
AB12
AB13
AB14
AB18
AB19
AB20
AB21
AB22
AB23
AB26
AB30

AC10
AC11
AC12
AC13
AC16
AC23
AC25
AC26
AC27
AC28

AD10
W10
W11
W12
W13
W14
W15
W16
W17
W18
W22
W26

Y10
Y11
Y12
Y13
Y14
Y15
Y16
Y18
Y22
Y26
AA9

AB9

AC7
AC8
AC9

AD8
AD9
W9

Y8
Y9

SCH NAME :07_G6F_SUPPLY T. SHT:17


DRAWN BY :NAMIK GOKCEDAGLI 14-09-2015_10:01
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8
R85
3V3_VCC R250

3V3_STBY
3V3_STBY
33R 33R 4k7 3V3_VCC
S77 S74 4k7
nc
TOUCHPAD_SCL TOUCHPAD_SDA R84 nc
IR/ILOGO/LED SYS_SCL
S78 S75
33R
SYS_SDA USB_ENABLE
S90
4k7 3V3_VCC
USB3_ENABLE
S302 R275
4k7 3V3_VCC
33R nc
nc
nc
Q9 4k7 nc
USB_EN Q20 4k7
BC848B USB3_EN

CN25
R83 BC848B

10
A R342 A

R76
4k7
4k7
R75
nc
R88 nc R425
F135 4k7 3V3_VCC 33R 3D_SYNC
3V3_STBY

5V_STBY

3V3_STBY AL8 D9
1k DEBUG_RX UART0_RX PWM0 HP_DETECT R423
S91 R86 DEBUG_TX AK8 F10 3D_SYNC_O
F134 BACKLIGHT_ON/OFF 3V3_VCC UART0_TX PWM1 33R

ILOGO
5V_STBY 4k7 4k7 3V3_VCC R94 F8 3D_SYNC_I
4k7 PWM2 33R

S73
nc
TP81

TP80

TP79

TP78

TP77

TP76

TP75

TP74
TP73

TP72
1k R89 SYS_SCL E11 E9 BACKLIGHT_DIM
DDCR_CK PWM3 R424
SYS_SDA E10 6
Q10 DDCR_DA
4k7 BACKLIGHT_ON/OFF_NOT F4
BC848B 3V3_VCC 4k7 U6 SAR0 KEYBOARD
CN24

R87 R95 AJ7 G5


R99BACKLIGHT_ON/OFF_NOT SCK0 SAR1
S87

S88

5
VERSION
F136 3V3_VCC 4k7 CI_PWR_CTRL AH8 SDA0 MSD95M0D SAR2 E5 CORE_VID0

R314
S80 S81

10k
TUNER_SCL R4 I2CM_SCL SAR3 E4 CORE_VID1
1k TUNER_SDA R5 G4
C813 C814 R93 I2CM_SDA SAR5 POWER_SENSE
100p 100p 3D_EN 3V3_VCC 3D_ENABLE_P AJ6 3V3_STBY
nc 4k7 4k7 SCK4 4k7
50V 50V Q7 R92 C248 R100 PANEL_VCC_ON/OFF AG8 SDA4 PM_GPIO10 AE2 R890
C768 C770 100n AUX_RESET
BC848B AH7 U6

LED_SUPPLY
27p 5V_VCC nc 4k7 SCK5 PM_GPIO13 FLASH_WP
LED_SUPPLY

C815
F137

10V TUNER2_SCL AJ8 P4


1k

50V 100p R218 S92 R90 SDA5 PM_GPIO14 STBY_ON/OFF_NOT


TUNER2_SDA

R77
4k7
27p 50V 3V3_VCC 100R 4k7 3V3_VCC
50V nc nc 3V3_VCC 4k7 AH29 UART1_RX PM_GPIO17 U5
S82

RX_G6F HP_AMP_MUTE
B R422 R220 TX_G6F AH28 UART1_TX PM_GPIO18 AE5 WOWL_ENABLE S111
B
R315 Q11 3V3_VCC F12 AJ5
C769 4k7 33R 3D_ENABLE_P 4k7 WIFI/BT_ENABLE UART4_RX/DIM3 PM_GPIO19 PC_SDA
10k LED_SUPPLY BC848B F14 AG6 AMP_MUTE TOUCHPAD_SDA
R91 R221 ANT_SCP UART4_TX/DIM2 PM_GPIO23
3D_ENABLE LNA_CTRL E14 DIM1 PM_GPIO11/TX1 P5 TX_HOTEL
3V3_STBY

27p IR_IN LDIM_EN D14 DIM0


50V 3V3_VCC 4k7 PM_GPIO15/RX1 P6
S83

R109 RX_HOTEL
R104 R111 3D_EN_PW E13 SPI1_DI/GPIO PM_GPIO22/TX0 AH4 STR_ENABLE
3V3_STBY 3V3_VCC 4k7 USB_EN D12 AJ4 TOUCHPAD_SCL
4k7 SPI1_CK/GPIO PM_GPIO21/RX0
C771 C772 R102 RESET_DEMOD F11 SPI2_DI/GPIO
27p 27p S104 S112 PC_SCL
STR_EN 4k7 3V3_STBY MFC_RESET D11 SPI2_CK/GPIO PM_GPIO16 Y4 SPI_CZ
50V S85 50V S86 nc S106 S303 E12 Y5
VSYNC_LIKE/GPIO PM_GPIO20 PROTECT
NC S84 AMP_RST NC 3V3_STBY
4k7
R81
4k7

Q14 3V3_VCC 1M AD5 N5


4k7 STR_ENABLE LED2 LED0 PM_PWM ILOGO R108
R320 BC848B R825 LED1 AD4
Q6 R103 LED1
R316 10k LED1 D4 G7
R318

R708
220R

R319

220R
R711

GPIO75 TEST
10k

10k

10k Q8 BC848B S2_RESET


ANT_CTRL T6 GPIO20
BC848B 3V3_VCC 4k7
R321
GPIO53/WOL AB5
10k

R849 WOWL_DET
AG28
R317

R105 TS4CLK GPIO61


10k
LED2

AG29 4k7 3V3_STBY


NC 4k7 3V3_STBY TS4SYNC GPIO62
C S105 R106 TS4VLD AJ29 GPIO63
R101 C
Q40 WOWL_EN 4k7 3V3_STBY TS4D0 AJ28 GPIO64
Q39 nc AJ27
BC858B CI_CD2 GPIO58
BC858B
Q15 4k7 CPU_VID0 L6 VID0
WOWL_ENABLE
BC848B M6
R709
220R

R710
220R

R107 CPU_VID1 VID1


C413
100n
16V
LED_SUPPLY 1 EN SHDN 20 3V3_STBY
R38
2 C1+ VCC 19 1k
12V_VCC

C409
C412 TX_G6F 4k7 3V3_VCC VERSION 4k7
R112 R346

100n
16V
3V3_STBY 3 V+ GND 18

R323
4k7

10k
RX_G6F 3V3_VCC 4k7 3V3_STBY
100n 4 17 R113
16V C1- T1OUT RS232_TX R343
R772 CHIP CONFIGURATION U49 R39 R40
3V3_VCC 39k 24V_VCC 5 16 POWER_SENSE
C2+ R1IN RS232_RX 1k 1k

C411
R773

100n
16V
3V3_STBY 3V3_STBY 3V3_STBY 3V3_STBY
15k
ST3222 R426 needs GND shielding
12V_VCC 6 15
D C2- R1OUT 33R RX_HOTEL D

C3V6
R712

D38
C410
R120

R122

R117

R123

R428
4k7

4k7

4k7

4k7

220R 5V_VCC 7 14
R114

V- NC2 33R RX_G6F


4k7

nc nc nc
3 100n R116
8 T2OUT T1IN 13 1 2
3V3_STBY
25V100n

U48 VCC LED1 ILOGO LED2 SPI_DI 16V 4k7


50V 1u
C879

C878

MAX809LTR RST GND


R692

33R TX_HOTEL
10k

9 R2IN T2IN 12
2 1 R427 JK6
R119

R121

R118

R124
4k7

4k7

4k7

4k7

TV_CLOCK

DCM_DATA
10 11 390R TX_G6F

TV_DATA

IR_DATA
TP88 R2OUT NC1
nc R777
4k7 3V3_STBY

VCC

GND
1 2
R438
S109 S107 R115
4k7
TX_HOTEL RS232_TX
AUX_RESET

6
S110
CORE_RESET S108
RX_HOTEL RS232_RX
CDA4C16GTH
D49
8 1
TOUCHPAD_SCL

TOUCHPAD_SDA

TP238
KEYBOARD S101
3V3_VCC 7 2
TP237
3V3_STBY

TP236
DIMMING
3V3_STBY

KEYBOARD

S102 TP235
E 3D_ENABLE 5V_VCC 6 3 E
MEGA_DCR_OUT

5 4 C906

BC848B
S94
3V3_STBY

R80
R78

Q50
4k7 10u
4k7
R79 S95 R98 BC858B 10V R795
C247 4k7 BACKLIGHT_DIM_MFC 1 B1 S 6 3D_EN 4k7 100R IR_IN
1k F112

F143

330R
Q41
1k

U47
R37

2 GND VCC 5
1k

3V3_VCC C823 R794


F113

100n
10V FSA3157 R180 100R 3V3_STBY
F110

100R
R797

100R
R796
3 B0 A 4
1k

BACKLIGHT_DIM 100R R793


220p 8 1
F111

R1 100R 5V_STBY
1k

50V
S96

5 4
D31 S100 S93 7 R2 2
6 3 BACKLIGHT_DIM_MFC
S99 S97 R97 DIMMING
CDA4C16GTH

RS232_TX

5V_STBY

RS232_RX
BACKLIGHT_DIM 4k7 Q13 6 R3 3
BC848B
TP87

7 2
TOUCH_PAD_OPTION

5 R4 4
TP82

TP83

TP84

TP85

TP86

C821
R665

S98
47R

8 1 MEGA_DCR_IN R96 C873


F 1 2

F
S89

4k7 Q12 10u


220p
2

BC848B 16V
R322

50V
10k

C822

VESTEL PROJECT NAME : 120p-r2


1

220p 50V A3
CN19
1

SCH NAME :08_GPIOS_LED_IR T. SHT:17


DRAWN BY :NAMIK GOKCEDAGLI 09-09-2015_14:53
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8

F23 to Tuners & Demods


3V3_VCC 3V3_VCC_RF
60R C641
C2286 3V3_VCC_TUNER1
6V3 10u
NC NC

C762

C763
50V

50V
27p

27p
100u 6V3
3V3_VCC_TUNER1 X2
A 1 4 A
2 3

C842

C201

C844
50V

100n
10V

50V
1n2

1n2
24MHz

MAIN DVB TUNER

560nH
C841

50V

L14
1n2

12n

18

17

16

15

14

13
NC

VDDH_2

GND_3

VDDH_1

XTAL_O

XTAL_I
19 RF_REF XOUT 12
TUNER2_XTAL_IN
C834 R7600R
10
11
12
9

RF1 20 RF_IP LIF_P 11 470R R757 DIGITAL1_IF_P


8 C789 C828
7 S55 39p 21
I2C Address: C0 10
R7590R 820R
NC
CN26 50V GND_0 LIF_N 470R DIGITAL1_IF_N
6 1
47p 82p
C833 U35
22 9
270nH 30076720

SI2151 50V
B 50V 50V RF_IN VDDH_0 3V3_VCC_TUNER1 B
L11
5
4
3
2

1n2
22n

39p NC
23 ADDR GND_2 8
50V

560nH
L13
C232216V S453
180nH

12n
S54

GPIO2

GND_1
C843
L12
24 7

12n

AGC2

AGC1
GPIO1 VDDL

S466

SDA

SCL

C707

C845
100n

6V3

50V
S454

1n2
1u
1

6
C783

3V3_VCC_TUNER1
180p
50V
S53
common FEF_AGC_FREEZE_D1
C8 16V

R746
100R
R745
100R
5V_VCC 1 IN OUT 6

D_IF_AGC1
U20 100n
2 GND ILIM 5 560R
ANT_CTRL TPS2553-1 R645

TUNER_SDA

TUNER_SCL
4k7 3 EN FAULT 4 560R
R63 R644
Active Antenna 560R 3V3_VCC
4k7
R66

R643
C C
3V3_VCC ANT_SCP IF_AGC_D1

3V3_VCC_RF F24 R305 R210 R211


10k 100R 100R D_IF_AGC1
60R

C204

C851

C203
100n
10V

16V

10V
100n
22n
IF_AGC_T_D1
R742
150R

C832

50V
0p5

C555 C830
Close To Concept IC
Close To SI2156
3V3_LNA

R744 RF1
120R 1n 2n2
R743 50V 50V Close To Concept IC Close To SI2156
120R C554 C829 IF_AGC_T_D2
RF2 3V3_VCC_RF F25 R306 R213 R212
R741
150R

C831

1n 2n2 10k 100R 100R D_IF_AGC2


50V
0p5

50V 50V 60R


R740
18R

16V

C26
10n

C205

C852

C206
100n
10V

16V

10V
100n
22n
16V
D 10n
D
1k5 1 OUT TUNER2_XTAL_IN
IN 6
F142 U34 C27 3V3_VCC_TUNER2
2 VCC GND 5
3V3_LNA BGU7045
C196

3V3_VCC_TUNER2
100n
10V

3 NC CTRL 4 4k7 4k7 3V3_LNA

100R
R64 R65
R848
C28

16V
10n

C849

C202

C847
50V

100n
10V

50V
1n2

1n2
560nH

LNA_CTRL
TP53

560nH

SECOND DVB TUNER OPTION


C850

50V

L15
1n2

12n

C737

470p
50V
F73
3V3_VCC_RF 3V3_LNA
220R C628 C565
18

17

16

15

14

13
10u 1u
6V3 10V
NC

VDDH_2

GND_3

VDDH_1

XTAL_O

XTAL_I
E 19 RF_REF XOUT 12 E
C835 R7610R
RF2 20 RF_IP LIF_P 11 470R R758 DIGITAL2_IF_P
TP55

39p 21
I2C Address: C6 10
R7620R 820R
NC
50V GND_0 LIF_N 470R DIGITAL2_IF_N
F71 C836 U36
3V3_VCC_RF 22 9 50V
3V3_VCC_TUNER1
RF_IN SI2151 VDDH_0
1n2
3V3_VCC_TUNER2
220R 39p S57
C630 C563 23 ADDR GND_2 8
10u 1u 50V
L16
12n

GPIO2

GND_1

6V3 10V S467 24 7 C848


AGC2

AGC1

GPIO1 VDDL
TP54

SDA

SCL

C708

C846
6V3

50V
1n2
1u
3V3_VCC_TUNER2

3V3_VCC_RF
F72 220R 3V3_VCC_TUNER2
C629 C564
R747
100R
R748
100R

FEF_AGC_FREEZE_D2
10u 1u
6V3 10V
D_IF_AGC2

F F
TUNER2_SDA

TUNER2_SCL

VESTEL PROJECT NAME : 120p-r2 A3


SCH NAME :09_DVBT_T2_TUNER T. SHT:17
DRAWN BY :ONDER GENC 07-09-2015_15:03
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8

S2_TUN_3V3

S2_TUN_3V3
C2386
50V 50V

C2385
TP300
F181
C2361
27p
1
X6
4
27p
SECOND SAT TUNER OPTION

TUNER2_SDA

TUNER2_SCL
C2357 27MHz
3V3_VCC_RF S2_TUN_3V3 10n 16V 2 3
220R C2381 10n
A 10u 16V
A

14

13

12

11

10
6V3

8
DISEQC_OUT_D2

VDDA4

TEST1

TEST2

TEST3

VDDA3

XTALN

XTALP
S2_TUN_3V3
C993

R839
10k
100n

100R
R837
100R
R836
1N5819
R888 C2360 F175 C1008 10V
NCS428

D58
C22V
3k3 RES VDDA2 10u

D61
15 7 50V
10n 10n 16V 1k 25V 100nF 220n
16V CAP CK_OUT NC F174 D59 C1021 NC
16 6

12

11

10
C2355 2 1
LNB_OUT_2

9
S471 S469
1k
CKDIV_OPT U60 IP IP_D1 C1009 1N5819

1N5819
17 5 2
S472
1

EXTM

VCTRL

SDA

SCL/VADJ
10u

D57
S478 NC
13 8
2
S470
1
18 RFBYPASS M88TS2022 IN 4
2

2
S477
1

1
IM_D1 25V VLNB FAULT I2C: 0xC2
C1007 C1006 C1005 25V C991 S429
10k RESET VDDA1 NC S2_TUN_3V3 10u 10u 10u 2u2 14 VCP EN/ADDR 7
3V3_VCC S2_TUN_3V3_2
R877 L27
19 3
2
NC
1
C2359 25V 25V 25V C1019 100n 10V U55 R833
S474 15 6 130k100k
4n7 20 LNA_IN QN 2 2
S473
1 QM_D1 BOOST TPS65233 ISEL
50V S475 10n 16V C992
B NC 16 5 B

1N5819

1N5819

AGND
2 1
S2_RESET Q55 C2392 0p5 TEST QP QP_D1 PGND TCAP
BC848B

VIN

VCC
21 1 S476

D56

D55

LX
2 1
10k 100n 10V

VDD_DIG

VDD_REG
R876 NC
C1004

VDAA5

VDDA6
7 2 3 22nF
C2356 10u

4
SDA

SCL

AGC
C2390 25V L19
CN31 1 10n 12V_VCC
S427 10u

22

23

24

25

26

27

28
3p3 16V
50V S2_TUN_3V3 S2_TUN_3V3 C1017
6 5 4
F173 1u
C2383

100p
50V S2_AGC_D1 12V_VCC 16V
D62 REG_OUT_AGC_D1 C10141k C1015 C995
BAV99 R884 10u 10u 100n

C2358

10n
33R S2_SCL_D1 16V 16V 16V 16V
50V

R885
SAT Tuner2
1n

LNB_OUT_1 33R S2_SDA_D1

C2395

C2394
18p

18p
1n 1n 1n 1n
C2371

C2372

C2374

C2375

C2373

S2_TUN_3V3_2

S2_TUN_3V3_2
50V 50V
50V 50V 50V 50V
I2C ADDR: C0
C C

C2388
50V 50V

C2387
F182
3V3_VCC_RF S2_TUN_3V3_2 27p X7 27p
AGC_SAT_D1
220R C2382 C2367
1 4
10u C2364 27MHz
REG_OUT_AGC_D1 R878 R874 6V3 2 3
S2_AGC_D1 10n 16V
10k 10k 100R
10n
R879
C2398

C2369
16V

10V
100n
MAIN SAT TUNER 16V
22n

14

13

12

11

10

8
VDDA4

TEST1

TEST2

TEST3

VDDA3

XTALN

XTALP
S2_TUN_3V3_2
Close SAT DEMOD Close SAT DEMOD
Close To TS2022

R889 C2366
3k3 15 RES VDDA2 7
10n 10n 16V
16V 16 CAP CK_OUT 6 NC
D C2362 2
S483
1 D
17 CKDIV_OPT U61 IP 5 2
S482 1 IP_D2
TUNER_SDA

TUNER_SCL

RFBYPASS M88TS2022 IN S481


2 1

IM_D2
2
S479
1
18 4 2
S480 1

10k RESET VDDA1 NC S2_TUN_3V3_2


3V3_VCC 19 3
R881 L28 NC C2365
2 1

LNA_IN QN S484
20 2 2
S485 1 QM_D2
50V 4n7 10n 16V
DISEQC_OUT_D1 S2_RESET Q56 C2393 0p5 TEST QP
2
S486 1

QP_D2

BC848B
21 1 2
S487 1
10k

VDD_DIG

VDD_REG
C990 NC
R838

R880
10k

VDAA5

VDDA6
100n 7 2 3
100R
R835
100R
R834

C2363
1N5819

SDA

SCL

AGC
F172 C1000 10V C2391
NCS426
D53
C22V

10u
D60

50V CN32 10n


1k 25V 100nF 220n 1

22

23

24

25

26

27

28
F171 D54 C1020 3p3 16V
I2C: 0xC2 50V S2_TUN_3V3_2 S2_TUN_3V3_2
12

11

10

LNB_OUT_1
9

6 5 4

C2384
1k S2_AGC_D2

100p
C1001 1N5819
1N5819

50V
EXTM

VCTRL

SDA

SCL/VADJ

10u
D52

NC D63 REG_OUT_AGC_D2
E 25V 13 VLNB FAULT 8 S468 BAV99 E
S2_TUN_3V3 R886

C2368
C999 C998 C997 25V C988

10n
14 7 S455 NC 33R S2_SCL_D2 16V
10u 10u 10u 2u2 VCP EN/ADDR
50V

U54 R887
1n

25V 25V 25V C1018 100n 10V R832


LNB_OUT_2 33R S2_SDA_D2

C2397

C2396
15 6 130k100k
BOOST TPS65233 ISEL

18p

18p
1n 1n 1n 1n
C2376

C2377

C2379

C2380
C989 C2378
NC 16 5 50V 50V
1N5819

1N5819

AGND

PGND TCAP 50V


I2C ADDR: C2
VIN

VCC

50V 50V 50V


D51

D50

LX

100n 10V
C996
10u 22nF
1

25V L20
12V_VCC
S425 10u
C1016 AGC_SAT_D2
F170 1u
12V_VCC 16V
C10121k C1013 C994
10u 10u 100n
16V 16V 16V
F REG_OUT_AGC_D2 R882 R875 F
10k 10k 100R S2_AGC_D2
R883
C2399

C2370
16V

10V
100n
22n

Close SAT DEMOD VESTEL PROJECT NAME : 120p-r2 A3


Close SAT DEMOD
Close To TS2022 SCH NAME :10_SAT_TUNER T. SHT:17
DRAWN BY :ONDER GENC 07-09-2015_17:25
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8

TS_SYNC_D2
TUNER2_SDA

TS_VLD_D2

TS_D0_D2
TUNER_SDA

TS_SYNC_D1

TS_VLD_D1

TS_D0_D1
TP64

VDD33_D2
VDD_D2
VDD33_D1

R215
100R

R647

R648

R649
A A

47R

47R

47R
VDD_D1
R217
100R

R656

R657

R658
47R

47R

47R
NC NC NC NC NC

48

47

46

45

44

43

42

41

40

39

38

37
NC NC NC NC NC

I2CS_SDA

VDD_2

GND_6

VDD33_2

TS_SYNC

TS_VLD

TS_D[0]

TS_D[1]

TS_D[2]

TS_D[3]

TS_D[4]

TS_D[5]
48

47

46

45

44

43

42

41

40

39

38

37
I2CS_SDA

VDD_2

GND_6

VDD33_2

TS_SYNC

TS_VLD

TS_D[0]

TS_D[1]

TS_D[2]

TS_D[3]

TS_D[4]

TS_D[5]
TP63
R214
TUNER2_SCL 100R 1 I2CS_SCL TS_D[6] 36 NC
C764 C227
R216 S64 2 35 NC
1 36 NC GND_0 TS_D[7]
TUNER_SCL 100R I2CS_SCL TS_D[6]
C766 27p 100n 10V

4
3
S70 C235 3 34
50V XTAL_OUT TS_CLK 47R

24MHz
2 35 NC TS_CLK_D2

R730
GND_0 TS_D[7]

X3

1M
R650
27p 100n 10V 4 33
4
3

3 34 XTAL_IN MSPI_CSZ/SSPI_CLK 47R MSPI_CSZ_D2


B 50V XTAL_OUT TS_CLK 47R B
24MHz

TS_CLK_D1 C765

1
2
R731

AVDD33_D2 R651
X4

1M

R659 S65 5 32
AVDD33_0 MSPI_DO/SSPI_DI 47R

MSB1240
4 XTAL_IN MSPI_CSZ/SSPI_CLK 33 47R MSPI_DO_D2
MSPI_CSZ_D1
C767 27p 50V R652
1
2

AVDD33_D1 R660 S63 6 31


S71

U37
5 32 AGC_SAT_D2 IFAGC_S MSPI_DI/SSPI_DO 47R MSPI_DI_D2
AVDD33_0 MSPI_DO/SSPI_DI 47R

MSB1240
MSPI_DO_D1 R653 C216
S60
27p 50V S69 6 31
R661 IF_AGC_T_D2 7 IFAGC_T GND_5 30

U38
AGC_SAT_D1 IFAGC_S MSPI_DI/SSPI_DO 47R MSPI_DI_D1 C853 C251 100n 10V
R662 ***** Close to MSB1240
S66 C232 8 IP_T RESETZ 29 47R RESET_DEMOD ****
IF_AGC_D1 7 IFAGC_T GND_5 30
NC C252
C854 C249 100n 10V 22n 16V 100n 9 28
R654
8 29 16V IM_T MSPI_CLK/SSPI_CSZ 47R MSPI_CLK_D2
IP_T RESETZ 47R RESET_DEMOD
Close to MSB1240 DIGITAL2_IF_P Close to MSB1240
100n R655
22n 16V 100n 9 28
R663
16V
10 GND_1 VDD33_1 27 VDD33_D2
16V IM_T MSPI_CLK/SSPI_CSZ 47R MSPI_CLK_D1 DIGITAL2_IF_N
DIGITAL1_IF_P C250 S299
Close to MSB1240 R664 10V
11 26
10 27 IP_D2 IP_S GND_4
DIGITAL1_IF_N GND_1 VDD33_1 VDD33_D1 C574 100n C220
10p S300 10V
12 25
S262 100n 10V
11 26 IM_S VDD_1 VDD_D2
IP_D1 16V IP_S GND_4 50V 100n C219
100n C231

DISEQC_OUT1
IM_D2
C575

DISEQC_IN1
50V

12 25
10p

NC IM_S VDD_1 VDD_D1

AVDD33_1

I2CM_SCL

I2CM_SDA
100n

GPIO[0]

VDD33_0
C C
DISEQC_OUT1

S261 10V 3V3_VCC_RF

DISEQC_IN1

VDD_0

GND_2

GND_3
IM_D1 F75 220R

QP_S

QM_S
C233 C631 C229 C807 AVDD33_D2
AVDD33_1

I2CM_SCL

I2CM_SDA
GPIO[0]

VDD33_0
10u 10V 50V
VDD_0

GND_2

GND_3
6V3 100n 100p
QP_S

QM_S

13

14

15

16

17

18

19

20

21

22

23

24
10k VDD33_D2
13

14

15

16

17

18

19

20

21

22

23

24
R310
3V3_VCC_RF 3V3_VCC_RF VDD33_D2

R309
F77 220R F76 220R

10k
NC

AVDD33_D2
C632 C236 C812 AVDD33_D1 NC

VDD_D2

VDD33_D2
10u

6V3

S58
10V 50V 10V 10V 10V

C858

C230

C225

C224
R313
I2C ADRESS:F2

22u
6V3
10k
100n 100p 100n 100n 100n
AVDD33_D1

I2C ADRESS:D2

DISEQC_OUT_D2
VDD_D1

VDD33_D1
S72

C218
1V15_VCC_DEMOD
DISEQC_OUT_D1

VDD_D2
C246

C217
F74 220R 10k VDD33_D2

10V
R308
10V

10V

100n
6V3

4k7

FEF_AGC_FREEZE_D2
10V 10V 10V

C857

C223

C222

C221
10k
100n

100n
C245

22u
10k VDD33_D1

R70
VDD33_D1 100n 100n 100n
3V3_VCC_RF F79 220R R307
R311
10V

S301
4k7

FEF_AGC_FREEZE_D1

10k
6V3

S2_SCL_D2

S2_SDA_D2
100n

10V 10V 10V


C859

C239

C240

C241

S297

D D
R73

S59
22u

100n 100n 100n R312

3V3_VCC_RF
C573
S298

S2_SCL_D1

S2_SDA_D1

1V15_VCC_DEMOD VDD_D1
F78 220R C576
3V3_VCC_RF

10p
6V3

10V 10V 10V


50V
C860

C242

C243

C244
22u

QP_D2

QM_D2
100n 100n 100n 10p
50V
QP_D1

QM_D1

R619
TS_CLK_D2 33R TS1CLK
R620
TS_CLK_D1 33R TS4CLK 100n10V R531
TP70 TP65 C234 33R
R74
4k7

R532 U11
33R TP69 1 8
R1
1 8 TP68
MX25L512 1N5819 3V3_VCC_RF
100n10V
R1 TP62 TP58 C215

R67
4k7
MSPI_CSZ_D1 1 CS# VCC 8 U10 2 R2 7 TS1SYNC
TP57 TS_SYNC_D2
2 R2 7 TS4SYNC MSPI_DO_D1 2 SO HOLD# 7 D4 MX25L512
TS_SYNC_D1
E 4k7 3 WP# SCLK 6 MSPI_CLK_D1
TP61
1 8
1N5819 3V3_VCC_RF TS_D0_D2 3 R3 6 TS1D0 E
3 R3 6 4 5 MSPI_CSZ_D2 CS# VCC
TS_VLD_D1 TS4VLD 3V3_VCC_RF R71 R72 GND SI MSPI_DI_D1 2 7
MSPI_DO_D2 SO HOLD# D3 TS_VLD_D2 4 R4 5
4k7 TP66 3 6 TS1VLD
4 R4 5 TP67 4k7 WP# SCLK MSPI_CLK_D2
TS_D0_D1 TS4D0 4 5
TP71 3V3_VCC_RF R68 R69 GND SI MSPI_DI_D2
4k7 TP59
TP56
TP60
DEMOD 2 TS
DEMOD 1 TS

SECOND DEMOD OPTION


MAIN DEMOD
F F

VESTEL PROJECT NAME : 17MB120-R2 A3


SCH NAME :11_T2_S2_DEMOD T. SHT:17
DRAWN BY :ONDER GENC 07-09-2015_17:25
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8

U6
S30
MSD95M0D
S29 3 LINEIN_L0 AF6
VDD_AUDIO

S27
MAIN AUDIO AMP LINEIN_R0
LINEIN_L1
AE6
AF2
AF1
2u2
C704
6V3 C703
SC_AUD_L_IN
Close To IC
LINEIN_R1 2u2 SC_AUD_R_IN
A CLOSE TO PIN24 CLOSE TO PIN13 LINEIN_L2 AG5 C702 6V3
YPBPR_L C561 C560 C559 C558 A
S25 AG4 6V3 2u2
C701
12V_VCC LINEIN_R2 YPBPR_R 1n 1n 1n 1n
VDD_AUDIO_MAIN 6V3
50V 50V 50V 50V
AF3 2u2

C388
LINEOUT_L0 SC_DAC_L
C521 C522 AG1

C510

C517

C387

C509

C518
S26 LINEOUT_R0

100n

100n
24V_VCC 100u 50V 4n7 1n 100u 50V 4n7 1n SC_DAC_R
LINEOUT_L1 AH2 SUB_L
S28 35V 50V 50V 35V 50V 50V AH3
LINEOUT_R1 SUB_R
AF4 S457
HP_AUD_L S456 HP_OUT_L_MSTAR
HP_AUD_R AF5
F52 HP_OUT_R_MSTAR
C2340 C2339
3V3_VCC 3V3_AMP AG3 AUVAG
60R AUVAG 1n 1n
C501 AUVRM AG2 AUVRM 50V 50V
100n
F168 16V C597 D8
I2S_IN_BCK TP159
50V D6
1k I2S_IN_MCK TP160
C738 220n C5
R680 I2S_IN_WS TP161

TP149
15R
G6 R17
470p I2S_OUT_BCK 22R AOBCK
B 50V L4 I2S_OUT_MCK E6 22R
R16
AOMCLK B
F60 F6 R15
A_OUT2A I2S_OUT_WS 22R AOLRCK
E8 R14
1 24 60R 15u 15u I2S_OUT_SD0 22R AOSDATA0
AMP_EN PD VDDLA VDD_AUDIO_MAIN F9

50V 22p

22p

50V 22p

50V 22p
I2S_OUT_SD1

C732

C731

C730

C729
R260 E7
2 23 I2S_OUT_SD2
100R ERROR N.C.2 C746 F7

50V
C739 I2S_OUT_SD3
R681 C598 68n
3 SDATA LA 22 A_OUT1A 15R 50V 50V
AOSDATA0 SPDIF_IN B5 TP162 CLOSE TO MSTAR IC
470p 220n CN12 A5
4 21 SPDIF_OUT SPDIF_OUT
AOLRCK LRCIN GNDL 50V
R262 1
SYS_SDA 100R
R263
5

6
SDA
U8
LB 20

19
A_OUT1B
A_OUT2B
F59
60R
L2

15u
L3
2
TOP LAYER
HEADPHONE OUTPUT
SYS_SCL 100R SCL VDDLB VDD_AUDIO_MAIN F58 TP150 AUVRM 1
AD82587D A_OUT1A 3 TP155
AUVAG

C506
7 18 60R 15u TP152 S449 F127
AMP_RST C502 RESET VDDRB

C804

100n 16V
VDD_AUDIO_MAIN 2

10u 16V
100n 16V R682 C740 4 HP_OUT_L
C596 1k
8 DGND RB 17 A_OUT2B 15R
2
10k 1
6
9 16 470p C747 F126 R861
S450
C 3V3_AMP DVDD GNDR 50V 3 C

220R
220n 68n HP_OUT_R
R137 1k
10 15 A_OUT2A 50V 50V TP158
3V3_AMP 4k7 SA0 RA

2
CLOSE TO MSTAR IC C33 C34 C35 4
C36

R386

R385
R261

10k

10k
11 14 10n 10n TP157

VDD_AUDIO_MAIN
100R MCLK N.C.1 F61 L5 JK3

F80
AOMCLK 16V10n16V10n 16V 16V 5
C671 A_OUT1B

1
12p AOBCK 12 BCLK VDDRA 13 60R 15u TP151
VDD_AUDIO_MAIN R683 C741 7
50V

12V_VCC
15R

5V_VCC
C595
470p R55
50V HP_DETECT 1k 4k7 1 3V3_VCC
R140
220n

C5V6
D34
50V

TP156
S33

1
S257

S258

S421
24V_VCC S34 CLOSE TO PIN24 CLOSE TO PIN13
VDD_AUDIO_SW
C390

2
C523 50V
C511

C389

C512

S32
100n

100n

100u 4n7 1n 50V 4n7 1n


C519

C520

D VDD_AUDIO 35V 50V 50V 50V 50V D

2
S31

R381
10k
S447 16V
100u

1
12V_VCC
S446 F53
POP NOISE 1 2

VDD_AUDIO_MAIN
3V3_VCC 3V3_AMP_SW C682
60R C503
2
10k
1

2
100n C742 R684 R382

R380

R379
10k

10k
F169 16V 15R

3V3_VCC
5V_VCC
1k 470p

1
50V
HEADPHONE AMP
3V3_STBY
F57
SW_A_OUT1A
1 24 60R BC858B
AMP_EN PD VDDLA VDD_AUDIO_SW Q42 C2352 C2351
R267 L7 TP153 1 R858 R859
2 1 1 2

2
100R 2 ERROR N.C.2 23 10k 10k
C467

R139

R138

R590

100k
4k7

4k7

15k

R29
F56 22u 220n HP_OUT_R_MSTAR 1u 1u HP_OUT_L_MSTAR

1
C599

1
3 22 6V3 6V3

R862
SDATA LA SW_A_OUT1B 220n 10V

33k
AOSDATA0 SW_A_OUT1A

R863
33k
60R 50V

1
1 -INR 10
R141
4k7

4 21 AMP_EN -INL
E AOLRCK LRCIN GNDL C743 E

2
R685 NC

2
R265 2 OUTR
15R 3 3
HP_OUT_R OUTL 9 HP_OUT_L

2
100R 5 SDA LB 20 R577
SYS_SDA SW_A_OUT1B R378 C505

R588
U59

3k9
R264 U9 470p AMP_MUTE 1
10k
2 2

100n
2
33k 3 EN
6 19 50V CN13 HP_AMP_EN GND 8

R852

R851
100R SCL VDDLB 16V

4k7

4k7
SYS_SCL VDD_AUDIO_SW Q25 Q24 AD22657B

2
1 1

1
AD82587D C601 1 C969 4 PVSS PVDD 7
C504
100n

100k
BC848B BC848B

R30
AMP_RST 7 RESET VDDRB 18 220n 220n
C744 R686 C2354
16V 50V 2 10V 5 CN
8 17 15R 1u CP 6

1
DGND RB SW_A_OUT2B 6V3
470p F179 1k
R853
9 16 50V F55 HP_AMP_EN 3V3_VCC
3V3_AMP_SW DVDD GNDR 4k7 3V3_VCC

C2336
C2338

R864
SW_A_OUT2A

33k

100n
10 15 60R 10u

C2353

6V3
SA0 RA SW_A_OUT2A C600

1u
10V
R266 220n 3 3 50V
100R 11 MCLK N.C.1 14 F54 L6 50V
AOMCLK R860 C2335
2 2

C672 SW_A_OUT2B HP_AMP_MUTE 1


10k
2
100n
12p AOBCK 12 BCLK VDDRA 13 60R 22u TP154 1
16V Q54
VDD_AUDIO_SW Q53 1 1
50V BC848B
C745 BC848B
R687 3V3_STBY 4k7
F 15R
R854 F
470p

SUBWOOFER AUDIO AMP 50V

VESTEL PROJECT NAME : 120p-r2 A3


SCH NAME :12_AUDIO T. SHT:17
DRAWN BY :AKIN ZOHRE & ONDER GENC 07-09-2015_17:31
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8

C819
2 1

TP50
SCARTR284
AUDIO FILTERS 220p
50V
S47 S48 2
SCART_AUD_L_IN 10k SC_AUD_L_IN SPDIF_OUT_COAXIAL
A S49 A
SCART_AUD_R_IN 10k
R285
SC_AUD_R_IN
TP51
3
1
JK5
SUB WOOFER PREAMP
SPDIF OUT INTERFACE

R724

R723
12k

12k
C793 C792 C791 C790 C787 R642
330p 330p 560p 560p SUB_R_OUT 560R SUBW_OUT
50V 50V 50V 50V 1 VIN 47p 50V R641
TP49 SUB_L_OUT 560R

FB1
2 VCC 47p 50V
5V_SPDIF C786
C788 4u7

R715

R716
27k

27k
3 GND 10V

C5V6
10V
100n

C98

D29
TP48

F140

S50
5V_VCC 5V_SPDIF
330R C532 C656 R287 R288 C655

5V_SPDIF
2 1 1 2
10u SUB_R 10k 10k SUB_L
10V 1u 1u

1
SHORT CCT PROTECTION 6V3 6V3

R555

R554
B B

33k

33k
1 -INR 10
-INL

2
R556
2 1
12V_VCC 2 OUTR OUTL 9
33k SUB_R_OUT SUB_L_OUT

2
U30

4k7
R57
10k 3 EN GND 8
BAW56 1 2 AMP_EN
D16

4k7
R59
R295 AD22657B C657
SPDIF_OUT 4 PVSS
C100 PVDD 7

1
R200 1u

R60
4k7
2
100R
1 Q1 R36 C659 6V3
10k 3V3_WOWL 2 1
BC848B 5 CN CP 6
1 2 2 1
1k 1u

C820
R304 100n

2
220p
50V
10V 6V3

4k7
R58
C99

3V3_PVDD
R557 2 1 2 1

VDD_AUDIO S51

1
2 1
33k SPDIF_OUT_COAXIAL

C658

6V3
100n

1u
R286 10V
10k Q2
BAW56

1 2 10k
D17

R300 BC848B
10k 24V_VCC AMP_MUTE
1 2

R302 F88
C 1
10k 2 3V3_VCC 3V3_PVDD C
R301 1k C535
10u
1
10k 2
3V3_VCC 10V
R303
BAW56
D18

1
10k 2
5V_VCC
R297
3V3_STBY 1
10k 2

R298

12V_VCC
R299
2 1
10k
PROTECT

BAW56
D19
TP52

BC858B R294
Q38R291 1 2
3V3_VCC

R62
10k

1N4148
D STBY_ON/OFF_NOT D
1 2
R494
SCART AUDIO AMP

D11

4k7
10k
47k 150k
C101 2
R207
100n R292 100R Q5 10V
1
1 2
10V 10k Q4 BC848B C533 R552
C638 2 1
Q3 BC848B

R61
6V3 SC_AUD_R_OUT 33k R553
BC848B 10u 1 2
10V
BAW56

4k7
100u 33k
D15

47k C670 C534


2

Q37 12p SC_AUD_L_OUT


R293

PROTECT
10k

BC858B 47k 50V 10u


R493 C652 R866 C669
SC_DAC_R 1 -INR 10
1

100R 1V_MFC_CORE 68k -INL 12p


R205 1u 50V
2 OUTR OUTL 9 R733 C653

2
R290
1
47k
PROTECTION CIRCUIT 6V3
C817
150p 3 EN
U31
GND 8
68k SC_DAC_L
10k R496 AMP_EN C818 1u
R736 R735 50V AD22657B 150p 6V3
100R 1V8_VCC 4 PVSS
3V3_VCC 6k8 3V3_VCC 6k8 PVDD 7 50V
R204
C650
E 2
10k 1 1u 5 CN CP 6 C654 E
BAW56

R289 6V3 1u
D14

6V3

C651

6V3
47k

1u

3V3_PVDD
R492
100R 1V15_VCC_DEMOD
R202
R209 R201
1V_G6F_CORE 100R 100R 1V5_VCC
R498 R490
47k 47k
BAW56

BAW56
D20

D13

47k 47k
F R497 R491 F
1V_G6F_CPU 100R 100R 1V5_DDR_STR
R208 R203

VESTEL PROJECT NAME : 17MB120-R2 A3


SCH NAME :13_PRE_AUDIO T. SHT:17
DRAWN BY :AKIN ZOHRE & ONDER GENC 08-09-2015_10:08
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8
OPT_2
AM6 M1 16VC971 ALL CLOSE TO CONN. VBY_0N 50HZ VBY1/LVDS
RA0N VBY_0N
AL6 M3 100n 16VC986 VBY_0P
RA0P VBY_0P
AK6 L2 16VC985 100n
AL7
RA1N VBY_1N
L3 100n 16VC984
VBY_1N
VBY_1P VBYONE/ LVDS 30070519

VBY_HTPDN/LVA3P
VBY_LOCKN/LVA3N
OUTPUT SELECTION RA1P VBY_1P

F139

F141
AK7 K3 16VC983 100n

S136
S135
VBY_2N

VBY_5N/LVBCKN
VBY_5P/LVBCKP
RA2N VBY_2N

VBY_0N/LVA0N
VBY_0P/LVA0P

VBY_1N/LVA1N
VBY_1P/LVA1P

VBY_2N/LVB0N
VBY_2P/LVB0P

VBY_3N/LVB1N
VBY_3P/LVB1P

VBY_4N/LVB2N
VBY_4P/LVB2P

VBY_6N/LVB3N
VBY_6P/LVB3P

VBY_7N/LVB4N
VBY_7P/LVB4P
AL8 J2 100n 16VC972 VBY_2P
VBY1_100HZ :OPT_1 & OPT_2 RA2P VBY_2P VBY_0N/LVA0N TP258
AM8 J3 16VC982 100n VBY_3N VBY_0P/LVA0P
RACKN VBY_3N TP259

60R

60R
VBY1_50HZ : OPT_3 & OPT_4 100n 16VC981
AK8 H1 VBY_3P VBY_1N/LVA1N
A LVDS_50HZ : OPT_3 & OPT_4 & OPT_5 RACKP VBY_3P TP260 A

OP_PIN15
OP_PIN16
OP_PIN17
OP_PIN18
OP_PIN19
OP_PIN20
OP_PIN21
OP_PIN22
OP_PIN23
OP_PIN24
AM9 H3 16VC980 100n PANEL_VCC

F144

F145

F146
F138
RA3N VBY_4N VBY_4N VBY_1P/LVA1P TP261

F33
F30
AL9 G2 100n 16VC979 VBY_4P VBY_2N/LVB0N
RA3P VBY_4P TP262
AK9 F2 16VC978 100n

S336
RA4N VBY_5N VBY_5N VBY_2P/LVB0P TP263

S337
S335
S338
S340
S339
AL10 F1 100n 16VC977 VBY_5P VBY_3N/LVB1N
RA4P VBY_5P TP264
E1 16VC976 100n

S324
VBY_6N VBY_6N VBY_3P/LVB1P TP265

60R

60R

60R
60R
60R
60R
AK10 E2 100n 16VC975 VBY_6P
RB0N VBY_6P VBY_4N/LVB2N TP266
AL11 D2 16VC974 100n VBY_7N
RB0P VBY_7N VBY_4P/LVB2P TP267
AM11 D3 100n 16VC973 VBY_7P VBY_5N/LVBCKN
RB1N VBY_7P TP268

CN21
AK11 100n VBY_5P/LVBCKP
RB1P TP269

51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
AM12 RB2N NC_14 K5 TP270
VBY_6N/LVB3N
AL12 RB2P NC_15 K4 TP271
VBY_6P/LVB3P OP_PIN6
AK12 RBCKN NC_16 L5 TP272
VBY_7N/LVB4N OP_PIN7 OP_PIN51
AL13 RBCKP NC_17 L6 TP273
VBY_7P/LVB4P TX_LVA4N
AK13 RB3N NC_18 J6 VBY_HTPDN/LVA3P TP274
AL14 H6 TX_LVA4P
RB3P NC_19 VBY_LOCKN/LVA3N TP275
AM14 J5 TX_LVA2N
OPT_1 RB4N NC_20 TX_LVA2P
AK14 RB4P NC_21 J4
ALL CLOSE TO G6F OUTPUT G5 OP_PIN12
NC_22
S393 16VC956 AM15 G4 TX_LVACKN
B G6F_VBY0N S394 16VC968 100n AL15
VBY0N 6 NC_23
H5 TX_LVACKP B
G6F_VBY0P VBY0P NC_24
G6F_VBY1N
S396 100n 16VC955 AL16 VBY1N U14 NC_25 G6
OPT_2
S397 16VC967 100n AK16 ALL CLOSE TO CONN.
G6F_VBY1P S398 100n 16VC954 AM17
VBY1P MST7410FE B4 16VC942 VBY_8N
G6F_VBY2N/LVB0N
G6F_VBY2P/LVB0P
G6F_VBY3N/LVB1N
S399
S400
16VC966
100n
100n
16VC953
AK17
AL18
VBY2N
VBY2P
VBY3N
VBY_8N
VBY_8P
VBY_9N
A4
A5
100n 16VC941
16VC940 100n
VBY_8P
VBY_9N
OPT_3
VBY1/LVDS VBYONE/ LVDS OPTIONS
S401 16VC965 100n AK18 B5 100n 16VC939 VBY_9P
G6F_VBY3P/LVB1P VBY3P VBY_9P S361 S366 TP191
100n B6 16VC938 100n VBY_10N 3D_EN OP_PIN6 BACKLIGHT_ON/OFF OP_PIN24
VBY_10N CLOSE TO G6F OUT Ferrite for LVDS / 100n for VByOne
S402 16VC952 AK19 C6 100n 16VC928 VBY_10P
G6F_VBY4N/LVB2N VBY4N VBY_10P S158 16VC430 VBY_0N/LVA0N S359 R779
S403 16VC964 100n AL20 A7 16VC927 100n VBY_11N G6F_VBY0N PANEL_VCC OP_PIN24
G6F_VBY4P/LVB2P VBY4P VBY_11N 100n 33k
S404 100n 16VC951 AK20 C7 100n 16VC926 VBY_11P S159 S160
G6F_VBY5N/LVBCKN VBY5N VBY_11P G6F_OSD0N/LVA0N S362 R472
S405 16VC963 100n AM21 B8 16VC925 100n VBY_12N 3D_EN
G6F_VBY5P/LVBCKP VBY5P VBY_12N S161 16VC431 VBY_0P/LVA0P 10k
S406 100n 16VC950 AK21 C8 100n 16VC924 VBY_12P G6F_VBY0P
G6F_VBY6N/LVB3N VBY6N VBY_12P 100n R788 R550
S407 16VC962 100n AL22 C9 16VC923 100n VBY_13N S162 S163 PANEL_VCC OP_PIN7 3V3_VCC
G6F_VBY6P/LVB3P VBY6P VBY_13N G6F_OSD0P/LVA0P 33k 10k
S408 100n 16VC949 AL23 B10 100n 16VC922 VBY_13P
G6F_VBY7N/LVB4N VBY7N VBY_13P S157 16VC432 VBY_1N/LVA1N R473
S409 16VC961 100n AM23 C10 16VC921 100n VBY_14N G6F_VBY1N
G6F_VBY7P/LVB4P VBY7P VBY_14N 100n 10k R765
100n A11 100n 16VC920 VBY_14P S164 S165 PANEL_VCC OP_PIN23
VBY_14P G6F_OSD1N/LVA1N R787 33k
S410 16VC948 AM24 C11 16VC919 100n VBY_15N PANEL_VCC OP_PIN12
G6F_OSD0N/LVA0N VBY8N VBY_15N S166 16VC433 VBY_1P/LVA1P 33k R468
S411 16VC960 100n AL24 B12 100n 16VC918 VBY_15P G6F_VBY1P
C G6F_OSD0P/LVA0P S412 100n 16VC947 AL25
VBY8P VBY_15P
100n S167 S168
100n R474 10k C
G6F_OSD1N/LVA1N VBY9N G6F_OSD1P/LVA1P 10k S365
S413 16VC959 100n AK25 B13 3D_SYNC
G6F_OSD1P/LVA1P VBY9P NC_26 CLOSE TO CONN. TP194
S414 100n 16VC946 AM26 A13 S370 S360
G6F_OSD2N/LVA2N VBY10N NC_27 3D_SYNC 3D_SYNC_I 3D_SYNC_I
S415 16VC958 100n AK26 A14 S352 S349
G6F_OSD2P/LVA2P VBY10P NC_28 3D_SYNC 3D_SYNC_I S348
S416 100n 16VC945 AL27 B14
G6F_OSD3N/LVACKN VBY11N NC_29 3D_SYNC_O
S417 16VC957 100n AK27 B15 S353
G6F_OSD3P/LVACKP VBY11P NC_30 3D_EN R442
100n C15 PAN_SCL
NC_31 100R
ALL CLOSE TO MFC INPUT AK28 A16 OPT_4 R624
NC_6 NC_32 PANEL_VCC S356
AL29 C16 CLOSE TO G6F OUT 33k 3D_EN
NC_7 NC_33
AK29 D12 S5 16VC917 CLOSE TO CONN. VBY_2N/LVB0N R459
NC_8 NC_34 G6F_VBY2N/LVB0N OP_PIN19 R666
AM30 E12 S147 100n 16VC916 VBY_2P/LVB0P 10k PANEL_VCC OP_PIN17
NC_9 NC_35 G6F_VBY2P/LVB0P 33k
AL31 F11 S148 16VC915 100n VBY_3N/LVB1N S354
NC_10 NC_36 G6F_VBY3N/LVB1N R460
AK30 F12 S149 100n 16VC914 VBY_3P/LVB1P PAN_SCL
NC_11 NC_37 G6F_VBY3P/LVB1P 10k
AK31 F13 S150 16VC913 100n VBY_4N/LVB2N R625
NC_12 NC_38 G6F_VBY4N/LVB2N
AK32 E14 S151 100n 16VC911 VBY_4P/LVB2P 3D_SYNC_O 33k TP190
NC_13 NC_39 G6F_VBY4P/LVB2P S351
ALL CLOSE TO G6F OUTPUT D13 S152 16VC910 100n VBY_5N/LVBCKN OP_PIN18
NC_40 G6F_VBY5N/LVBCKN PAN_SDA S355 PAN_SDA
S395 AH29 E13 S153 100n 16VC908 VBY_5P/LVBCKP
G6F_HTPDN/LVA3P GPIO13/VBY_RX_HTPD_V NC_41 G6F_VBY5P/LVBCKP S368 R457
S418 AG29 D15 S154 16VC881 100n VBY_6N/LVB3N
G6F_LOCKN/LVA3N GPIO16/VBY_RX_LOCK_V NC_42 G6F_VBY6N/LVB3N MEGA_DCR_IN PANEL_VCC 10k
E15 S155 100n 16VC880 VBY_6P/LVB3P
D S419 AH28
NC_43
F15
G6F_VBY6P/LVB3P S156 16VC795 100n VBY_7N/LVB4N PANEL_VCC
R786
OP_PIN51
R458 D
G6F_O_HTPDN/LVA4P GPIO12/VBY_RX_HTPD_O NC_44 G6F_VBY7N/LVB4N 33k 10k
S420 AG28 F14 S146 100n 16VC794 VBY_7P/LVB4P
G6F_O_LOCKN/LVA4N GPIO15/VBY_RX_LOCK_O NC_45 G6F_VBY7P/LVB4P R551
100n
10k S358
3D_SYNC_I
S367 R756
MEGA_DCR_OUT
OP_PIN29
3D_SYNC_I

PANEL_VCC 33k OP_PIN15


OP_PIN39
OP_PIN40
PAN_SDA
3D_SYNC

3D_SYNC S357 R466


VBYONE 30070520 PANEL_VCC
R755
33k OP_PIN16
10k TP192

OPT_5 R465 R467


VBY_10N
VBY_10P

VBY_11N
VBY_11P

VBY_12N
VBY_12P

VBY_13N
VBY_13P

VBY_14N
VBY_14P

VBY_15N
VBY_15P
VBY_8N
VBY_8P

VBY_9N
VBY_9P

ALL CLOSE TO G6F OUT 10k TP193 10k


F166 ALL CLOSE TO CONN.
S4 TX_LVACKP R439
G6F_OSD3P/LVACKP F167
100R
R443

S139 1k PAN_SDA 100R


F165 TX_LVACKN
S376
S377
S392

G6F_OSD3N/LVACKN S140 R440 R763


F164 1k VBY_LOCKN/LVA3N
S391

S390

G6F_LOCKN/LVA3N S141 LDIM_EN 100R PANEL_VCC 33k OP_PIN20


G6F_HTPDN/LVA3P F163 1k VBY_HTPDN/LVA3P
S142 1k TX_LVA4N R776 3D_SYNC S350
G6F_O_LOCKN/LVA4N F162 PANEL_VCC OP_PIN22
S143 1k TX_LVA4P 33k
G6F_O_HTPDN/LVA4P R470
F157 1k
S363
E S144 TX_LVA2N 10k 3D_EN E
CN29

G6F_OSD2N/LVA2N F156
S145 1k
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10

TX_LVA2P S364 R778


9
8
7
6
5
4
3
2
1

G6F_OSD2P/LVA2P 3D_SYNC_I
1k PANEL_VCC 33k OP_PIN21
S383 PANEL_VCC R471
PANEL_VCC S386
S382 S385 S342 10k
3D_EN
S384 R789
R623 PANEL_VCC OP_PIN40
PANEL_VCC OP_PIN29 33k
33k
R559
VBY_HTPDN TP279 R450
F150

F151

10k
S138
S137

VBY_LOCKN TP281 10k


R441
VBY_0N TP282 PAN_SCL 100R
VBY_HTPDN
VBY_LOCKN

VBY_0P TP283
60R

60R

VBY_1N TP284 S369


VBY_0N

3D_EN
OP_PIN15
OP_PIN16
OP_PIN17
OP_PIN18
OP_PIN19
OP_PIN20
OP_PIN21
OP_PIN22
OP_PIN23
OP_PIN24

VBY_0P

VBY_1N
VBY_1P

VBY_2N
VBY_2P

VBY_3N
VBY_3P

VBY_4N
VBY_4P

VBY_5N
VBY_5P

VBY_6N
VBY_6P

VBY_7N
VBY_7P

PANEL_VCC
F152

F153

F154
F149
F148
F147

VBY_1P TP285
VBY_2N TP286
S380

VBY_2P TP287 R790


S381
S379
S387
S389
S388

VBY_3N TP288 OP_PIN39 33k PANEL_VCC


S378

VBY_3P TP289 R618


60R

60R

60R
60R
60R
60R

VBY_4N TP290 10k


F VBY_4P TP291 S371
F
VBY_5N TP292 PAN_SDA
CN27

VBY_5P TP293
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

VBY_6N TP294
OP_PIN6
OP_PIN7 OP_PIN51
VBY_6P
VBY_7N
TP295
TP296 VESTEL PROJECT NAME : 17MB120-R2 A3
OP_PIN12 VBYONE 30070519 VBY_7P TP280
SCH NAME :14_MFC_VBY1_LVDS T. SHT:17
DRAWN BY :ATAKAN SARIKOSE 05-09-2015_12:34
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8
R143 C368

TP248
TP249
MFC_VDDP 4k7 C816
MFC_RESET R25

R152

R151

R149

R150
100n

4k7

4k7

4k7

4k7
C733 TP174 VSYNC_BS
100p 50V 22R 10V
10k MFC_VDDP CN6
22p X5 R19
R406 BACKLIGHT_DIM_MFC
50V 22R 1

R732
4 1 AE19 AH22

1M
RESET I2C_HSC_SDA/VSYNC_LIKE2/GPIO30 R20
3 2 I2C_HSC_SCL/VSYNC_LIKE3/GPIO31 AG22 22R 3D_SYNC 2
S263
A C734 24MHz
AM4 XTAL_O R18 A

C363

100n
10V
AM3 XTAL_I SPI1_CK/PWM2/GPIO58 AG32 22R SPI_SCL_BS 3
CLOSE TO MFC AF32 C364
22p S264 SPI1_DI/PWM3/GPIO59 R21
MFC_SDA AH20 AH31 100n 10V SPI_SDI_BS
50V I2C_S_SDA SPI2_CK/PWM0/GPIO56 22R 4
MFC_SCL AG20 I2C_S_SCL SPI2_DI/PWM1/GPIO57 AG31 C365 C362 PANEL_VCC
SPI3_CK/DIM10/GPIO54 AH30 TP246 100n 10V 5
AH21 AG30 SPI_XCS_BS
MFC_MSDA I2C_M_SDA/GPIO28 SPI3_DI/DIM11/GPIO55 TP247 R22 R24 100n C360
AG21 AJ31 C366 HSYNC_BS
MFC_MSCL I2C_M_SCL/GPIO29/VSYNC_LIKE1 SPI4_CK/DIM8/GPIO52 22R R23 22R 10V 6 100n C359
AJ32 100n 10V SPI_SDO_BS 10V 100n
R56 SPI4_DI/DIM9/GPIO53 22R MFC_VDDP 10V
E11 C367 10k
MFC_SPI_WP 1k GPIO0/UART2_TX 7
F10 AF30 100n 10V R405 3D_SYNC_O
TP250 GPIO1/UART2_RX VSYNC_LIKE/PWM5/GPIO40 33R
R444 8
TP251 E10 GPIO2/UART1_TX DIM0/GPIO32 AH24

R148
4k7
TP252 D10 IRE/UART1_RX DIM1/GPIO33 AH25 9
AH26

1
DIM2/GPIO34
MFC_SPI_SCZ_1 AE30 SPI_CZ DIM3/GPIO35 AH27 R145 R397 10

TP176
AD30 AG24
2
10k 1
MFC_VDDP
MFC_SPI_SCK_1 SPI_CK DIM4/GPIO36 R144 4k7
MFC_SPI_SDI_1 AE31 SPI_DI DIM5/GPIO37 AG25 4k7 R146 R398
2 1

MFC_SPI_SDO_1 AF31 AG26 10k


SPI_DO DIM6/GPIO38 R147 4k7 NC
B DIM7/GPIO39 AG27 4k7 R400 B
AD31 INT_R21/HDMI_RX_DET_0 2
10k 1
MFC_VDDP
AD32 INT_R20/3D_FLAG_IN GPIO43 E4
MFC_SPI_SCK R4 5 MFC_SPI_SCK_1 R399
4 GPIO44 D5 2
10k 1

MFC_SPI_SDI R3 6 MFC_SPI_SDI_1 AJ13 E6 NC


3 SAR0 GPIO45
MFC_SPI_SCZ R2 7 MFC_SPI_SCZ_1 AH13 5 E5 R402
2 SAR1 GPIO46
MFC_SPI_SDO R1 8 MFC_SPI_SDO_1 AG13 F5
2
10k 1
MFC_VDDP
1
10R AG14
SAR2 U14 GPIO47
F4 R401
R737 SAR3 GPIO48
AH14 SAR4 MST7410FE GPIO49 D6
2
10k
NC
1

CN7
AJ14 D4 R456
SAR5 GPIO50 R404 VSYNC_BS
10k 3V3_VCC 1
R269 2
10k NC 1
MFC_VDDP
AH5 IR_IN/GPIO62 VX1_TX_HTPD Y6 R268 100R VBY_HTPDN R403
VX1_TX_LOCK AA6 100R 2
10k 1 R387 HSYNC_BS 2
VBY_LOCKN 2
10k 1
U6 SPDIF_OUT/GPIO63 HDMI_RX1_SCL AB5
V6 AB4 10k 3V3_VCC MFC_VDDP R388 3
I2S_OUT_SD/GPIO64 HDMI_RX1_SDA 2 1
Y5 AA4 R455 CHIP CONFIG 10k
I2S_OUT_MCK/GPIO65 HDMI_RX1_HPD
W6 AA5 SPI_SCL_BS 4
I2S_OUT_BCK/GPIO66 GPIO23
TP253 W5 I2S_OUT_WS/GPIO67 HDMI_T_CEC AG4 MFC_HDMI_T_CEC
AG5 SPI_SDI_BS 5
HDMI_T_HPD
C B2 DEMURA_SCL/GPIO70 C
B3 AJ21 SPI_SDO_BS 6
DEMURA_SDI/GPIO71 GPIO4
C3 DEMURA_SDO/GPIO72 GPIO5 AJ23 R621
TP179

C1 AJ24 SPI_XCS_BS 7
DEMURA_CS/GPIO73 GPIO6 33R MFC_VID0
GPIO7 AJ26
AK4 AJ27 8
NC_0 GPIO8
GPIO9 AJ28 TP245 R392
MFC_CHIP_VDET F6 CHIP_VDET GPIO10/PWM_DIM_IN0 AH23 TP244 MFC_VDDP 2 1
10k
GPIO11/PWM_DIM_IN1 AG23 TP243 R391
TP178 N14 TEST_19 GPIO14 AF20 TP242 2 1
10k
TP177 P21 TEST_80 GPIO17 AE20 TP241
HDMI_RX_DET_2/GPIO68 AB6 TP240 LOCAL DIM /BACKLIGHT SCANNING

NC_1 N2
NC_2 P1
NC_3 P2

NC_4 A31
NC_5 B32
D D
MFC_VDDP
4

22p50V
C736

R529
TP195

4k7
MFC_VDDP
3
TP196
R527

R528

S422 R271
4k7

4k7

MFC_SCL 2 MFC_SDA SYS_SDA


100R
S423 R270
S259 MFC_SDA 1 MFC_SCL SYS_SCL
100R
TP163 MFC_MSCL

TP175
1

CN28

22p50V
S260
MFC I2C DEBUG CONN.

C735

R530
TP164
PANEL SUPPLY SWITCH

4k7
1
MFC_MSDA

1
MFC_VDDP 12V_VCC PANEL_VCC
Q44
PANEL I2C BUFFER

2
1 FDS4685 8

C710

R578
E E

220n
25V
33k
2 7

1
3V3_VCC

3V3_VCC

1
3 6
R670
C507 C508 1 2 4 5
100n 100n 47R
16V R587 16V R583

1
MFC SERIAL FLASH (4MB)

R579
33k PANEL_VCC 33k PANEL_VCC

33k
R393

R395
R580 R585

10k

10k
D9 5V_VCC 5V_VCC
33k R586 33k R584

2
3V3_VCC 33k 33k

2
1N5819 TP165 R581 R582 3
1
NC R396
3V3_VCC 33k 3V3_VCC 33k 1
S265 2 2 1 2
Q28
C361 10k
TP168 100n
BC848B
3
1
MFC_SPI_SCZ
BSN20
Q49

BSN20
Q48

10V R394
R390

R142

U12
10k

4k7

PANEL_VCC_ON/OFF
2
10k
1 2
Q29 C562
MX25L512 S266 S267 BC848B
MFC_SPI_SDO SYS_SCL SYS_SDA 1n
TP166 1 CS# VCC 8 S269 S270 S268 S271 1
50V
F 2 7 PAN_SCL TOUCHPAD_SCL PAN_SDA TOUCHPAD_SDA F
SO HOLD# TP171
3 WP# SCLK 6 MFC_SPI_SCK
4 5 S272 S273
GND SI MFC_SPI_SDI MFC_MSCL MFC_MSDA
TP170
R389
10k
MFC_SPI_WP

TP169
VESTEL PROJECT NAME : 17MB120-R2 A3
T. SHT:17
TP167

SCH NAME :15_MFC_IO_INTERFACES


DRAWN BY :ATAKAN SARIKOSE 05-09-2015_12:33
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8
TP229
TP228
1V_MFC_CORE Y24 H12
1V_MFC_CORE VDDC_0 AVDD_15_MOD_0 MFC_AVDD_DDR
C2315 C2314 C2313 C2312 C2311 C636 C603 C604 C647 C37 C38 C605 C39 C606 C648 C40 C2405 Y25 H13
VDDC_1 AVDD_15_MOD_1
22u 22u 22u 22u 22u 22u 6V3 6V3 1u 10V 10V 6V3 10V 6V3 1u 10V 100n Y26 J12
6V3 6V3 6V3 6V3 6V3 6V3 6V3 6V3 50V VDDC_2 AVDD_15_MOD_2
10u 10u 100n 100n 10u 100n 10u 100n Y27 J13
VDDC_3 AVDD_15_MOD_3
Y28 VDDC_4
Y29 VDDC_5 AVDD_MOD_0 AF11
MFC_AVDD_MOD
Y30 VDDC_6 AVDD_MOD_1 AG11
Bottom Side
A Y31 VDDC_7 AVDD_MOD_2 AH11 A
F1 Y32 VDDC_8
1V_MFC_CORE MFC_AVDDL_MOD AA24 VDDC_9 VDDP_0 AF18
MFC_VDDP
60R AA25 AG18
6V3 C635 C602 C2418 C2419 C42 C43 C2402 VDDC_10 VDDP_1
220u 22u 6V3 10V 10V 10V 10V 100n AA26 VDDC_11 VDDP_2 AH18
AA27 100n
C2423 6V3 10u 100n 100n 100n 100n 50V VDDC_12 10V
AA28 AG3 C78
Bottom Side VDDC_13 AVDD_HDMI_TX_0
AA29 VDDC_14 AVDD_HDMI_TX_1 AF10
100n
MFC_AVDD_HDMI_TX
AA30 VDDC_15 AVDD_HDMI_TX_2 AG10 10V
GS13 AA31 W4 C79
VDDC_16 AVDD_HDMI_RX_0
AA32 VDDC_17 AVDD_HDMI_RX_1 AF9
MFC_AVDD_DVI
F2 AB24 VDDC_18 AVDD_HDMI_RX_2 AG9
GS14 AB25
MFC_AVDDL_DRV VDDC_19
60R C45 C46 C2417 AB26 VDDC_20 AVDD_XTAL AF16
C2400 MFC_AVDD_PLL
10V 10V 10V 100n AB27 VDDC_21 AVDD_PLL_0 AG16
100n 100n 100n 50V AB28 VDDC_22 AVDD_PLL_1 AH16
AB29 VDDC_23 1
AB30 VDDC_24 AVDD_RX_0 AF17
Bottom Side MFC_AVDD_ALL_RX
GS16 AB31 VDDC_25 U14 AVDD_RX_1 AG17
B AB32 VDDC_26 MST7410FE AVDD_RX_2 AH17
MFC_AVDD_DDR B
GS25 C77
10V V3 A20 470n C643 100n C58
AVDDL_HDMI_RX_0 AVDD_DDR_VBP_A_0
F3 MFC_AVDDL_DVI
100n R6 B20 6V3
C2426 10V
MFC_DVDD_DDR AVDDL_HDMI_RX_1 AVDD_DDR_VBP_A_1
R7 AVDDL_HDMI_RX_2 AVDD_DDR_VBP_A_2 R14
60R C607 C48 C49 C649 C50 C2404 R8 AVDDL_HDMI_RX_3 AVDD_DDR_VBP_A_3 R15 NC
6V3 10V 10V 1u 10V 100n AF3 A18 220n 470n C644
6V3 50V AVDDL_HDMI_TX_0 AVDD_DDR_VBN_A_0 25V
10u 100n 100n 100n AD5 B18 6V3 C2427
AVDDL_HDMI_TX_1 AVDD_DDR_VBN_A_1
Bottom Side AD6 AVDDL_HDMI_TX_2 AVDD_DDR_VBN_A_2 P14
MFC_AVDDL_HDMI_TX AD7 AVDDL_HDMI_TX_3 AVDD_DDR_VBN_A_3 P15 NC
C76 220n
10V 25V
470n C646 100n C59 MFC_AVDD_DDR
MFC_AVDDL_RX AE6 AVDDL_RX_0 AVDD_DDR_VBP_B_0 T31
F4 100n AE7 T32 6V3 10V
MFC_AVDDL_RX AVDDL_RX_1 AVDD_DDR_VBP_B_1 C2428
AF7 AVDDL_RX_2 AVDD_DDR_VBP_B_2 V19
60R C608 C52 C53 C54 C2412 AVDD_DDR_VBP_B_3 V20 NC
6V3 10V 10V 10V 100n K9 V31 220n 470n C645
MFC_AVDDL_MOD AVDDL_MOD_0 AVDD_DDR_VBN_B_0 25V
10u 100n 100n 100n 50V K10 V32 6V3
AVDDL_MOD_1 AVDD_DDR_VBN_B_1 C2429
L9 AVDDL_MOD_2 AVDD_DDR_VBN_B_2 W19
Bottom Side
L10 AVDDL_MOD_3 AVDD_DDR_VBN_B_3 W20 NC
220n
C M9 AVDDL_MOD_4 MFC_AVDD_DDR C
M10 AVDDL_MOD_5 DRAM_VDD_A_0 A22 C609 25V
F7 MFC_AVDDL_DRV M6 B22 1u
MFC_AVDDL_HDMI_TX AVDDL_PREDRV_0 DRAM_VDD_A_1 6V3
M7 AVDDL_PREDRV_1
60R C612 C75 C74 C73 C2406 N5 AVDDL_PREDRV_2 A_DDR3_VREF_A A23
6V3 10V 10V 10V 100n MFC_A_DDR3_VREF_A
N6 AVDDL_PREDRV_3
10u 100n 100n 100n 50V N7 D17
AVDDL_PREDRV_4 A_DDR3_ZQ_A MFC_A_DDR3_ZQ_A
N8 AVDDL_PREDRV_5 A_DDR3_ZQ_B B23
Bottom Side MFC_A_DDR3_ZQ_B

MFC_DVDD_DDR T17 DVDD_DDR_0 DRAM_VDD_B_0 D32


MFC_AVDD_DDR
T18 DVDD_DDR_1 DRAM_VDD_B_1 D31 C610
F8 U16 1u
MFC_AVDDL_DVI DVDD_DDR_2 6V3
U17 DVDD_DDR_3 A_DDR3_VREF_B E32 MFC_A_DDR3_VREF_B
60R C613 C83 C82 C81 C2403 U18 DVDD_DDR_4
6V3 10V 10V 10V 100n E31
50V A_DDR3_ZQ_C MFC_A_DDR3_ZQ_C
10u 100n 100n 100n
MFC_AVDD_DDR P16 W30
AVDD_DDR0_0 A_DDR3_ZQ_D MFC_A_DDR3_ZQ_D
P17 AVDD_DDR0_1
Bottom Side
P18 AVDD_DDR0_2
R16 AVDD_DDR0_3
D 3V3_VCC C56 R17 AVDD_DDR0_4 D
3V3_VCC 10V R18 AVDD_DDR0_5
100n T15 AVDD_DDR0_6
F5 F6 Close to Chip T16 AVDD_DDR0_7
0R
MFC_VDDP MFC_AVDD_PLL A21 AVDD_DDR0_8
60R 60R C611 C66 P19 AVDD_DDR1_0
C705 C62 C63 C64 C2411 C2414 C2413 P20
6V3 10V AVDD_DDR1_1
6V3 10V 10V 10V 100n 10V 100n R19
50V 10u 100n
50V AVDD_DDR1_2
1u 100n 100n 100n 100n Close to Chip R20 AVDD_DDR1_3
C57 T19 AVDD_DDR1_4
Close to Chip Bottom Side 10V T20 AVDD_DDR1_5
100n U19 AVDD_DDR1_6
F9 U20 AVDD_DDR1_7
MFC_AVDD_DVI R32 AVDD_DDR1_8
60R
C614 C71 C2407 C2425
6V3 10V 100n 10V
10u 100n 50V 100n MFC_AVDD_DDR MFC_AVDD_DDR
Bottom Side 1V5_VCC
E E

R167

R165
1k

1k
F10 S1 DDR3 POWER
MFC_AVDD_DDR
MFC_AVDD_HDMI_TX
60R 6V3 MFC_A_DDR3_VREF_A MFC_A_DDR3_VREF_B
C2420 C85 C2408 C637 C615 C88 C89 C90 C91
220u 50V 50V
10V 10V 100n C2424 22u 6V3 10V 10V 10V 10V C61 1n C60 1n

R168

R166
100n 100n 50V 6V3 10u 100n 100n 100n 100n 10V 10V

1k

1k
Bottom Side 100n 100n
C379 C378
F11
MFC_AVDD_MOD
60R MFC_AVDD_DDR
C2421 C69 C67 C2409
10V 10V 10V 100n C2401 R1 R4
100n 100n 100n 50V 100n 240R MFC_A_DDR3_ZQ_A 240R MFC_A_DDR3_ZQ_C
50V
R2 R3
Bottom Side 240R MFC_A_DDR3_ZQ_B 240R MFC_A_DDR3_ZQ_D
F12 Bottom Side
F MFC_AVDD_ALL_RX Close to Chip F
60R
C706 C2422 C87 C2410
6V3 10V 10V 100n
1u 100n 100n 50V
VESTEL PROJECT NAME : 17MB120-R2 A3
Bottom Side SCH NAME :16_MFC_SUPPLY T. SHT:17
DRAWN BY :ATAKAN SARIKOSE 14-09-2015_10:01
1 2 3 4 5 6 7 8 AX M
1 2 3 4 5 6 7 8

U14 U14
MST7410FE U14
MST7410FE MST7410FE
L18 GND_200 3 GND_300 T6
A2 GND_0 2 GND_100 F32
L19 GND_201 GND_301 T7 Y23 GND_400 4 GND_500 AE21 U14
A3 G3
A8
GND_1 GND_101
G7
L20 GND_202 GND_302 T8 AA3 GND_401 GND_501 AE22 MST7410FE
A A10
GND_2 GND_102
G8
L21 GND_203 GND_303 T9 AA7 GND_402 GND_502 AE23
PL6 PL2 U5 A
GND_3 GND_103 L22 T10 AA8 AE24 HDMI_RX0_SCL 7
A17 G9 GND_204 GND_304 GND_403 GND_503 V5
GND_4 GND_104 L23 T12 AA9 AE25 HDMI_RX0_SDA
A19 G10 GND_205 GND_305 GND_404 GND_504 W7
GND_5 GND_105 L24 T13 AA10 AE26 HDMI_RX0_CEC
A24 G11 GND_206 GND_306 GND_405 GND_505 V4
GND_6 GND_106 L27 T14 AA11 AE27 HDMI_RX0_HPD
A26 G12 GND_207 GND_307 GND_406 GND_506 PL5 PL3 U3
GND_7 GND_107 L28 T21 AA12 AE28 HDMI_RXCP_0
A27 G13 GND_208 GND_308 GND_407 GND_507 T2
GND_8 GND_108 L30 T22 AA13 AE29 HDMI_RXCN_0
A28 G14 GND_209 GND_309 GND_408 GND_508 V1
GND_9 GND_109 L31 T23 AA14 AF2 HDMI_RX0P_0
A30 G15 GND_210 GND_310 GND_409 GND_509 U1
GND_10 GND_110 M2 T24 AA15 AF4 HDMI_RX0N_0
B1 G16 GND_211 GND_311 GND_410 GND_510 PL4 PL1 W2
GND_11 GND_111 M4 T27 AA16 AF5 HDMI_RX1P_0
B7 G19 GND_212 GND_312 GND_411 GND_511 W3
GND_12 GND_112 M5 T28 AA17 AF6 HDMI_RX1N_0
B9 G20 GND_213 GND_313 GND_412 GND_512 Y1
GND_13 GND_113 M8 T29 AA18 AF8 HDMI_RX2P_0
B11 G23 GND_214 GND_314 GND_413 GND_513 Y2
GND_14 GND_114 M11 T30 AA19 AF12 HDMI_RX2N_0
B16 G24 GND_215 GND_315 GND_414 GND_514 AA2
GND_15 GND_115 M12 U2 AA20 AF13 HDMI_RXCP_1
B17 G27 GND_216 GND_316 GND_415 GND_515 AA1
GND_16 GND_116 M13 U4 AA21 AF14 HDMI_RXCN_1
B19 G28 GND_217 GND_317 GND_416 GND_516 AC3
GND_17 GND_117 M14 U7 AA22 AF15 HDMI_RX0P_1
B21 G30 GND_218 GND_318 GND_417 GND_517 AB2
GND_18 GND_118 M15 U8 AA23 AF19 HDMI_RX0N_1
B24 G31 GND_219 GND_319 GND_418 GND_518 AD1
GND_19 GND_119 M16 U9 AB3 AF21 HDMI_RX1P_1
B25 H2 GND_220 GND_320 GND_419 GND_519 AC1
GND_20 GND_120 M17 U10 AB8 AF22 HDMI_RX1N_1
B26 H7 GND_221 GND_321 GND_420 GND_520 AE2
B B27
GND_21 GND_121
H8
M18 GND_222 GND_322 U11 AB9 GND_421 GND_521 AF23
AE3
HDMI_RX2P_1 B
GND_22 GND_122 M19 U12 AB11 AF24 HDMI_RX2N_1
B28 H9 GND_223 GND_323 GND_422 GND_522 AJ4
GND_23 GND_123 M20 U13 AB12 AF25 HDMI_TX_SCL
B29 H10 GND_224 GND_324 GND_423 GND_523 AH4
GND_24 GND_124 M21 U14 AB13 AF27 HDMI_TX_SDA
B30 H11 GND_225 GND_325 GND_424 GND_524 AG1
GND_25 GND_125 M22 U15 AB14 AF28 HDMI_TXCP
B31 H14 GND_226 GND_326 GND_425 GND_525 AF1
GND_26 GND_126 M23 U21 AB15 AF29 HDMI_TXCN
C2 H15 GND_227 GND_327 GND_426 GND_526 AH2
GND_27 GND_127 M24 U22 AB16 AG2 HDMI_TX0P
C4 H16 GND_228 GND_328 GND_427 GND_527 AH3
GND_28 GND_128 M27 U23 AB17 AG6 HDMI_TX0N
C5 H19 GND_229 GND_329 GND_428 GND_528 AJ1
GND_29 GND_129 M28 U24 AB18 AG7 HDMI_TX1P
C12 H20 GND_230 GND_330 GND_429 GND_529 AJ2
GND_30 GND_130 M29 U25 AB19 AG8 HDMI_TX1N
C13 H23 GND_231 GND_331 GND_430 GND_530 AK2
GND_31 GND_131 M30 U26 AB20 AG12 HDMI_TX2P
C14 H24 GND_232 GND_332 GND_431 GND_531 AK1
GND_32 GND_132 M31 U27 AB21 AG15 HDMI_TX2N
C17 H27 GND_233 GND_333 GND_432 GND_532
GND_33 GND_133 M32 U28 AB22 AG19
C18 H28 GND_234 GND_334 GND_433 GND_533
GND_34 GND_134 N3 U29 AB23 AH6
C19 H29 GND_235 GND_335 GND_434 GND_534
GND_35 GND_135 N4 U30 AC2 AH7
C20 H30 GND_236 GND_336 GND_435 GND_535
GND_36 GND_136 N9 U31 AC4 AH8
C21 H31 GND_237 GND_337 GND_436 GND_536
GND_37 GND_137 N11 U32 AC5 AH12
C22 H32 GND_238 GND_338 GND_437 GND_537
GND_38 GND_138 N12 V2 AC6 AH15
C23 J1 GND_239 GND_339 GND_438 GND_538
GND_39 GND_139 N13 V7 AC7 AH19
C24 J7 GND_240 GND_340 GND_439 GND_539
C C25
GND_40 GND_140
J8
N15 GND_241 GND_341 V8 AC9 GND_440 GND_540 AJ3 C
GND_41 GND_141 N16 V9 AC10 AJ5
C26 J9 GND_242 GND_342 GND_441 GND_541
GND_42 GND_142 N17 V10 AC11 AJ6
C27 J10 GND_243 GND_343 GND_442 GND_542
GND_43 GND_143 N18 V11 AC12 AJ7

R820
GND_244 GND_344 GND_443 GND_543

20k
C28 GND_44 GND_144 J11
N19 GND_245 GND_345 V12 AC13 GND_444 GND_544 AJ8
C29 GND_45 GND_145 J14 Rb VDD_AUDIO_MAIN
N20 GND_246 GND_346 V13 AC14 GND_445 GND_545 AJ12
C30 GND_46 GND_146 J15
N21 GND_247 GND_347 V14 AC15 GND_446 GND_546 AJ15
C31 GND_47 GND_147 J16 3V3_AMP
N22 GND_248 GND_348 V15 AC16 GND_447 GND_547 AJ17 C929
C32 GND_48 GND_148 J17
N23 GND_249 GND_349 V16 AC17 GND_448 GND_548 AJ19 1u
D7 GND_49 GND_149 J18
N24 GND_250 GND_350 V17 AC18 GND_449 GND_549 AJ20 10V
D8 J19

R799
100k
GND_50 GND_150 N25 V18 AC19 AJ30
D9 J20 GND_251 GND_351 GND_450 GND_550 C936
GND_51 GND_151 N26 V21 AC20 AK3
D18 J21 GND_252 GND_352 GND_451 GND_551 S436
GND_52 GND_152 N27 V22 AC21 AK5 1u Ra
D20 J22 GND_253 GND_353 GND_452 GND_552 SYS_SDA
GND_53 GND_153 N28 V23 AC22 AK15 6V3 C937
D21 J23 GND_254 GND_354 GND_453 GND_553
GND_54 GND_154 N29 V24 AC23 AK22 10V 1u
D23 J24 GND_255 GND_355 GND_454 GND_554 10V 50V
GND_55 GND_155 N30 V25 AC24 AK23 S437 1u
D24 J25 GND_256 GND_356 GND_455 GND_555 1u
GND_56 GND_156 N31 V26 AC25 AK24 SYS_SCL C931
D26 J26 GND_257 GND_357 GND_456 GND_556 C930
GND_57 GND_157 N32 V27 AC26 AL1
D27 J27 GND_258 GND_358 GND_457 GND_557
GND_58 GND_158 P3 V28 AC27 AL2 NC
S438
D28 J28 GND_259 GND_359 GND_458 GND_558

38

37

36

35

34

33

32

31

30

29

28

27

26

25
D D30
GND_59 GND_159
J29
P4 GND_260 GND_360 V29 AC28 GND_459 GND_559 AL3 D
GND_60 GND_160 P5 V30 AC29 AL4 S439
E3 J30 GND_261 GND_361 GND_460 GND_560

GPIO2

GPIO1

SCL

SDA

GND8

AVDD

DACR

INPR

INNR

GND7

GAIN/FSW

GVDD

PVCC2

GND6
GND_61 GND_161 P6 W8 AC30 AL5 3V3_AMP
E7 J31 GND_262 GND_362 GND_461 GND_561 39 24
GND_62 GND_162 P7 W9 AC31 AL17 ADR2 GND5
E8 J32 GND_263 GND_363 GND_462 GND_562
GND_63 GND_163 P9 W10 AC32 AL19
E9 K2 GND_264 GND_364 GND_463 GND_563 40 23
GND_64 GND_164 P11 W11 AD2 AL21 GPIO3 OUTRR
E16 K6 GND_265 GND_365 GND_464 GND_564
GND_65 GND_165 P12 W12 AD3 AL26 S440 C932 A_OUT1B
E17 K7 GND_266 GND_366 GND_465 GND_565 41 22 S131
GND_66 GND_166 P13 W13 AD8 AL28 AOMCLK SCLK BSPR
E18 K8 GND_267 GND_367 GND_466 GND_566 50V 220n
GND_67 GND_167 P22 W14 AD9 AL30 S441
E19 K11 GND_268 GND_368 GND_467 GND_567 42 21
GND_68 GND_168 P23 W15 AD10 AL32 AOBCK BCLK OUTNR
E20 K12 GND_269 GND_369 GND_468 GND_568
GND_69 GND_169 P24 W16 AD11 AM2 S442 C933 A_OUT1A
E21 K13 GND_270 GND_370 GND_469 GND_569 43 20 50V S132
E22
GND_70 GND_170
K14
P25 GND_271 GND_371 W17 AD12 GND_470 GND_570 AM5 AOSDATA0 DIN U50 BSNR
GND_71 GND_171 P26 W18 AD13 AM18 S443 220n
E23 K15 GND_272 GND_372 GND_471 GND_571 44 19
E24
GND_72 GND_172
K16
P27 GND_273 GND_373 W21 AD14 GND_472 GND_572 AM20 AOLRCK LRCLK TAS5766MRMT BSNL
50V
GND_73 GND_173 P28 W22 AD15 AM27 S444 NC A_OUT2A
E25 K17 GND_274 GND_374 GND_473 GND_573 45 18220n C934 S133
GND_74 GND_174 P29 W23 AD16 AM29 ADR1 OUTNL
E26 K18 GND_275 GND_375 GND_474 GND_574
GND_75 GND_175 P30 W24 AD17 AM31 S445 R798
E27 K19 GND_276 GND_376 GND_475 GND_575 46 17220n
GND_76 GND_176 P31 W25 AD18 AF26 3V3_AMP 10k XSMT/UVP BSPL
E28 K20 GND_277 GND_377 GND_476 GND_576 50V
GND_77 GND_177 P32 W26 AD19 AB7 A_OUT2B
E29 K21 GND_278 GND_378 GND_477 GND_577 1u 6V3 47 16 C935 S134
E E30
GND_78 GND_178
K22
R1 GND_279 GND_379 W27 AD20 GND_478
LDOO OUTPL E
GND_79 GND_179 R2 W28 AD21 C912

CPVDD

FAULT

PVCC1
F3 K23 GND_280 GND_380 GND_479 48 15

DVDD

CAPP

GND1

CAPM

VNEG

DACL

INPL

INNL

GND2

AVCC

GND3
GND_80 GND_180 R3 W29 AD22 GND9 GND4
F7 K24 GND_281 GND_381 GND_480
GND_81 GND_181 R4 W31 AD23
F8 K25 GND_282 GND_382 GND_481
GND_82 GND_182 R5 W32 AD24
F9 K26 GND_283 GND_383 GND_482

10

11

12

13

14
GND_83 GND_183

9
R9 GND_284 GND_384 Y3 AD25 GND_483
F16 GND_84 GND_184 K27
R10 GND_285 GND_385 Y7 AD26 GND_484
F17 GND_85 GND_185 K28 C882
R11 GND_286 GND_386 Y8 AD27 GND_485 C883
F18 GND_86 GND_186 K29 S435 C907 1u
R12 GND_287 GND_387 Y9 AD28 GND_486 3V3_AMP 1u VDD_AUDIO_MAIN
F19 GND_87 GND_187 K30 1u 10V
R13 GND_288 GND_388 Y10 AD29 GND_487 10V
F20 GND_88 GND_188 K31 C885 50V C909 C2317
R21 GND_289 GND_389 Y11 AE4 GND_488 1u 100n
F21 GND_89 GND_189 K32
R22 GND_290 GND_390 Y12 AE8 GND_489 1u 50V 50V
F22 GND_90 GND_190 L1 C884
R23 GND_291 GND_391 Y13 AE9 GND_490 6V3 1u
F23 GND_91 GND_191 L7
R24 GND_292 GND_392 Y14 AE10 GND_491 6V3
F24 GND_92 GND_192 L8
R27 GND_293 GND_393 Y15 AE11 GND_492
F25 GND_93 GND_193 L11
R28 GND_294 GND_394 Y16 AE12 GND_493
F26 GND_94 GND_194 L12
R30 GND_295 GND_395 Y17 AE13 GND_494
F27 GND_95 GND_195 L13
R31 GND_296 GND_396 Y18 AE14 GND_495
F28 L14
F F29
F30
GND_96
GND_97
GND_98
GND_196
GND_197
GND_198
L15
L16
T3
T4
T5
GND_297
GND_298
GND_397
GND_398
Y20
Y21
Y22
AE15
AE16
AE17
GND_496
GND_497
I2C Address 0x9E F
F31 L17 GND_299 GND_399 GND_498
GND_99 GND_199 AE18 GND_499

VESTEL PROJECT NAME : 17MB120-R2 A3


SCH NAME :17_SMART_AMP_MFC_GND_BLOCKS T. SHT:17
DRAWN BY :ATAKAN SARIKOSE & AKIN ZOHRE :) 05-09-2015_12:32
1 2 3 4 5 6 7 8 AX M

Вам также может понравиться