Вы находитесь на странице: 1из 12

Canadian Journal on Electrical & Electronics Engineering Vol. 1, No.

7, December 2010

Device and Circuit Design Challenges for Low


Leakage SRAM for Ultra Low Power
Applications
Shilpi Birla, Neeraj Kr. Shukla, Manisha Pattanaik, R.K.Singh

Abstract - Ultra low-power applications have threshold operation is applicable to wide range of
gained a lot of attention in recent years. This is due applications ranging from wireless devices,
to the increase in battery operated devices and also biomedical applications, spacecraft applications etc.
due to the scaling of CMOS devices. Various CMOS Lowering supply voltage to reduce power
circuits of low-leakage are in demand one of them is consumption is one of the choice of the designers for
the SRAM. So, at the present scenario various designing low leakage SRAM circuits. However ultra
technologies are used to design the low leakage low power design of high density SRAMs in which
SRAM. The low leakage SRAM are of prime the operating voltage is below the transistor sub-
concerned as 30% of the total chip consumption is threshold is extremely challenging. In modern SoCs
due to memory circuits. This paper deals with the where total power and total area is dominated by
various device & circuit design challenges to memory circuits reductions in Vdds for them
optimize the low leakage SRAM with various design (memory circuits) can have low leakage power [26].
methodologies and circuit topologies for optimal low Also by the system integration point of view, SRAM
power operations. This paper identifies the suitable must be compatible with sub-threshold combinational
candidates for low leakage SRAM for ultra low logic, operating at ultra low voltages. Ultra-Dynamic
power applications at device and circuit levels and Voltage Scaling (U-DVS) is another approach to
provides an effective road-map for SRAM designers reduce energy consumption by adjusting the system
to work with its ultra-low power applications. supply voltage over a large range, depending on the
performance requirement. U-DVS is suitable for
systems with time-varying throughput constraint.
Key Words – Ultra-Low Power, CMOS Scaling,
Leakage Power, Static Noise Margin. This paper is organized as follows; the scope of
the low leakage SRAM for ultra low power
application is presented in section II. Various
I. INTRODUCTION challenging issues of the current and the future
SRAM cell is reviewed in section III. Section IV
In recent years the demand for low power devices present various device level optimization
has been increases tremendously. This demand may methodologies for low leakage SRAM. Section V
be due to fast growth of battery operated portable shows the various circuit topologies for low leakage
applications such as PDAs, cell phones, laptops & SRAM cell for ultra low power applications. Finally
other handheld devices. But also at the same time conclusion is drawn in section VI.
problems arising from continuous technology scaling
have recently made power reduction an important
design issue for the digital circuits and applications. II. SCOPE OF LOW LEAKAGE SRAM FOR ULTRA
The increased importance of power is even more LOW POWER APPLICATIONS
noticeable for a new class of energy constrained
Besides the quadratic dynamic power savings,
systems. Recent interest is in operating the CMOS
very low supply voltages promise greatly reduced
circuits with power supply voltage below the
leakage power. For example, a 1-V reduction in the
transistor threshold operation [33]. As sub-threshold
supply voltage can reduce Ioff the transistor leakage
circuits can allow ultra low power designs to be
current, by over one decade due to the drain-induced
fabricated on modern process technology. Sub-
barrier-lowering (DIBL) effect. The gate oxide
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

leakage can also be reduced more than 100x with the The on-chip temperature gradients induced by
same 1-V drop in supply voltage. imbalanced switching activity are therefore typically
small across the die of a low-voltage integrated
Various low leakage applications for ultra-low power circuit. Die temperature fluctuations due to the
operations are, variations in the ambient temperature however can
cause significant fluctuations in the speed and the
A. Energy-constrained applications such as wireless power characteristics of ultra low-voltage circuits.
sensor nodes, RFID tags, medical equipments such as
hearing aids and pace-maker, wearable computing or B. Power Overhead associated with Change in
implants, Personal digital assistants, energy Supply Voltage:
scavenging applications, and Laptops, which are
dominated primarily by the need to minimize energy Power overhead associated with changing the
consumption and increase battery life time, speed is a supply voltage level should at least be compensated
secondary consideration for this class of applications, by the energy savings in the low-voltage mode. So,
understanding the energy required to change the
so sub-threshold circuits offer a good solution [13].
supply voltage level is necessary as energy overhead
is a fundamental issue and cannot be avoided.
B. Battery-powered handheld systems have been
faster than other integrated circuit applications such C. Effect of Transistor Mismatch:
as cell phones, MP3 players, and portable games. All
benefits from increased battery life, with lowering The main challenge for low-voltage operation is
integrated circuit (IC) power dissipation are provided. that relative sizing of transistors is a weak knob due
In modern system-on-chip (SoC) devices, some to the exponential dependence of drive current on
components, such as digital signal processors and threshold voltage in sub-threshold region. The basic
microprocessors, must operate at high frequencies, at and most important building block of a traditional
least intermittently. Many components do not need to SRAM, 6T bit-cell is a ratioed structure and its
run as fast, but must be integrated on the same high- correct operation depends on relative strength of its
transistors. Fig.1 shows the effect of access transistor
performance silicon die. Additionally, some
drive strength on Write Margin (WM) distribution for
applications require a small subset of the circuits to a 6T SRAM cell at different supply voltages.
operate continuously, e.g., real-time clocks and
wakeup circuitry.

III. CHALLENGING ISSUES FROM THE CURRENT


AND THE FUTURE LOW LEAKGE SRAM CELL

We have identified various device and circuit


design challenges which need to be addressed for
advancing the in sub-threshold circuit design,
emphasizing the need for co-design at all levels of
abstraction like device, circuit and architecture, and
so forth. This section provides an interesting insight
and challenges for designers interested to work with
energy-constrained applications.
A. Sensitive to Process and Temperature Variation:

Integrated circuits with ultra low-voltage power


supplies are highly sensitive to process and
Fig.1Effect on Write Margin
temperature variations. The absolute value of the
MOSFET threshold voltage degrades and the thermal
However, at low voltages, the effect of sizing is
voltage is enhanced as the temperature increases. A
negated by transistor mismatches. Hence, a solution
small increase in the die temperature exponentially
relying on only transistor sizing can be suitable for
enhances the sub-threshold leakage current. Contrary
high-voltage operation but is insufficient for low-
to the standard higher-voltage circuits designed for
voltage functionality.
high-speed, low-voltage circuits optimized for
minimum energy operate faster when the die
temperature increases [13].
D. Degradation of Ion/Ioff

157
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

The degradation in Ion/Ioff from 107 The reason for the diminishing sensitivity of the
approximately to 104 implies that, in sub-Vt , there is read static noise margin to the transistor sizes at
a strong interaction between the “on” and the “off” scaled supply voltages is identified. The switching
devices when it comes to setting the voltage level of current at ultra-low voltage is the sub-threshold
critical signals. This introduces a relevant failure leakage current. The sub-threshold leakage current
mechanism where SRAM density requirements call produced by a MOSFET is [7].
for the integration of many devices on shared nodes
[13].
E. SER (Soft Error Rate)

An issue for deeply voltage scaled SRAM is soft Where, Ileak, µ, Weff, COX, Leff, Vt, VT, VGS, VDS, and
error rate (SER). Soft errors occur when an alpha n are the sub-threshold leakage current, carrier
particle or cosmic ray strikes a memory node and mobility, effective transistor width, oxide capacitance
causes data loss. Since, bitcell storage capacitance per unit area, effective channel length, threshold
decreases with scaling and voltage scaling further voltage, thermal voltage, gate-to-source voltage,
reduces the stored charge, SER is a concern for sub-
drain-to-source voltage, and sub-threshold swing
threshold memory [33].
coefficient, respectively. For devices operating in the
F. Cell Stability weak inversion region, the switching current is
exponentially dependent on the voltage levels [15,
Static Noise Margin (SNM) is the maximum 16]. Alternatively, increasing the device width
amount of noise that is tolerated at the data storage produces only a linear increase in the switching
nodes of an SRAM cell. The voltage transfer current. A linear change in the sub-threshold
characteristics (VTC) of two cross-coupled inverters switching current has a relatively small impact on the
are shown in Fig.2. The resulting curve is called the voltage transfer characteristics. The sensitivity of the
‘‘butterfly curve’’. The SNM is the length of the read noise margin to the memory cell ratio is
largest square that can be embedded inside the lobes
therefore negligible in an ultra-low supply voltage,
of a butterfly curve, as illustrated in Fig.2.
i.e., sub-threshold memory circuit.

7. Device Scaling:

Device scaling offers a reduction in gate


capacitance and at super sub-threshold voltages, it
offers a reduction in switching energy and gate delay.
Scaling leads to increase in density but at the same
time device scaling brought many problems like
increased sub-threshold and gate leakage. Due to
exponential sensitivities to Vth and Vdd in sub
threshold regime, circuit may work properly under
device scaling.

IV. VARIOUS CIRCUIT OPTIMIZATION


METHODLOGIES FOR LOW LEAKAGE SRAM CELL
Fig.2 Butterfly Curve [20]
There are various methods by which we can
As described in [15, 16, 19, 20], for the memory reduce the leakage current in order to optimize the
circuits operating in the super threshold (strong power reduction. One of them is the biasing schemes
inversion) region, the read static noise margin which help in reducing the leakage currents in
increases with an increase in the memory cell ratio. SRAM.
The memory cell ratio is the ratio of the pull-down
NMOS transistor width to the access transistor width 1. Various Biasing Schemes
(WN1/WA1 or WN2/WA2 where W is the width of
the corresponding device in the 6T-SRAM bit-cell). (a). Source Biasing Scheme
As the supply voltage is scaled to the sub-threshold
region, the dependence of the data stability on the This leakage reduction technique increases the
SRAM cell ratio becomes negligible. line voltage in sleep mode operation to generate a
158
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

negative Vgs in the access transistor and reduce the


bit line leakage, as shown in Fig.3. The reduced
signal rail, bit line leakage and the body effect in the
transistor lowers the sub-threshold leakage in the
SRAM cell. Gate leakage is also reduced as the
source voltage is reduced. But it imposes delay
penalty as an extra NMOS is required and also causes
the SER (soft error rate) to rise which directly has an
impact on stability [1-4].

Fig.5 Dynamic Voltage Supply [1]

(d). Floating Bit Line.

This technique has the bit lines, floating during


the standby mode and reduces the bit line leakage via
DIBL. This scheme (Fig.6) cannot be applied to
Fig.3 Source Biasing Scheme [10] individual cache lines, since the bit line is shared
across different cache lines. Normally, bit lines have
(b). Reverse Body Biasing Scheme to be precharged and ready for the word line access.
Since the bit lines are floating for this technique, an
In this technique NMOS or PMOS reduces the extra precharge cycle is required whenever a new
sub-threshold leakage in sleep mode via body effect sub-array is accessed. No delay rise and no impact on
Fig.4. Due to zero body bias in active mode the SER is observed [8].
access time remains the same. This scheme is less
effective in deep sub-micrometer and it also increases
the junction band to band tunneling leakage current
[3, 5, 6].

Fig.6 Floating Bit Lines [1]

(e). Negative world line scheme


Fig.4 Reverse Body Biasing Scheme [10]
In this technique it has been propped to cut off the
(c). Dynamic Voltage Supply sub-threshold leakage through access transistors by
using a lower voltage in cells and bit lines (Fig.7).
In this scheme, the supply voltage is lowered to Although this technique has no impact on the
reduce the leakage; however, the bit line leakage performance or on SER but there is dynamic power
cannot be reduced in this, as the bias condition in the overhead for creating the negative voltage [9].
access transistor does not change Fig.5. This has a
larger transistor overhead and has substantial
increment in SER [3, 7].
159
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

reduction is shown as compared to source biasing and


16% as compared to conventional SRAM [10,21,28].

Fig.7 Negative Word-line Scheme Lines [2]

(f). Forward Body Biasing Fig.8.Forward Body Biasing [10]

In this technique, the NMOS or PMOS transistors in (g). Active/Passive Clamped Transistor Scheme
the selected sub array are dynamically switched from
zero body bias to forward body bias. Forward body- (i). Passive clamped transistor scheme:
biasing (FBB) has proven to effectively improve
A passive clamped bias design uses digitally
performance, suppress short channel effects, and programmable transistors which are used to set the
reduce variations, roll-off. The drain-induced barrier virtual ground voltage during standby. This
lowering (DIBL) which limits scalability of channel programming has to set for worst case, ie, maximum
length can also be relieved by FBB during the normal SRAM leakage under process variation conditions.
operation of the device. As alone this technique does As aging can change the device on and off
not reduce the gate current but along with super high characteristics so this makes a passive clamp
Vt it is able to do so [10]. transistor scheme less effective in reducing cache
leakage power [11].
(i). Forward Body Biasing with Super High Vt
(ii). Active Clamped Transistor Scheme
Here, the device optimization is achieved using
super halo 2-D doping profile. This profile uses a non There are various actively clamped bias schemes
uniform P+ doping profile in the source body and which minimize the standby power of the memory.
drain body boundaries to reduce the source drain One of them is using replica cell bias generator to
depletion width and effectively suppresses the body derive the gate voltage of the bias device. This
punch through. The Vth roll and DIBL are also scheme tracks the process variations in Vss value.
controlled by 2D halo doping profile. The high Vt The other proposed scheme is a linear voltage
doping profile is generated by raising the halo doping regulator which is used to derive the Vss to a given
concentration of the nominal Vt device.FBB is programmable Vmin value. This results in excellent
dynamically applied to only the active portion of the PVT and aging tracking but if see the other side it
cache for fast read and write operation. The two- results in larger penalties of area and dynamic power
dimensional (2-D) halo doping profile of a super high overhead to switch between active and standby
device to achieve total leakage reduction, while voltage levels [32].
suppressing the gate leakage and junction band-to- A new scheme is proposed in which a combined
band tunneling (JBTBT) leakage. In this scheme distributed sleep and active clamp scheme is used
using a super high device and FBB is used to which incurred less than 3 % are overhead and active
dynamically reduce the active leakage in cache clamping has 14% less leakage than for passive bias
memories. and sleep transistors. It helps in reducing the leakage
The only limitation is that the technology where power of idle sub-arrays by 30-60% at 0.8-1.0 V
higher halo doping is unacceptable, a super high Vt standby. A 256kb dual Vcc SRAM has been
device can be built using a gate material with a simulated which operated between 2.3-4.2GHz with
higher flatband voltage. The simulation results shows 16-29mw total power consumption at 85oC. It has
that a 32X 32 sub-array of SRAM is designed and fixed 1.2 fixed Vlcc and 0.7-1.2 Vcore [15].
simulated at 70nm technology in which total 64%
160
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

Another approach is using the combination of would cause a large voltage difference between the
FBB with Vt using 2D super halo technique and CVdd and the Vdd [18].
Active clamped transistor. This approach is used so
that FBB technique is used for fast operation and In order to further improve the Vddmin, an
clamped transistors with high Vt is used to reduce the adaptive CVdd voltage regulator, which generates a
leakage [10]. desired offset with respect to Vdd, is proposed. In
this mechanism a dual rail Vdd is used to generate
logic Vdd and cell Vdd, so that logic Vdd is reduced
to 0.6V. The Vddmin is lowered and also the area
(2). High-K Metal Gate Technology required has been reduced. This technique is also
extendable to new process technologies upto 32nm.
In this proposed technology the “high-k" (Hi-k)
But it degraded the SNM as when the Vdd is scaled
material is used to replace the transistor's silicon
down ,the offset voltage is increased due to which the
dioxide gate dielectric, and new metals to replace the
SNM degrades [18].
polysilicon gate electrode of NMOS and PMOS
transistors. These new materials, along with the right
process recipe, reduce gate leakage more than 100-
fold, while delivering record transistor performance.
Along with this PMOS FBB technique is used for
lower voltages. The stronger PMOS under the FBB
can improve the minimum operating voltage up to 75
mV. This improvement is achieved without
increasing the overall SRAM leakage power, since
the Nwell is restored back to Vcc after the WL
(wordline) is turned off. The active power
consumption per access due to dynamically
discharging and charging the Nwell is less than 1% of
the total access power, where significant portion of
the active power during cache access is from signal
and clock distributions. The leakage current is Fig.9 Maximum Offset Voltage Limitation versus Different
reduced by 10x. The operating frequency of 153 Mb Process Generations [18]
SRAM using this technology at 45nm is 2.7 GHz at
0.9V and 3.8 GHz at 1.1V.The limitation is that it Fig.9 shows the maximum offset voltage
degraded the write margin [17]. limitation versus different process generations. It
shows that the maximum offset voltage is getting
(3). Dual Rail Mechanism
lower as the device shrinks from 65 nm to 32 nm
Several design solutions for Vdd minimum issues technology nodes. That is because the SNM is also
were proposed for the single rail at 65 nm and 45 nm getting lower as the device scales down. This trend
SRAM. The suppressed word-line (WL) scheme was will also make the proposed Vdd-tracking CVdd
reported to address the bit cell SNM issue caused by concept more attractive in the future technology
the ever increasing device variations in the shrinking nodes. 1 Mb SRAM is tested and the area has been
devices. This scheme can improve the SNM, but it reduced to 5%. Vdd is 0.74V-0.9V and Vddmin of
causes an unacceptable cell current degradation in the 0.6 V is achieved.
lower Vdd regime. The cell current degradation, in (4). Low Vdd Operation and Increase in the Vth
turn, becomes the limiter of Vddmin. The area is Scheme
increased to maintain an acceptable cell current. To
avoid this area penalty, dual-rail SRAM designs in 65 For high speed applications and low Vdd
nm were proposed. The dual-rail design with a operation, a new scheme is used in which the Vth of
dedicated SRAM power source, Cell-Vdd (CVdd), the has been increased. The supply voltage, ie, Vdd
can achieve a lower Vddmin, but the challenge lies in has been reduced to 0.5V and the access time is also
the large current consumption of the CVdd power reduced to 20ns when a 64 kb SRAM is simulated at
source. The large CVdd current implies large areas 90nnm technology. But at lower voltages of Vdd
which are needed to implement numerous CVdd write operation cannot be performed and also leakage
voltage regulators, and wider power lines to mitigate current of PMOS transistors results in storage
the CVdd IR-drop issue. IR drop constraints as well destructions [20].
as the area penalty results from the power routing.
However, fixing the CVdd voltage level would limit (5). Dual Boosting Scheme
the Vddmin reduction because scaling down the Vdd
161
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

In this scheme internally boosting of the voltage shorter BL arrays. The cascaded bit line scheme
level of the word line and cell power node is done minimizes the area penalty. It also realizes read and
which increases the driving capability of the NMOS write operation without global lines. It is useful for
access transistor during read operation and reduces low voltage operation [23].
the bit line delay because of Icell increases during
this operation. It increases the Read SNM and write (10). Uni-axial Si Strained Technology
SNM at low voltages. The operating frequency is 50
In this technique, the uni-axial Si strained
MHz but it has been observed that it results in
technology, the nitride films are deposited on Si to
overhead of area and power. It has been observed that
act as the contact etch stop layer (CESL). In this 65
during the simulation of 256kb SRAM the area
nm process, strong uniaxial tensile strain is created
overhead is 4.5% due to cell boosting and reduction
by the nitride layer in order to increase NMOS
in chip cycle by 26% at the expense of 14.6%
mobility. The amount of strain increases with the
additional power. The cell ratio is also of prime
thickness of the nitride layer that can fill between the
concern which is kept one. [23, 26].
gates and the amount of contact of the nitride film
(6). Novel Write Mechanism with the source/drain region. The 65 nm strained
silicon technology improves transistor
In this scheme a write mechanism is proposed performance/leakage tradeoff, which is essential to
which depends only on one of the 2 bit lines to achieve fast SRAM access speed at substantially low
perform the write operation. Transistor sizing is also operating voltage and standby leakage. The 1 Mb
of prime concerned in this mechanism for cell SRAM macro features a 0.667 µm2 low-leakage
stability. It also uses the sleep transistors but the memory cell and can operate over a wide range of
overall leakage power is slightly higher than supply voltages from 1.2 V to 0.5 V. It achieves
conventional SRAM and the cache are is also operating frequency of 1.1 GHz and 250 MHz at 1.2
increased by 12.25 % from the conventional SRAM. V and 0.7 V, respectively. This technology has been
A trade off is maintained between the SNM and the successful for future process technologies also [14].
leakage power. As high Vt PMOS are used which
helps in reducing the leakage but reduces the SNM The above techniques are used to reduce the
[21, 28, 32]. leakage and increase the stability of the cell. But
there are other technique which depends on the
(7). Sense Amplifier Redundancy Mechanism number of transistors in a SRAM cell to increase the
stability and reduce the leakage.
Here, a charge pump is used to resolve the zero
leakage read buffer footer. A high density 8T SRAM (11). Gate Feedback Memory Cell
is simulated with minimum operating voltage of
350mV. But speed is of concern in this technique In this scheme a gate feedback memory cell is
which decreases. Also the cell stability is decreased used for SRAM in which the decoder circuits is
[29]. separated from read circuits. The power consumption
was low, 0.83µw dynamic power consumption and
(8). Dual Vth & Dual Tox Scheme 0.197 µw static power consumption while simulating
a 512x13 bit SRAM memory cell at 130nm. The
In this scheme different combinations of limitation was that it has almost vanishing read
transistors with dual Vth and dual Tox have been stability at low voltages and also speed was the
used to design the various 6T SRAM cells. It reduces limitation [13].
both sub-threshold and gate tunneling leakage
current. This technique is useful in increasing the (12). Stacking Effect
SNM also. Various combinations have been observed
to have the best results [35]. In this scheme half stacking and full stacking
effects is used to design a conventional 6T SRAM
(9). Self Write Back Sense Amplifier Scheme cell for low leakage reduction. There is drastic
change in power consumption in full stack effect but
Self write back sense amplifier is used with the main limitations are area overhead and SNM
capacitance separator. In it a cascaded bit line scheme degraded. At 130 nm the results are fine but as the
is used as the access time of the proposed scheme is gate length is reduced power consumption also
proportional to the number of sense amplifiers. It is increases. It has been shown that the stacking of two
preferred for low SRAM macros. The proposed off transistors can significantly reduce leakage power
scheme is advantageous for smaller macro, for bit than a single off transistor [37]. Increasing the source
capacity is less than 256 kb the timing margin for voltage of NMOS transistor reduces sub-threshold
sense amplifier enable signal is unnecessary for leakage current, exponentially due to negative Vgs,

162
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

lowered signal rail, reduced DIBL and body effect. V2 remains large even when access MOS transistor
This effect is also called self-reverse biasing of N3 is turned on and Node V1 voltage increases.
transistor the self-reverse bias effect can be achieved
by turning off a stack of transistors. Turning off more
than one transistor in a stack raises the internal
voltage (source voltage) of the stack, which acts as
reverse biasing the source. The voltages at the
internal nodes depend on the input applied to the
stack [16].

Fig.11 7T SRAM Cell [20]

The worst normalized Read SNM and normalized


Icell values improve, respectively, to 1.24 and 0.54.
A minimum supply voltage (Vdd-min) of 440 mV
and a 20 ns access time are achieved with a 0.5 V
supply voltage. In this SRAM, Vdd-min is limited by
Fig.10.6T SRAM using Stacking Effect [16] the following two factors: 1) Write operations at low-
Vdd levels cannot be performed, since write margin
decreases with decreasing Vdd. 2) Read operations at
low-Vdd levels result in storage data destruction in
V. VARIOUS SRAM CELL TOPOLOGIES FOR LOW SRAM cells due to the leakage current of PMOS
LEAKAGE SRAM CELL transistor P2.[20]
Although the 6T SRAM cell with above
mentioned technologies have been implemented for a
better performance but it has some of the limitations.
The conventional SRAM fails at many aspects so,
various other topologies of SRAM are being
discussed here for low leakage SRAM.
(1) 7T SRAM Cell

Here a 7T SRAM cell (90nm technology) a data


protection NMOS transistor N5 has been added
between Node V2 and NMOS transistor N2. While
the SRAM cell is being accessed, /WL is in the
activated state, “0”, and N5 is OFF. Since N5
prevents the voltage at Node V2 from decreasing, the
data bit is not reversed even if Node V1 voltage Fig.12 Vdd-min Temperature Dependence [20]
greatly exceeds Fig.11.
Fig. 12 shows Vdd-min dependence on
During data retention period, when the SRAM cell is temperature. Below 85oC, Vdd-min decreases with
not being accessed, word line signal /WL is “1”, and increasing temperature. This occurs because Vdd-min
NMOS transistor N5 is ON. The use of two CMOS is determined by factor: (a). Write margin, unlike
inverters results in high cell stability. During Read Read SNM, improves with decreasing Vth levels in
operations, the logical threshold voltage of the NMOS transistors, and Vth decreases with increasing
CMOS inverter driving Node V2 increases greatly temperature. VDD-min, which will not improve even
when the data protection NMOS transistor N5 is if the temperature exceeds 85o C, will be determined
turned off. For this reason, the Read SNM value at by factor (b).The leakage current of PMOS transistor
163
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

P2 increases with increasing temperature. This


reverses the relationship between BL delay time and
retention time. Since, both Write margin and SRAM
cell current improve with decreasing Vth levels in
NMOS transistors; it will be possible to achieve even
higher speeds and lower-VDD operations by
reducing Vth levels below 0.32 V, the value currently
achieved.
(2). 7T dual Vt SRAM Cell

The circuit schematic of the 7T dual-Vt SRAM Fig.14 8T SRAM Cell [36]
cell with transistors sized for a 65nm CMOS
technology is shown in Fig.13. The cross-coupled The read bitline (RBL) is conditionally
inverters formed by the transistors N1, P1, N2, and discharged based on the data stored in the SRAM
P2 store a single bit of information. The write bitline cell. The storage nodes (Node1 and Node2) are
WBL and the pass transistor N3 are used for completely isolated from the bitlines during a read
transferring new data into the cell [36]. operation. Data stability is thereby significantly
enhanced as compared to the standard 6T SRAM
Alternatively, the read bitline RBL and the cells [29].
transistor stack formed by N4 and N5 are used for
reading data from the cell. Two separate control (4). 9T SRAM Cell
signals R and W are used for controlling the read and
the write operations, respectively, with the 7T SRAM The schematic of the 9T SRAM cell, with
circuit as shown in Fig. 13. transistors sized for a 65-nm CMOS technology, is
shown in Fig. 15. The upper sub-circuit of the 9T
memory circuit is essentially a conventional 6T
SRAM cell with minimum sized devices (composed
of N1, N2, N3, N4, P1, and P2).

Fig.13 7T Dual Vt SRAM [36]

(3). 8T SRAM Cell


Fig.15 9T SRAM cell [36]
The schematic of the 8T SRAM cell sized for a
65nm CMOS technology is shown in Fig. 14. The left The two write access transistors (N3 and N4) are
sub-circuit of the 8T memory cell is a conventional controlled by a write signal (WR). The data is stored
6T SRAM cell with minimum sized devices within this upper memory sub-circuit. The lower sub-
(composed of N1, N2, N3, N4, P1, and P2). Two data circuit of the new cell is composed of the bit-line
access transistors (N3 and N4) and two bitlines access transistors (N5 and N6) and the read access
(WBL and WBLB) are used for writing to the SRAM transistor (N7). The operations of N5 and N6 are
cell. An alternative communication channel controlled by the data stored in the cell. N7 is
(composed of a separate read bitline RBL and the controlled by a separate read signal (RD). During a
transistor stack formed by N5 and N6) is used for write operation, WR signal transitions high while RD
reading the data from the cell. Two separate control is maintained low. N7 is cutoff. The two write access
signals R and W are used for controlling the read and transistors N3 and N4 are turned on. In order to write
the write operations, respectively, with the 8T SRAM a “0” to Node1, BL and BLB are discharged and
circuit as shown in Fig. 14. During a read operation, charged, respectively. A “0” is forced into the SRAM
the read signal R transitions to Vdd while the write cell through N3. Alternatively, for writing a “0” to
signal W is maintained at Vgnd [36].

164
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

Node2, BL and BLB are charged and discharged, virtual supply voltage rail Vdd. Write access to the
respectively. A “0” is forced onto Node2 through N4. bitcell occurs through the write access transistors, M2
and M5, Transistors from the write bitlines, BL and
During a read operation, RD signal transitions high BLB. Transistors M7 through M10 implement a
while WR is maintained low. The read access buffer used for reading. Read access is single-ended
transistor N7 is activated. Provided that Node1 stores and occurs on a separate bitline, RBL, which is
“1”, BL is discharged through N5 and N7. precharged to prior to read access. The wordline for
Alternatively, provided that Node2 stores “1”, the read also is distinct from the write wordline. One key
complementary bitline (BLB) is discharged through advantage to separating the read and write wordlines
N6 and N7. Since N3 and N4 are cutoff, the storage and bitlines is that a memory using this bitcell can
nodes Node1 and Node2 are completely isolated from have distinct read and write ports.
the bitlines during a read operation [36].

Leakage Power Comparison of the three Topologies


(7T, 8T, and 9T)

The leakage power consumption of the SRAM


circuits is shown in Fig. 16. The leakage power of an
SRAM cell is determined by the total effective
transistor width and the threshold voltages of the
transistors that produce the leakage current.
Transistor sizing for enhanced data stability comes at
a cost of significant additional leakage power with
the standard full-voltage-swing 6T SRAM circuits. Fig.17 10T SRAM Cell [33]
The leakage power is doubled when β is increased
from 1 to 3 with the standard 6T SRAM circuit, as At 27oC, the 10T memory saves 2.5X and 3.8X
illustrated in Fig. 16. in leakage power by scaling from 0.6 V to 0.4 V and
The dual-Vt 7T SRAM cell consumes the lowest 0.3 V, respectively and over 60X when scales from
leakage power by utilizing minimum sized high-Vt 1.2 V to 0.3 V, scaling also gives the expected
transistors in the cross-coupled inverters. The leakage savings in active energy per read access.
power of the dynamic wordline voltage swing
technique, the 9T SRAM cell, the 8T SRAM cell, and
the dual-Vt 7T SRAM cell is reduced by 51%, 23%, (6). 11T SRAM Cell
21%, and 57%, respectively, as compared to a
standard 6T SRAM cell sized for read stability The schematic diagram of the proposed 11T-
(β=3)[34]. SRAM bitcell. Transistors M2, M4, M5, and M6 are
identical to 6T-SRAM, but two transistors M1 and
M3 are downsized to the same size as the PMOS
transistors.
The bitline and word line are distinct from the
write word line. In this case, memory can have
distinct read and write ports. During the hold time,
RDWL and WL are not selected. In the 6T-SRAM
part, suppose that node Y stores “0” and node X
stores “1” as was described for the 6T-SRAM part in
the previous section. For the added circuitry the
following behavior is observed when transistor M12
is turned off. Also, the M11 state is dependant of the
voltage in node Y. If node Y stores “1”, then M8
connects the gate of M11 to ground, so M11 is turned
Fig.16 Leakage Power Consumption [34] off. However, if node Y stores “0”, M9 is turned off
and it starts to charge the gate of transistor M11.
(5). 10T SRAM cell
Therefore there is a leakage path through M11
Fig. 17 shows the schematic of the 10T sub- that connects the node YN to zero. Minimum size
threshold bitcell. Transistors are identical to a 6T transistors were used for the added 5T-circuitry,
bitcell except that the source of M3 and M6 tie to a except the access transistor that has a larger size. The
165
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

most important part of the 11T-SRAM is a boost driving (OSD) scheme,” in Proc. Symp. VLSI Circuits, 1996, pp.
capacitor (CB) that connects source of M9 to RDWL. 126–127.
3. A. J. Bhavnagarwala, A. Kapoor, and J. D.Meindl, “Dynamic-
threshold CMOS SRAM’s for fast, portable applications,” in Proc.
ASIC/SOC Conf., 2000, pp. 359–363.
4. K. Osada et al., “16.7 fA/cell tunnel-leakage-suppressed 16 Mb
SRAM for handling cosmic-ray-induced multi-errors,” in Proc. Int.
Solid-State Circuits Conf., 2003, pp. 302–303.
5. H. Kawaguchi, Y. Itaka, and T. Sakurai, “Dynamic leakage
cutoff scheme for low-voltage SRAM’s,” in Proc. Symp. VLSI
Circuits, 1998,pp. 140–141.
6. C. H. Kim and K. Roy, “Dynamic Vt SRAM: A leakage tolerant
cache memory for low voltage microprocessors,” in Proc. Int.
Symp. Low Power Electronics and Design, 2002, pp. 251–254.
7. K. Flautner et al., “Drowsy caches: Simple techniques for
reducing leakage power,” in Proc. Int. Symp. Computer
Architecture, 2002, pp. 148–157.
8. S. Heo et al., “Dynamic fine-grain leakage reduction using
leakage- biased bitlines,” in Proc. Int. Symp. Computer
Fig.18 11T SRAM Cell [25]
Architecture, 2002, pp. 137–147.
9. K. Itoh, A. R. Fridi, A. Bellaouar, and M. I. Elmasry, “A deep
sub-V, single power-supply SRAM cell with multi-Vt, boosted
storage node and dynamic load,” in Proc. Symp. VLSI Circuits,
VI. CONCLUSION 1996, pp. 132–133.
10.Chris Hyung-il kim,Jae-Joon Kim,Saibal Mukhopadhyay,
As low leakage SRAM will continue be in kaushik roy, “A forward body biased low leakage SRAM
demand due to rise in battery operated portable cache:Device Circuit Architecture Consideration.”IEEE
transactions on VLSI sytems,vol.13,Issue March 2005,pp.-349-357
applications and also due to continuous scaling of 11.Kevin Zhang etal., “SRAM design on 65nm CMOS technology
CMOS. So, new approach should be involved to with dynamic sleep transistor for leakage reduction”, Solid-State
reduce the leakage application. Device optimization Circuits, IEEE Journal , vol. 40,April 2005, Issue: 1, pp. 895-901.
is a must for low leakage SRAM to further reduce 12..KAUSHIK ROY et al., “Leakage Current Mechanisms and
power and enhance performance. Also area and cell Leakage Reduction Techniques in Deep-Submicrometer CMOS
Circuits”, Proceedings of the IEEE,vol.. 91, Issue. 2, FEBRUARY
stability should be taken care while optimizing the 2003,pp-305-327.
power reduction. Various optimization techniques 13. Jinhui Chen Clark, L.T. Tai-Hua Chen, “An Ultra-Low-
has been proposed which can be effective in recent Power Memory with a Sub-threshold Power Supply Voltage”,
technologies .These techniques can be implemented Solid-State Circuits, IEEE Journal, vol.41, Oct 2006, Issue: 10,
in various SRAM transistor cells which overall pp- 2344-2353.
14.Yih Wang Hong Jo Ahn Bhattacharya, U. Zhanping Chen
increase the performance. As higher order transistors Coan, T. Hamzaoglu, F. Hafez, W.M. Chia-Hong Jan Kolar,
are able to solve the problems of conventional 6T so P. Kulkarni, S.H. Jie-Feng Lin Yong-Gee Ng Post, I. Liqiong
we can go forward and also the area overhead will be Wei Ying Zhang Zhang, K. Bohr ,“A 1.1 GHz 12 µA/Mb-
at the same time is minimized as the scaling of Leakage SRAM Design in 65 nm Ultra-Low-Power CMOS
CMOS is continued. The leakage reduction can be Technology With Integrated Leakage Reduction for Mobile
done by the various optimization techniques Applications” , Solid-State Circuits, IEEE Journal , vol. 43,Jan
2008, Issue: 1, pp. 172-179.
suggested in the papers and for stability enhancement 15.Khellah, M. Somasekhar, D. Ye, Y. Kim, N. S. Howard,
we can choose the SRAM cell topology. The Dual J. Ruhl, G. Sunna, M. Tschanz, J. Borkar, N. Hamzaoglu,
rail mechanism techniques discussed in this paper F. Pandya, G. Farhang, A. Zhang, K. De, V. “A 256-Kb Dual-
will also work for lower technology till 32nm.The VCC SRAM Building Block in 65-nm CMOS Process With
recent technologies like High K metal gate Actively Clamped Sleep Transistor”, Solid-State Circuits, IEEE
Journal ,vol. 42 Jan.2007, Issue 1 , pp. 233-242.
technology is efficient for the lower CMOS 16. S.S.Rathod S.Dasgupt,Ashok Saxena , “Investigation of Stack
technologies till 22nm.So we can implement the as a Low Power Design Technique for 6-T SRAM cell. Proc.IEEE
these techniques in context of present and future TENCON, Nov.18-21, Univ.of Hyderabad, 2008,pp 1-5.
technologies and can enhance the stability and reduce 17. Hamzaoglu, F.; Zhang, K.; Yih Wang; Ahn, H.J.;
the leakage current. Bhattacharya, U.; Zhanping Chen; Yong-Gee Ng; Pavlov, A.;
Smits, K.; Bohr, M , “A 3.8 GHz 153 Mb SRAM Design With
REFERENCES Dynamic Stability Enhancement and Leakage Reduction in 45 nm
High-k Metal Gate CMOS Technology” , Solid-State Circuits,
IEEE Journal ,vol. 44 , no. 1, 2009, pp.148 – 154.
1. A. Agarawal, H. Li, and K. Roy, “DRG-cache: A data retention 18.Yen Chen,Gary Chen et al., “A 0.6V dual rail compiler SRAM
gated-ground cache for low power,” in Proc. Design Automation design on 45nm CMOS technology with Adaptive SRAM power
Conf., 2002,pp. 473–478. for lower Vdd_min VLSIs, Solid-State Circuits, IEEE Journal ,vol.
2. H. Yamauchi et al., “A 0.8 V/100 MHz/sub-5 mW-operated 44 ,April.2009, Issue 4 , pp.1209-1214.
mega-bit SRAM cell architecture with charge-recycle offset-source

166
Canadian Journal on Electrical & Electronics Engineering Vol. 1, No. 7, December 2010

19. Hiroki Noguchi et al., “Which is the best dual port SRAM in Leakage Reduction”,IEEE Proc. of Low Power Electronics and
45nm process technology? 8T, 10T single end and 10T Design, 195-200, 2001.
differential” Renesas Technology corporation, 2008.
20. Koichi Takeda et al, “A Read Static Noise Margin Free SRAM BIOGRAPHIES
cell for Low Vdd and High Speed Applications”, Solid-State
Circuits, IEEE Journal vol. 41, Jan.2006, Issue 1, pp.113-121.
Shilpi Birla, a Ph.D. Scholar at the UK Technical University,
21. Aly, R.E. Bayoumi, M.A., “Low-Power Cache Design Using
Dehradun (Uttarakhand) India. She is an Asst. Professor in the
7T SRAM Cell” Circuits and Systems II: Express Briefs, IEEE
Department of Electronics & Communication Engineering, Sir
Transactions, vol. 54 April 2007, Issue: 4, pp. 318-322
Padampat Singhania University, Udaipur (Rajasthan) India. She
22. Benton H. Calhoun Anantha P. Chandrakasan “Static Noise
has received her M.Tech. (VLSI Design) and B.E. (Electronics &
Margin Variation for Sub-threshold SRAM in 65 nm CMOS”,
Communication Engineering) Degrees from the University of
Solid-State Circuits, IEEE Journal vol. 41, Jan.2006, Issue 7,
Rajasthan, Jaipur (Rajasthan) India and MITS University,
pp.1673-1679.
Laxmangarh, (Rajasthan) India, respectively. Her main research
23. Kushida k.,Suzuki A.etal, “A 0.7 V Single-Supply SRAM With
interests are in Low-Power VLSI Design and its Multimedia
0.495 µm2 in 65 nm Technology Utilizing Self-Write-Back Sense
Applications, RF-SiP, and Low-Power CMOS Circuit Design.
Amplifier and Cascaded Bit Line Scheme, , Solid-State Circuits,
IEEE Journal ,vol. 44 , no. 4, April.2009, pp.1192-1198.
24.Rajshekhar Keerthi,Henry Chen, “Stability and Static Noise Neeraj Kr. Shukla (IEEE, IACSIT,IAENG, IETE, IE, CSI,
margin analysis of low power SRAM”IEEE International ISTE), a Ph.D. Scholar at the UK Technical University, Dehradun
Instrumentation & Measurement Technology Conference, Victoria (Uttarakhand) India.He is an Asst. Professor in the Department of
Canada, May 2008,pp-1541-1544. Electrical, Electronics & Communication Engineering, ITM
25. Farshad Moradi etal. “65nm Sub threshold 11 T SRAM for University, Gurgaon, (Haryana) India. He has received his
ultra low voltage Application, IEEE xplore, 2008, pp-113-117. M.Tech. (Electronics Engineering) and B.Tech. (Electronics &
26. Yeonbae Chung ,Seung-Ho Song , “Implementation of low- Telecommunication Engineering) Degrees from the J.K. Institute
voltage static RAM with enhanced data stability and circuit speed”, of Applied Physics & Technology, University of Allahabad,
Microelectronics Journal vol. 40, Issue 6, June 2009, pp. 944-951. Allahabad (Uttar Pradesh) India in the year of 1998 and 2000,
27. Peter Geens ,WIm Dehaene, “A dual port dual width 90nm respectively. His main research interests are in Low-Power Digital
SRAM with guaranteed data retention at minimal standby supply VLSI Design and its Multimedia Applications, Open Source EDA,
voltage”, 34th European Solid-State Circuits Conference, 2008. and RTL Design.
ESSCIRC 2008.pp-290-293.
28. Naveen verma, Anantha P. Chandrakasan ,”A reconfigurable R.K. Singh (IAENG, ACEEE, IE, ISTE), Professor in the
65nm SRAM achieving voltage scalability from 0.25 v-1.2V & Department of Electronics & Communication Engineering, VCT-
performance scalability from 20Khz-200Mhz, 34th European Kumaon Engineering College, Dwarahat, Almora (UK) India. He
Solid-State Circuits Conference, 2008. ESSCIRC 2008, pp-282- is being honored with the Ph.D. in Electronics Engineering in the
285. Year 2003 from the University of Allahabad, Allahabad (Uttar
29. Naveen verma, Anantha P. Chandrakasan, “A 256kb 65nm 8T Pradesh), India. He has received his M.E. (Electronics & Control
Sub-threshold SRAM Employing Sense-Amplifier Redundancy”, Engineering) in 1992 from BITS, Pilani and B.E. (Electronics &
Solid-State Circuits, IEEE Journal, vol. 43, no. 1, Jan 2008, Communication Engineering) in 1990 from Marathawada
pp.141-150. University, India. He has authored several text-books in the field of
30. Chang, L. Montoye, R.K. Nakamura, Y.Batson, VLSI Design, Basic Electronics, and Opto-Electronics. He has
K.A.Eickemeyer, R.J.Dennard, R.H. Haensch, W.Jamsek, D, “An worked at various capacities as, the Principle, Kumaon
8T-SRAM for Variability Tolerance and Low-Voltage Operation Engineering College, Dwarahat in the year 2003-04, Director (O),
in High-Performance Caches”, Solid-State Circuits, IEEE Journal Directorate of Technical Education, Uttaranchal in the year 2005,
vol. 43, April 2008, Issue 4, pp-956-963. and Joint Director, State Project Facilitation Unit, Dehradun for the
31. Jawar Singh,Dhiraj K.Pradhan et al, ” A single ended 6T World Bank TEQIP Project. He is also the recipient of couple of
SRAM cell design for ultra low voltage applications”,IEICE prestigious awards, e.g., Rastriya Samman Puruskar, Jewel of India
Electronic Express,2008,pp-750-755. Award, Rastriya Ekta Award, Life Time Achievement Award, and
32.Sinangil M., Naveen Verma, A.P.Chandrakasan , “A Arch of Excellence Award. His current areas of interest are VLSI
Reconfigurable 8T Ultra –Dynamic Voltage Scalable( U-DVS ) Design, Opto-Electronics and its applications.
SRAM in 65nm CMOS” , Solid-State Circuits, IEEE Journal ,vol.
44 , no. 11, Nov.2009, pp.3163-3173. Manisha Pattanaik (WSEAS, IE, ISTE) has been honored the
33.Benton H. Calhoun Anantha P. Chandrakasan “A 256-kb 65- Ph.D. from Indian Institute of Technology (IIT) Kharagpur, (West
nm Sub-threshold SRAM Design for Ultra-Low-Voltage Bengal) India in the field of VLSI Design from the Department of
Operation”, Solid-State Circuits, IEEE Journal vol. 42, March Electronics and Electrical Communication Engineering in the year
2007, Issue 3 , pp.680-688. 2004. Currently she is an Assistant Professor (VLSI Group) at
34. Sherif A.Tawfik, Volkan Kursun, “Stability Enhancement ABV-India Institute of Information Technology & Management
Techniques for Nanoscale SRAM ciruits, International SOC design (ABV-IIITM), Gwalior, (Madhya Pradesh), India. She has been
Conefrence, 2008, pp 113-116. awarded various scholarships, e.g., National Scholarships, Merit
35. Behnam Amelifard et al. “Reducing the Sub threshold and gate Scholarships and MHRD Fellowships. She shared the
tunneling leakage of SRAM cells using dual Vt and Dual Tox responsibility in the capacity of referee for IEEE International
assignment”, Fujitsu labs of America,2008. Conferences on VLSI Design for two consecutive years, 2003-04.
36.Zhiyu Liu, Volkan Kursun, “ Characterization of a novel Nine Her areas of interest are Leakage Power Reduction of Nano-Scale
Transistor SRAM cell,IEEE Transactions on Very Large Scale CMOS Circuits, Characterization of Logic Circuit Techniques for
Integration Systems,vol.46, Issue 4,April 2008.pp-488-492. Low-Power/Low-Voltage and High performance analog & digital
37. Narendra, S.Borkar, V. De, D.Antoniadis and VLSI applications and CAD of VLSI Design.
A.Chandrakasan,“Scaling of Stack Effect and its Application for

167

Вам также может понравиться