Вы находитесь на странице: 1из 36

1

7/15/2000 Update Size : 12"*9.6"


Title Page
Layer:4
Cover Sheet 1 Stack:Component/Gnd/Power/Solder
Version 100
Block Diagram 2
Clock Synthesizer 3
5 mils trace impenence 60 Ohms
462PGA Socket 4,5
MS-6347 Micro-ATX
Dielectric ~ 4.1
KT133(VT8363)---North Bridge 6,7,8 Prepreq ~ 4.7 mils
System Memory 9,10
CPU:
6 mils -- 55.74 Ohm
7 mils -- 51.64 Ohm
AGPPRO 4X SLOT 11
AMD Socket-462 Processor 8
9
mils
mils
--
--
48.14
45.11
Ohm
Ohm
PCI Connectors 12,13,14 10 mils -- 42.46 Ohm
11 mils -- 40.12 Ohm
686A/B------------South Bridge 15,16 12 mils -- 38.03 Ohm

A System Chipset: ATA33/66/100 Connectors 17


25 mils -- 22.90 Ohm
A

VIA KT133(North) USB & KB & MS 18 STANDARD VIA


+ 686A (South) Parallel / Serial Port 19
drill 20 mils, finished 16 mils
All drill hole are with 13 mils clearance
BIOS & FAN 20 in Power & GND Layer only
Expansion: Front Panel 21
AGP-pro SLOT* 1 Linear Regulator & STR(OPT) 22
PCI2.2 SLOT* 6 Power Good Circuit 23
CNR SLOT * SC1155 CPU Power 24
1(shared) Bypass Capacitors 25
ACPI & CNR Riser 26

On Board: AC'97 Codec 27


Audio/Game Port 28
AC97 Codec
Ti 1394 29,30
SCSI AIC-7892+AIC_3860
7892 SCSI 31,32,33
1394
TSB12LV26+TSB41LV02
ECN 34
Option-C SCSI+1394
Option-A SCSI

MICRO-STAR
P01-6347100
Title
MS-6347

Size Document Number Rev


COVER SHEET 0A

Date: Thursday, July 27, 2000 Sheet 1 of 35


1
5 4 3 2 1

D D

z10001
74f00

1
2

C C

B B

A A

Title
{Title}

Size Document Number Rev


A {Doc} {RevCode}

Date: Thursday, July 27, 2000 Sheet 1 of 1


5 4 3 2 1
1

Block Diagram

VRM 9.0 K7 462-Pin Socket Processor Clock

STR is optional

ADDR(In -Out)

PWR-MNG

DATA

INT & PWR-MNG


Clock
Buffer

16
Clock
Buffer

DIMM4

AGP 2X/4X KZ133 3 DIMM


Modules Share
with
(8363) PC-133
DIMM3

PCI CNTRL

PCI ADDR/DATA

PCI Conn 1

PCI Conn 2

PCI Conn 3

PCI Conn 4

PCI Conn 5

PCI Conn 6
UltraDMA
A

IDE Primary 33/66/100 PCI 2.2 A

IDE Secondary
686A
USB Port 1 USB

USB Port 2
PCI CNTRL
USB Port 3
Hardware
USB Port 4 PCI ADDR/DATA SCSI 1394
ISA Bus

Audio
AC'97 L ink

Onboard
AC'97 Codec

HomePNA/Ethernet BIOS
interface
CNR

Keyboard Floopy Parallel Serial Game Conn

Mouse

MICRO-STAR

Title
MS-6347

Size Document Number Rev


Block Diagram 0A

Date: Thursday, July 27, 2000 Sheet 2 of 35


1
A B C D E

VCC3

VCC3
* 25 mils Trace on Layer 4 CPUCLK C103 X_10P
MODE:1--pin2=REF0 (Default)
with GND copper around it 0--pin2=CPU_STP# R101 CPUCLK# C102 X_10P
10K

FB11 VCCCLK
* put close to every power pin
1
U10
7 7 8 PCLKSB (Trace/Space) HCLK C110 X_10P
VDDQ3 PCI0/MODE
6/
YUHBT-601S-B 6 8 FS1 5 6 PCLKNB PCLKSB 15
C105 C127 C133 C134 C135 C136 C114 C113 C111 VDDQ3 PCI1/FS1 PCLKSC PCLKNB 7 PCLKREF C142 10P
14 10 3 4

5V
VDDQ3 PCI2

100U/2
19 11 1 2 PCLKTI PCLKSC 31 1"

EC14
104P 105P-C 103P 104P 103P 103P 104P 103P 104P VDDQ3 PCI3 RN32 8P4R-22 PCLKTI 29
27 12 tolerance
VDDQ3 PCI4 R98 22 PCLKREF
30 13
Rubycon VDDQ3 PCI5
36
VDDQ3 USBCLK C104 10P
4 4
42 15
VDDQ2 SDRAMIN SIOOSC C140 10P
40
for good filtering from 10K~1M C112 SDRAM_12
3
GND
9
103P GND
16
GND SDRAM0
38 180mA t(rise):USB<4ns
*Put GND copper under Clock Gen. 22
GND SDRAM1
37 t(rise):CPU<0.9ns,Jitter<250ps CN10
connect to every GND pin
33
GND SDRAM2
35 Stable<3ms t(rise):PCI<2ns, 0.4V~2.4V
VCC3 39 34 PCLKSB 7 8
GND SDRAM3 PCLKNB
45 32 5 6
GND SDRAM4 PCLKSC
47 31 3 4
R81 GND SDRAM5 PCLKTI
R5: might be removed SDRAM6
29 1 2
28 VCC3
Watch Dog 10K SDRAM7 8P4C-10P
21
FP_RST# R80 X_0 SDRAM8
41 20
21 FP_RST# PWRDWN SDRAM9 R307
18 CN14
SMBDATA SDRAM10 DCLK12
23 17 1 2
SDATA SDRAM11
5/8
9,10,15,16,26 SMBDATA SMBCLK 24 X_100 DCLK13 3 4
9,10,15,16,26 SMBCLK SCLK DCLK14 5 6
USBCLK R82 22 FS2 26 46 R77 22 HCLK DCLK15 7 8
16 USBCLK 48M/FS2 CPU_CS HCLK 6
5/15
FS3 25
FS0 24_48M/FS3 R78 10 CPUCLK# X_8P4C-10P
48 44 CPUCLK# 4
SIOOSC R97 22 REF1/FS0 CPUC0 R79 10 CPUCLK DCLK8
2
REF0/CPUSTP CPUT0
43 CPUCLK 4 50 mils 1 2
16 SIOOSC DCLK9 3 4
4 5
tolerance DCLK10 5 6
RN27 X1 X2 DCLK11 7 8
FS2 8 7 VCC3 I11-0005802-I02 Place HCLK pull-up close to VT8365
FS1 6 5 CN12 X_8P4C-10P
FS3 4 3 VCC3 1 2 Range from
FS0 2 1 VCC3 X1 YCRY14.318H16P DCLK4 1 2
3

C128 C126 0.8V to It must change to push-pull DCLK5 3 4


3

1.5V DCLK6 5 6
8P4R-10K X_10P X_10P DCLK7 7 8

CN11 X_8P4C-10P

DCLK0 1 2
* 25 mils Trace on Layer 4 DCLK1
DCLK2
3 4
5 6
VCC3 with GND copper DCLK3 7 8
* put close to every power pin around it
FB19 VBFD3 CN13 X_8P4C-10P
YUHBT-601S-B
C172 C184 C185 C175 C174 C173 C193 C190 C189 C186 DCLKI C188 X_10P

104P 106P-B 105P-C 103P 104P 103P 103P 104P 103P 103P
12
16
20
29
33
37
42
46
23
U11
3
7

RN40 8P4R-22 CN16


Rubycon 1 4 DCLKR0 7 8 PCLK0 7 8
VDDIIC
VDD0
VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
NC VDD9 SDRAM0 DCLK15 10
6/12
2 5 DCLKR1 5 6 PCLK1 5 6
NC SDRAM1 DCLK14 10
47 8 DCLKR2 3 4 PCLK2 3 4
C176 C187 C191 C194 C461 NC SDRAM2 DCLK13 10
48 9 DCLKR3 1 2 DCLKI = PCLK3 1 2
NC SDRAM3 DCLK12 10
13 DCLKR4 7 8
104P 104P 103P 104P X_104P SDRAM4
14 DCLKR5 RN37 5 6
DCLK11 10 DCLK[0:15] +3" 8P4C-10P
SDRAM5 DCLK10 10
17 DCLKR6 8P4R-22 3 4 100 mils
SDRAM6 DCLK9 10 CN15
DCLKO 11 18 DCLKR7 1 2
8 DCLKO BUF_IN SDRAM7
31 DCLKR8 7 8
DCLK8 10 tolerance PCLK4 7 8
SDRAM8 DCLK7 9
32 DCLKR9 RN36 5 6 PCLK5 5 6
SDRAM9 DCLK6 9
SMBDATA 24 35 DCLKR10 8P4R-22 3 4 PCLK6 3 4
SDATA SDRAM10 DCLK5 9
2
SMBCLK 25 36 DCLKR11 1 2 W40s01-04 PCLK7 1 2
2

SCLK SDRAM11 DCLK4 9


40 DCLKR12 7 8 Vref=1.5V
SDRAM12 DCLK3 9
41 DCLKR13 RN39 5 6 t(rise):1.5ns~4ns, 0.4~2.4V 8P4C-10P
SDRAM13 DCLK2 9
44 DCLKR14 8P4R-22 3 4 Ztyp=15ohm
SDRAM14 DCLK1 9
45 DCLKR15 1 2 r
SDRAM15 DCLK0 9
21 DCLKIR R125 22
SDRAM16 28
DCLKI 8 EMI issue
VSSIIC

SDRAM17
VSS0
VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9

38 VBFD3
OE#
10
15
19
22
27
30
34
39
43
26
6

I12-0001502-I02
U12
ICS9112B-17 RN43
PCLKREF 1 2 PCLKR0 7 8 PCLK0
REF CLKA1 PCLK0 12
6/12
3 PCLKR1 5 6 PCLK1
CLKA2 PCLK1 12
R130 10K 8 6 PCLKR2 3 4 PCLK2
VCC3 FS2 CLKB1 PCLK2 13
R129 10K 9 7 PCLKR3 1 2 PCLK3
FS1 CLKB2 PCLK3 13
VCC3 8P4R-33
Frequency table for IMIC9707 Frequency table for ICS9248-114 4
VDD1 CLKB3
10 PCLKR4 RN42 7 8 PCLK4
PCLK4 14
CPU PCI CPU/PCI CPU PCI CPU/PCI FB22 VBFP3 13 11 PCLKR5 5 6 PCLK5
FS4 FS3 FS2 FS1 FS4 FS3 FS2 FS1 YUHBT-301S VDD2 CLKB4 PCLK5 14
14 PCLKR6 3 4 PCLK6
CLKA3 PCLK6 13
C208 C206 C204 C203 15 PCLKR7 1 2 PCLK7
1 1 1 1 133.3 33.3 4 1 1 1 1 133.3 33.3 4 CLKA4 PCLK7 29
1 1 1 0 75 37.5 2 1 1 1 0 105 35 3 104P 475P 104P 103P 5 8P4R-33
Default 1 1 0 1 100.2 33.3 3 1 1 0 1 100 33.3 3 GND
12 16 CLKO R134 22 CLKOR
1 1 0 0 66.8 33.4 2 1 1 0 0 66.8 33.4 2 GND CLKOUT C199
1
3V,65mA,24ohm 1

1 0 1 1 79 39.5 2 1 0 1 1 124 31 4 I12-0001403-I02 22P


1 0 1 0 110 36.7 3 1 0 1 0 110 36.7 3
1 0 0 1 115 38.3 3 1 0 0 1 133.3 44.4 3 Impedence ICS9112B-17 IMI9308-V12
1 0 0 0 120 30 4 1 0 0 0 83.3 41.6 2 Vref=1.4V
24mA<Isupply<65mA MICRO-STAR
0 1 1 1 133.3 33.3 4 0 1 1 1 150 37.5 4 t(rise):1.2~1.5ns
0 1 1 0 83 27.7 3 0 1 1 0 115 38.3 3 13.5~45 10ohm<Zo<24ohm
0 1 0 1 100.2 33.3 3 0 1 0 1 112 37.3 3 Jitter<200ps Title
0 1 0 0 66.8 33.4 2 0 1 0 0 75 37.5 2 PLL lock time :1ms
pci CLOCK SYNTHESIZER
0 0 1 1 124 31 4 0 0 1 1 140 35 4 Size Document Number Rev
0 0 1 0 129 32.3 4 0 0 1 0 120 40 3 0A
0 0 0 1 138 34.5 4 0 0 0 1 103 34.3 3 MS-6347
0 0 0 0 143 35.8 4 0 0 0 0 124 41.3 3 Date: Thursday, July 27, 2000 Sheet 3 of 35
A B C D E
A B C D E

CPU1A

SDATA#0 AA35 AE1 A20M# A20M# 15


6 SDATA#[0:63] SDATA#1 SDATA0 A20M FERR
W37 AG1
SDATA#2 SDATA1 FERR CPUINIT#
W35 AJ3 **All CPU interface are 2.5V tolerant**
SDATA#3 SDATA2 INIT INTR CPUINIT# 15 VCORE VCC3
Y35 AL1
SDATA#4 SDATA3 INTR IGNNE# INTR 15
U35 AJ1
4
SDATA#5 SDATA4 IGNNE NMI IGNNE# 15 4
U33 AN3
SDATA#6 SDATA5 NMI CPURST# NMI 15 R8 R13
S37 AG3
SDATA#7 SDATA6 RESET SMI# CPURST# 15 680 4.7K
S33 AN5
SDATA#8 AA33 SDATA7 SMI AC1 STPCLK# SMI# 15 VCORE
SDATA#9 SDATA8 STPCLK STPCLK# 15 FERR#
AE37
SDATA#10 SDATA9 FERR# 15
AC33 AE3 CPU_PG 21
SDATA#11 SDATA10 PWROK
AC37 C

1
3
5
7
SDATA#12 SDATA11 FERR R43 R41
Y37 B
SDATA#13 AA37 SDATA12 N1 APICCLK E RN6
SDATA#14 SDATA13 PICCLK APICD0 Q6
AC35 N3
SDATA#15 S35
SDATA14
SDATA15
PICD0/BYPASSCLK
PICD1/BYPASSCLK
N5 APICD1 2N3904S 510 HDT Header 8P4R-470 510
SDATA#16

2
4
6
8
Q37
SDATA#17 SDATA16 COREFB- TCK
Q35 AG13 COREFB- 25
SDATA#18 SDATA17 COREFB- COREFB+ SCANCLK2 TDI
N37 AG11
SDATA#19 SDATA18 COREFB+ COREFB+ 25 SCANCLK1 SSHIFTEN
J33
SDATA#20 SDATA19 CPUCLK_R for test only SINTVAL TMS
G33 AN17
SDATA#21 SDATA20 CLKIN CPUCLK#_R VCC3 TRST#
G37 AL17
SDATA#22 SDATA21 CLKIN
E37
SDATA#23 G35 SDATA22 AN19 RN3 DBREQ#
SDATA#24 SDATA23 RSTCLK APICCLK
Pull to 2.5V PLLTEST#
Q33 AL19 7 8
SDATA#25 SDATA24 RSTCLK APICD0
N33 5 6
SDATA#26 SDATA25 CLKOUT APICD1
L33 AL21 3 4
SDATA#27 SDATA26 K7CLKOUT CLKOUT#
N35 AN21 1 2
SDATA#28 L37 SDATA27 K7CLKOUT

1
3
5
7
SDATA#29 SDATA28
J37
SDATA#30 SDATA29 8P4R-330 RN7
A37 AJ13

7
5
3
1
SDATA#31 SDATA30 ANALOG
E35
SDATA#32 SDATA31 VREFMODE RN8 8P4R-270
E31 AA5
SDATA#33 SDATA32 SYSVREFMODE

2
4
6
8
3 E29 W5 VREF_SYS VCORE 3
SDATA#34 SDATA33 VREF_SYS 8P4R-1K
A27
SDATA34

8
6
4
2
SDATA#35 A25 AC5 ZN
SDATA#36 SDATA35 ZN ZP VCORE 0.6 * VCORE R48
E21 AE5
SDATA#37 SDATA36 ZP RN15 100RST
C23
SDATA#38 C27 SDATA37 AJ25 PLLBP# 8 7 VREF_SYS
SDATA#39 SDATA38 PLLBYPASS
A23 AN15 6 5
SDATA#40 SDATA39 PLLBYPASSCLK C35 C34 R47
A35 AL15 4 3
SDATA#41 SDATA40 PLLBYPASSCLK 100RST
C35 2 1
SDATA#42 SDATA41 PLLMON1 103P 104P
C33 AN13
SDATA#43 SDATA42 PLLMON1 PLLMON2
C31 AL13
SDATA#44 SDATA43 PLLMON2 PLLTEST# 8P4R-100 VCORE
A29 AC3 3 CPUCLK
SDATA#45 SDATA44 PLLTEST
C29
SDATA#46 SDATA45
E23
SDATA#47 SDATA46 SCANCLK1 CPUCLK_R C53 R49 60.4RST
C25 S1
SDATA#48 SDATA47 SCANCLK1 SCANCLK2 VCORE
E17 S5
SDATA#49 SDATA48 SCANCLK2 SINTVAL 104P R45
E13 S3
SDATA#50 SDATA49 SCANINTEVAL SSHIFTEN 301RST
E11 Q5
SDATA#51 SDATA50 SCANSHIFTEN CPUCLK#_R C49 R44 60.4RST R42 for internal VREFSYS
C15
SDATA#52 SDATA51 X_1K
E9 AA1
SDATA#53 A13 SDATA52 DBRDY
AA3 DBREQ# 104P VREFMODE
SDATA#54 SDATA53 DBREQ FLUSH#
Near socket-A
C9 AL3 3 CPUCLK#
SDATA#55 SDATA54 FLUSH R46
A9
SDATA#56 SDATA55 TCK 270
C21 Q1
SDATA#57 SDATA56 TCK TDI
A21 U1
SDATA#58 E19 SDATA57 TDI U5
SDATA#59 SDATA58 TDO TMS
C19 Q3
SDATA#60 SDATA59 TMS TRST#
SDATA#61
C17
SDATA60 TRST
U3 VREFMODE=Low=No voltage scaling
A11
2
SDATA#62 SDATA61 VCORE 2
A17
SDATA#63 A15 SDATA62 L1 VID0 RN10
SDATA63 VID0 VID1 VID0 25
L3 STPCLK# 7 8
VID1 VID1 25
L5 VID2 A20M# 5 6
VID2 VID2 25
DICLK#0 W33 L7 VID3 CPURST# 3 4 VCORE
DICLK#1 SDATAINCLK0 VID3 VID3 25
6 DICLK#[0:3] J35 J7 VID4 IGNNE# 1 2
DICLK#2 SDATAINCLK1 VID4 VID4 25
E27 ZN R40 40.2
DICLK#3 SDATAINCLK2
E15
SDATAINCLK3 FID0 8P4R-680 ZP R39 40.2
W1
DIVAL# FID0 FID1 FID0 5 RN13
AN33 W3
6 DIVAL# SDATAINVAL FID1 FID2 FID1 5 SMI#
Y1 1 2
DOCLK#0 AE35 FID2 Y3 FID3 FID2 5 NMI 3 4 match the transmission line
6 DOCLK#[0:3] DOCLK#1 SDATAOUTCLK0 FID3 FID3 5 INTR
DOCLK#2
C37
SDATAOUTCLK1
5 6 Push-pull compensation circuit
A33 CPUINIT# 7 8
DOCLK#3 SDATAOUTCLK2
C11 U37
SDATAOUTCLK3 SCHECK0 8P4R-680
Y33
DOVAL# AL31 SCHECK1 L35
SDTATOUTVAL SCHECK2 PLLMON1 R38 56.2
E33
AIN#0 SCHECK3 PLLMON2 R34 56.2
AJ29 E25
AIN#1 SADDIN0 SCHECK4 VCORE
AL29 A31
AIN#2 SADDIN1 SCHECK5 RN17
AG33 C13
6 AIN#[2:14] AIN#3 SADDIN2 SCHECK6
AJ37 A19 8 7
AIN#4 SADDIN3 SCHECK7 RN20 CLKOUT
AL35 6 5
AIN#5 SADDIN4 FLUSH# CLKOUT#
AE33 J1 7 8 4 3
AIN#6 SADDIN5 SADDOUT0 PLLBP#
AJ35 J3 5 6 2 1
AIN#7 SADDIN6 SADDOUT1 AOUT#2 AIN#0
AG37 C7 3 4
AIN#8 SADDIN7 SADDOUT2 AOUT#3 AOUT#[2:14] 6 AIN#1
AL33 A7 1 2
AIN#9 SADDIN8 SADDOUT3 AOUT#4 8P4R-100
AN37 E5
AIN#10 SADDIN9 SADDOUT4 AOUT#5
1 AL37
SADDIN10 SADDOUT5
A5 * Trace lengths of CLKOUT 1

AIN#11 AG35 E7 AOUT#6 8P4R-270


AIN#12 AN29
SADDIN11 SADDOUT6
C1 AOUT#7 and -CLKOUT are between
SADDIN12 SADDOUT7
AIN#13 AN35
SADDIN13 SADDOUT8
C5 AOUT#8 2" and 3"
AIN#14 AN31 C3 AOUT#9
SADDIN14 SADDOUT9 AOUT#10
G1
SADDOUT10 AOUT#11
AJ33 E1
6 AICLK# SADDINCLK SADDOUT11 AOUT#12 DOVAL# R61 270
A3
CFWDRST SADDOUT12 AOUT#13 Title
6 CFWDRST AJ21 G5
CONNECT CLKFWDRST SADDOUT13 AOUT#14 FILVAL# R62 270 MS-6347
6 CONNECT AL23 G3
PROCRDY CONNECT SADDOUT14
6 PROCDRY AN23
FILVAL# PROCRDY Size Document Number Rev
AJ31 E3
SFILLVAL SADDOUTCLK AOCLK# 6 K7-Socket-462 0A
YSKT462P-I
Date: Thursday, July 27, 2000 Sheet 4 of 35
A B C D E
1
2
3
4

Y7
N7
G9
G17
G25
K8
K30
H8
H6
H32
H30
H28
H10
F8
F30

AG29
AG15
AH30
AF32
AF30
AF28
AF10
AD30

AG7
AN7
AL7
AJ7
AM8
AL9
AK8
AJ9
AH8
AF8
AF6
AD8
H14 H12
VSS1 VCC_CORE1
H18 VSS2 VCC_CORE2 H16

KEY8
KEY6
KEY4
H22 H20

KEY18
KEY16
KEY14
KEY12
KEY10
VSS3 VCC_CORE3
H26 VSS4 VCC_CORE4 H24
M30 VSS5 VCC_CORE5 M8

VCC_SRAM9
VCC_SRAM8
VCC_SRAM7
VCC_SRAM6
VCC_SRAM5
VCC_SRAM4
VCC_SRAM3
VCC_SRAM2
VCC_SRAM1
P30

A
A

VCC_SRAM31
VCC_SRAM30
VCC_SRAM29
VCC_SRAM28
VCC_SRAM27
VCC_SRAM26
VCC_SRAM25
VCC_SRAM24
VCC_SRAM23
VCC_SRAM22
VCC_SRAM21
VCC_SRAM20
VCC_SRAM19
VCC_SRAM17
VCC_SRAM16
VCC_SRAM14
VCC_SRAM13
VCC_SRAM11
P8 VSS6 VCC_CORE6
R30 VSS7 VCC_CORE7 R8
T30
VCCA_PU

2
4
6
8

T8 VSS8 VCC_CORE8
V30 V8

2
4
6
8
VSS9 VCC_CORE9
X8 VSS10 VCC_CORE10 X30
RN5

Z30 VSS11 VCC_CORE11 Z8

RN2
AB8 VSS12 VCC_CORE12 AB30 8P4R-680
AF12 AF14
VSS13 VCC_CORE13
AF18
1
3
5
7

8P4R-4.7K
AF16 VSS14 VCC_CORE14
AF20 AF22

1
3
5
7
VSS15 VCC_CORE15
AF24 VSS16 VCC_CORE16 AF26
AM36 AM34
VSS17 VCC_CORE17
AK32VSS18 VCC_CORE18 AK36
AK34
FID3
FID2
FID1
FID0

AK28VSS19 VCC_CORE19
AK24VSS20 VCC_CORE20 AK30 BASS3
BASS2
BASS1
BASS0

AK20 AK26
VSS21 VCC_CORE21
AK16VSS22 VCC_CORE22 AK22
AK12VSS23 VCC_CORE23 AK18
AK4 VSS25 VCC_CORE24 AK14
FID3
FID2
FID1
FID0

AK2 VSS26 VCC_CORE25 AK10


AL5
4
4
4
4

AH36VSS27 VCC_CORE26
AM32VSS28 VCC_CORE27 AH26
AH34VSS29 VCC_CORE28 AM30
AH32 AH22
VSS30 VCC_CORE29
AH28VSS31 VCC_CORE30 AH18
AH24 AH14
VSS32 VCC_CORE31
FID1
FID0

AH20VSS33 VCC_CORE32 AH10


BASS1
BASS0

AH16VSS34 VCC_CORE33 AH4


AH12VSS35 VCC_CORE34 AH2
AF4 AF36
VSS37 VCC_CORE35
AF34
E
E

AF2 VSS38 VCC_CORE36

B
B

AD36VSS39 VCC_CORE37 AD6


AM26 B B
Q2
Q3

AD34VSS40 VCC_CORE38
AD32 AD4
VSS41 VCC_CORE39
AD2
C
C

AB6 VSS42 VCC_CORE40


2N3904S
2N3904S

AB4 VSS43 VCC_CORE41 AB36


AB2 VSS44 VCC_CORE42 AB34
Z36 VSS45 VCC_CORE43 AB32
Z34 VSS46 Z6
VCC_CORE44
Z32 VSS47 Z4
VCC_CORE45
R_FID1
R_FID0

X6 VSS48 Z2
VCC_CORE46
AM28VSS49 VCC_CORE47 X36
X4 VSS50 VCC_CORE48 X34
X2 VSS51 AM22
VCC_CORE49
V36 X32
VCORE

VSS52 VCC_CORE50
V34 VSS53 V6
VCC_CORE51
V32 VSS54 V4
VCC_CORE52
T6 VSS55 V2
VCC_CORE53
T36
R_FID1 8

T4 VSS56
VCC_CORE54
R_FID0 8

T2 VSS57 VCC_CORE55 T34


R36 VSS58 VCC_CORE56 T32
R34 VSS59 VCC_CORE57 R6
AM24VSS60 VCC_CORE58 R4
R32 VSS61 R2
VCC_CORE59
FID3
FID2

P6 VSS62 AM18
VCC_CORE60
BASS3
BASS2

P4 VSS63 VCC_CORE61 P36


P2 VSS64 VCC_CORE62 P34
M36 VSS65 P32
VCC_CORE63
M4
E
E

M34 VSS66
VCC_CORE64
M32 VSS67 VCC_CORE65 M6
M2 B B
Q7
Q1

K6 VSS68 VCC_CORE66
K36

C
C

K4 VSS69 VCC_CORE67
K34
C
C

K2 VSS70 VCC_CORE68
2N3904S
2N3904S

AM20VSS71 K32
VCC_CORE69
H36 VSS72 H4
VCC_CORE70
H34 VSS73 VCC_CORE71 H2
F26 VSS74 VCC_CORE72 AM14
F22 VSS75 F36
VCC_CORE73
R_FID3
R_FID2

F18 F34
VSS76 VCC_CORE74
F14 VSS77 F32
VCC_CORE75
F10 VSS78 F28
VCC_CORE76
F6 VSS79 F24
VCC_CORE77
F4 VSS80 F20
VCC_CORE78
F2 VSS81 VCC_CORE79 F16
AM16VSS82 VCC_CORE80 F12
D36 VSS83 VCC_CORE81 D32
D28
R_FID3 8
R_FID2 8

D34 VSS84 VCC_CORE82


D30 VSS85 AM10
VCC_CORE83
D26 VSS86 D24
VCC_CORE84
D22 VSS87 VCC_CORE85 D20
D18 VSS88 VCC_CORE86 D16
D14 VSS89 D12
VCC_CORE87
D10 VSS90 D8
VCC_CORE88
D6 VSS91 VCC_CORE89 D4
VCC

B34 VSS92 VCC_CORE90 D2


AM12VSS93 VCC_CORE91 B36
C57

104P

B30 VSS94 VCC_CORE92 B32


Max 150mA,

B26 VSS95 AM2


VCC_CORE93
R52
R55
R51

B22 VSS96 B28


VCC_CORE94
for 1.5G CPU
Design 110mA

B18 B24
VSS97 VCC_CORE95
B14 B20
VSS98 VCC_CORE96
YREG431S

B10 B16
VSS99 VCC_CORE97

D
D

VR1

B12
68/0805
68/0805
68/0805

B6 VSS100 VCC_CORE98
B2 VSS101 VCC_CORE99 B8 C E
AM4 VSS102 VCC_CORE100 B4
AJ5
B

AK6 VSS103 VCC_CORE101


AM6 VSS104

AC7
0

VCC_Z
Space

AE7
X_0

VSS_Z
R60
R58

AJ23
BP3_CUT
BP2_CUT
BP1_CUT
BP0_CUT
NC45
NC44
NC43
NC42
NC37
NC36
NC35
NC34
NC33
NC32
NC31
NC30
NC29
NC28
NC27
NC25
NC24
NC23
NC22
NC21
NC20
NC19
NC18
NC17
NC16
NC15
NC13
NC12
NC11
NC10
NC9
NC8
NC7
NC6
NC3
NC2
NC1

VCC_A
VCCA_PLL

C
J5

Size
Title
Y5
S7

U7

W7

Date:
J31

L31

Y31
S31

U31
N31

G19
G21
Q31
G31
G29
G27
G13
G11
AN9
AG5

W31
AJ27
AJ19
AJ17
AJ15
AJ11

AL25
AL27
AL11
AA31

AE31

AN25
AN27
AN11
AC31

AG21
AG19
AG31
AG25
AG23
CPU1B

YSKT462P-I
C67

105P-C

0~100 mA,
* 25 mils Trace/ 12 mils

GATE2
GATE1
DRAIN2
DRAIN1
2.25~2.75V

Document Number
used when spec change

Thursday, July 27, 2000


YUHBT-301S

MICRO-STAR
FB38

E
E

C72

104P

Sheet
PPGA_462 CPU (Power & Ground)

5
VCCA_PLL

of
C384 C383
VCCA_PLL

105P-C 106P-B
2.5V

35
Rev
0A
1
2
3
4
A B C D E

4 4

VCC3 VCORE VCC3

M18
D12

D15

D18
D21
E23
E25
F22

F11

F14

F17

L18
J10
J13
J14
J17
J18

J11
J12
J15
J16
M9
D6

D9
F8
U9A

L9
AIN#2 B6 A9 SDATA#0

AVDD4

VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE
VCC_CORE

VCC25
VCC25
VCC25
VCC25
VCC25
VCC25
VCC25
VCC25
4 AIN#[2:14] AIN#3 SADDIN2 SDATA0 SDATA#1 SDATA#[0:63] 4
A6 E11
AIN#4 SADDIN3 SDATA1 SDATA#2
C6 C10
AIN#5 SADDIN4 SDATA2 SDATA#3
C7 B9
AIN#6 D7 SADDIN5 SDATA3 B11 SDATA#4
AIN#7 SADDIN6 SDATA4 SDATA#5
E8 A11
AIN#8 SADDIN7 SDATA5 SDATA#6
E7 B12
AIN#9 SADDIN8 SDATA6 SDATA#7
A5 A12
AIN#10 SADDIN9 SDATA7 SDATA#8
C5 E10
AIN#11 A7 SADDIN10 SDATA8 B8 SDATA#9
AIN#12 SADDIN11 SDATA9 SDATA#10
B3 E9
AIN#13 SADDIN12 SDATA10 SDATA#11
B5 A8
AIN#14 SADDIN13 SDATA11 SDATA#12
A3 D10
SADDIN14 SDATA12 SDATA#13
C9
AICLK# A4 SDATA13 C8 SDATA#14
3 3
4 AICLK# SADDINCLK SDATA14 SDATA#15
D11
SDATA15 SDATA#16
C12
AOUT#2 SDATA16 SDATA#17
B26 C13
4 AOUT#[2:14] AOUT#3 SADDOUT2 SDATA17 SDATA#18
A26 A13
AOUT#4 C24 SADDOUT3 SDATA18 B14 SDATA#19
AOUT#5 SADDOUT4 SDATA19 SDATA#20
E24 A14
AOUT#6 SADDOUT5 SDATA20 SDATA#21
C25 C14
AOUT#7 SADDOUT6 SDATA21 SDATA#22
E26 A15
AOUT#8 SADDOUT7 SDATA22 SDATA#23
F24
SADDOUT8 SDATA23
C15 S2K_VREF at 50% @ 1.6V VREF = 0.8V
AOUT#9 C26 F13 SDATA#24
AOUT#10 SADDOUT9 SDATA24 SDATA#25
D24 C11
AOUT#11 SADDOUT10 SDATA25 SDATA#26 VCORE
D23 E13
AOUT#12 SADDOUT11 SDATA26 SDATA#27
D26 E12
AOUT#13 SADDOUT12 SDATA27 SDATA#28
F25 D13
AOUT#14 SADDOUT13 SDATA28 SDATA#29 R69
F26 D14
SADDOUT14 SDATA29 SDATA#30 100RST
D16
AOCLK# SDATA30 SDATA#31 S2K_VREF
D25 E14
4 AOCLK# SADDOUTCLK SDATA31 SDATA#32
F15
SDATA32 SDATA#33 C385 C106 C100 C99 R73
D17
DICLK#0 A10 SDATA33 C17 SDATA#34 100RST
4 DICLK#[0:3] DICLK#1 SDATAINCLK0 SDATA34 SDATA#35 104P(DIP) 103P 104P 475P
E15 E17
DICLK#2 SDATAINCLK1 SDATA35 SDATA#36
B18 D20
DICLK#3 SDATAINCLK2 SDATA36 SDATA#37 (R)
A23 D19
SDATAINCLK3 SDATA37 SDATA#38
C19
SDATA38 B20 SDATA#39
DOCLK#0 SDATA39 SDATA#40
D8 A16
4 DOCLK#[0:3] DOCLK#1 SDATAOUTCLK0 SDATA40 SDATA#41
B15 C16
DOCLK#2 SDATAOUTCLK1 SDATA41 SDATA#42
E16 B17
2
DOCLK#3 SDATAOUTCLK2 SDATA42 SDATA#43 2
A25 A17
SDATAOUTCLK3 SDATA43 A18 SDATA#44 VCC3
SDATA44 SDATA#45
C18
SDATA45 SDATA#46
E5 E19
4 CFWDRST CLKFWDRST SDATA46 SDATA#47 R70
A19
SDATA47 SDATA#48 10KRST
D5 E20
4 CONNECT CONNECT SDATA48 A24 SDATA#49 CLK_VREF
SDATA49 SDATA#50
C4 F18 1.15V
4 PROCDRY PROCRDY SDATA50 SDATA#51 C108 C107 C109 R71
E18
HCLK SDATA51 SDATA#52 5.36KST
G22 C22
3 HCLK HCLK SDATA52 SDATA#53 103P 104P 475P
B24
S2K_COMP E22 SDATA53 D22 SDATA#54
S2K_COMP SDATA54 SDATA#55 (R)
C23
SDATA55 SDATA#56
4 DIVAL# E6 A20
SDATAINVAL SDATA56 SDATA#57
C20
SDATA57 SDATA#58
C21
CLK_VREF F23 SDATA58 A21 SDATA#59
CLKVREF SDATA59 SDATA#60
B21
SDATA60 SDATA#61
F10 E21
S2K_VREF S2K_VREF SDATA61 SDATA#62
F20 A22
F21 AVSS4

S2K_VREF SDATA62 SDATA#63


B23
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

SDATA63
H23
TESTIN
M11
M12
M13
M14
M15
M16
R11
R12
R13
R14

N11
N12
N13
B10

B13

B19

B22
B25
B16

P11
P12
F12

F16

F19

T11
T12
T13
T14

L11
L12
L13
L14
L15
L16

82VIA8363
B4
A2
B7
F7
F9

Populate R322 for


1
S2KNCOMP of 1

VT8365

S2K_COMP R63 40.2


MICRO-STAR
(30~40 ohm)
Title
NORTH BRIDGE VT8363 (S2K)
Populate R322 for S2K_COMP
Size Document Number Rev
(S2KNCOMP) of VT8363 C 0A
MS-6347
Date: Thursday, July 27, 2000 Sheet 6 of 35
A B C D E
A B C D E

AVDD2
VCC3 VCC3 VDDQ AVDD3 AVDD1

4 4

AC17

AC11

AC14
AA18

AA10

AA12

AA15

AA17

AA14
VCC3 AVDD1

AC5

AC8
AA6

AA7
N18

R18

U18

H22
K18

P18

V17

V10
V13
V15

V11
V12
V14
V16

P22
T18
N4

H6

R9
V6

V9
F6

T9
U9C FB14
AD0 AC3 AE18 GAD0 YUHBT-301S C122 C124 C389

AVDD3

AVDD2

AVDD1
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD

VCC25
VCC25
VCC25
VCC25
VCC25
VCC25
VCC25

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ

VCCQQ
12,13,14,15,29,31 AD[0:31] AD0 GAD0 GAD[0:31] 11
AD1 AC4 AD18 GAD1 FB13
AD2 AD1 GAD1 GAD2 102P 105P-C 104P(DIP)
AC1 AB16
AD3 AC2 AD2 GAD2 AF18 GAD3
AD3 GAD3

Mem PLL Power


AGP PLL Power

Host PLL Power


AD4 AB1 AD17 GAD4 YUHBT-301S AGND1
AD5 AD4 GAD4 GAD5
AB2 AF17
AD6 AD5 GAD5 GAD6
AA4 AE16
AD7 AD6 GAD6 GAD7
AA3 AF16
AD8 AA2 AD7 GAD7 AC16 GAD8 VCC3 AVDD2
AD9 AD8 GAD8 GAD9
Y5 AF15 FB15
AD10 AD9 GAD9 GAD10
W5 AF14
AD11 AD10 GAD10 GAD11 YUHBT-301S C129 C130 C388
Y2 AD14
AD12 AD11 GAD11 GAD12
Y3 AD13 FB16
AD13 Y1 AD12 GAD12 AF13 GAD13 102P 105P-C C11-1043044
AD14 AD13 GAD13 GAD14
Y4 AE13
AD15 AD14 GAD14 GAD15 YUHBT-301S AGND2
W1 AF12
AD16 AD15 GAD15 GAD16
U1 AF11
AD17 AD16 GAD16 GAD17
U4 AD11
AD18 U2 AD17 GAD17 AE10 GAD18
AD19 AD18 GAD18 GAD19 VCC3 AVDD3
U3 AD10
AD20 AD19 GAD19 GAD20
T1 AF9 FB17
AD21 AD20 GAD20 GAD21
T4 AE9
AD22 AD21 GAD21 GAD22 YUHBT-301S C147 C154 C380
T2 AF8
AD23 R2 AD22 GAD22 AD9 GAD23
3
AD23 GAD23 FB18 3

AD24 R1 AF7 GAD24 102P 105P-C C11-1043044


AD25 AD24 GAD24 GAD25
P3 AE7
AD26 AD25 GAD25 GAD26 YUHBT-301S AGND3
P2 AD7
AD27 AD26 GAD26 GAD27
R5 AF6
AD28 P5 AD27 GAD27 AE6 GAD28 R104 22 GCLKI
AD29 AD28 GAD28 GAD29
P1 AF5
AD30 AD29 GAD29 GAD30 GCLKOUT
R4 AD6
AD31 AD30 GAD30 GAD31
P4 AF4
AD31 GAD31 R112 22
GCLKO 11
AD16
GBE0 GC/BE#0 11
AA1 AD12
12,13,14,15,29,31 C/BE#0 CBE0 GBE1 GC/BE#1 11
W4 AE12
12,13,14,15,29,31 C/BE#1 CBE1 GBE2 GC/BE#2 11
U5
CBE2 GBE3
AD8 The length of GCLKOUT should be as short
12,13,14,15,29,31 C/BE#2 T3 GC/BE#3 11
12,13,14,15,29,31 C/BE#3 CBE3
AD15 as possible.
ADSTB0 AD_STB0 11
ADSTB0
AE15 The length of GCLKI should equal to the length
T5 AD_STB0# 11
12,13,14,15,29,31 FRAME#
V3
FRAME
AB7 of GCLKO + 3".
12,13,14,15,29,31 DEVSEL# DEVSEL ADSTB1 AD_STB1 11
T6 AC7 AD_STB1# 11
12,13,14,15,29,31 IRDY# V5 IRDY ADSTB1
12,13,14,15,29,31 TRDY# TRDY SBA0 VDDQ
V4 AD2 SBA[0:7] 11
12,13,14,15,29,31 STOP# STOP SBA0 SBA1
V1 AD1
12,13,14 PLOCK# PLOCK SBA1 SBA2 NCOMP R106 60.4RST
V2 AE1
12,13,14,15,29,31 SERR# SERR SBA2 SBA3
W2 AD3
12,13,14,15,29,31 PAR PAR SBA3 AF3 SBA4 PCOMP R105 60.4RST
SBA4 SBA5
AE3
SBA5 SBA6
15,16 PREQ# L4 AE4
PREQ SBA6 SBA7
L1 AD4
2 15,16 PGNT# PGNT SBA7 2

AF1 SBSTB
SBSTB SBSTB# SBSTB 11 VCC3
N6 AF2
12,14 REQ#0 REQ0 SBSTB SBSTB# 11
N1
12,14 REQ#1 REQ#2 REQ1
N3 AC6 ST0 11
29 REQ#2 REQ#3 REQ2 ST0
M4 AB8 ST1 11
13,14 REQ#3 REQ#4 M2 REQ3 ST1 AB9 WSC# R94 4.7K
REQ4 ST2 ST2 11
14,31 REQ#4
AD5 GREQ# 11
GREQ
AB6 GGNT# 11
GGNT
M5
12,14 GNT#0 N2 GNT0
12,14 GNT#1 GNT1
N5 AB10 GFRAME# 11
29 GNT#2 GNT2 GFRAME
M1 AB13 GDEVSEL# 11
13,14 GNT#3 GNT3 GDEVSEL
M3 AB11 GIRDY# 11
14,31 GNT#4 GNT4 GIRDY
AC12 GTRDY# 11
GTRDY AB12
GSTOP GSTOP# 11
AC13 GPAR 11
WSC# GPAR AGPVREF C151 103P
U6 AC9 PIPE# 11
WSC PIPE
AA9 RBF# 11
RBF C150 105P-C
AB4 AC10 WBF# 11
15,16 CLKRUN# CLKRUN WBF
AB19 AF10 AGPVREF
15 SUSST# SUSTAT AGPREF AGPVREF 11
AB5 AB18 NCOMP Place these bypassing
17,29,31 PCIRST#2 RESETX NCOMP
L5 AC18 PCOMP capacitors near
INTA PCOMP
VT8363.
1 AC19 AC15 GCLKI 1
15,21,22 PWROK PWRGOOD GCLKI
AB17VSSQQ

AB15AVSS3

P21 AVSS2

G21 AVSS1

PCLKNB AA5
AB3 GND
J6 GND
M6 GND
R6 GND
W6 GND
Y6 GND
AE2 GND
AE5 GND
GND
AE8 GND
AA11GND
AE11GND
AA13GND
AE14GND
AA16GND
AE17GND
N14 GND
N15 GND
N16 GND
P13 GND
P14 GND
P15 GND
P16 GND
R15 GND
R16 GND
T15 GND
T16 GND

3 PCLKNB PCLK
AB14 GCLKOUT
GCLKO
AA8

82VIA8363
MICRO-STAR
Title
NORTH BRIDGE VT8363 (PCI & AGP)
Size Document Number Rev
AGND3 AGND2 AGND1 C MS-6347 OA

Date: Thursday, July 27, 2000 Sheet 7 of 35


A B C D E
A B C D E

Power Up Strappings : For Debug Only


VCC3
RN18 RN19
MA[3:0] => CPU Clock Divider
MA0 1 2 1 2
0000 3 1000 7 MA1 3 4 3 4
0001 3.5 1001 7.5 MA2 5 6 5 6
1010 8 MA3 7 8 7 8
0011 4.5 1011 8.5
0100 5 1100 9 8P4R-10K 8P4R-10K
0101 5.5 1101 9.5
5 R_FID0
0110 6 1110 10
4
VCC3 0111 6.5 1111 Reserved 5 R_FID1 4

VSUS2_5 5 R_FID2
5 R_FID3
Near NB C155

DACVDD1
DACVDD2
PNL_VDD
PNL_VDD

PLLVDD1

PLLVDD2
VSUS25
AA20

AA21
105P-C

W21

M21
R21
K21

V21

V18
T23
J21

N9

U9

C3
D4

D1
K9

P9

P6

E3
U9B RN21

L6
J9
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
8P4R-10K
MD0 G25 C2 SWE# 1 2
9,10 MD[0:63] MD1 MD0 AR MA4
G23
MD1 AG
B1 MA[8:4] => S2K Strobe Delay Counter MA6
3 4
MD2 H26 B2 5 6
MD3 J25
MD2 AB in Edge Mode MA5 7 8
MD4 MD3
J22 F5 efault)
K25MD4
MD5 HSYNC
F4 00001~11111 - Strapping Mode
MD6 MD5 VSYNC
J23
MD7 MD6
K23
MD8 MD7
L25 C1
MD9 MD8 RSET
L22
MD10 M23MD9 J5
MD11 MD10 PANELD0/TVD0
M25 J4
MD12 MD11 PANELD1/TVD1
N26 J1
MD13 MD12 PANELD2/TVD2
N21 J2
MD14 MD13 PANELD3/TVD3
N22 J3
MD15 P24MD14 PANELD4/TVD4
H1
MD16 MD15 PANELD5/TVD5
AB22
MD16
H2
PANELD6/TVD6 -SRAS => S2K Slew Rate Control
MD17 AC24 H3 1 - Slew Rate Control Disabl
MD18 MD17 PANELD7/TVD7
AD25
MD18
H5
PANELD8/TVD8 0 - Slew Rate Control Enable (Default)
MD19 AE25 G5 RN24
MD20 MD19
AF25 PANELD9/TVD9
H4 8P4R-10K
3

MD21 MD20 PANELD10/TVD10 MA[14] => CPU Clock Frequency 3

AC23 G4 1 - 66MHz MA11 1 2


MD22 MD21 PANELD11/TVBLANK MA12
AD23
MD22 0 - 100MHz (Default) MA14
3 4
MD23 AF23 K3 5 6
MD24 MD23 PANELVS/TVVS MA13
AD22
MD24 MA[13:12] =>PMOS Output Strength 7 8
MD25 AE22 K4 MA7 1 2
MD26 MD25 PANELHS/TVHS MA8
AC21 3 4
MD27 MD26 MA10
AE21 K1 5 6
MD28 MD27 PANELCLK/TVCLKR MA9
AD20
MD28 MA[11] => S2K DQ Select 7 8
MD29 AF20 L2
MD30 MD29
AD19 PANELDEN/TVCLK RN23
MD31 MD30 1 - Edge DQ
AF19 K2 8P4R-10K
MD32 MD31 PANELDET/TVD11 R327 R332
G24
MD32 MA[10:9] => NMOS Output Strength
MD33 G26 K6 1K X_1K
MD34 MD33 GPO0/EXT
H24
MD34
K5
GPOUT 01 is via default
MD35 H25
MD36 MD35
J26 F3 BISTIN
MD37 MD36 BISTIN
K24 F2 DFTIN
MD38 MD37 DFTIN
K26
MD39 MD38
K22 G2
MD40 L24MD39 SPCLK1
G1 -SWE => Processor DQ Select VCC3 VCC3
MD41 MD40 SPCLK2
L26
MD41 1 - Center DQ(default)
MD42 L23 F1 0 - Edge DQ
MD43 MD42 SPD1 R56 R54
M26 G6
MD44 MD43 SPD2 X_10K X_10K
N25
MD44 -SCAS => Fast Command Select
MD45 M22 E1 le SRAS# SCAS#
MD46 MD45 XIN 14.318 MHz
N23
MD46 0 - Disable (Defa
MD47 N24 R57 R53
MD48 MD47 10K
AB23
2
MD49 MD48 10K 2
AC25 E2
MD50 MD49
AD26 XOUT
MD51 MD50
AE26
MD51
Y25
RAS0 For Processor Edge DQ For Disable Fast Command
MD52 AF26 CS# Y26 RAS#0 9
MD53 MD52 RAS1 RAS#1 9
AE24 AA26
MD54 MD53 RAS2 RAS#2 9
AF24 AA25
MD55 MD54
AE23 RAS3
Y22 RAS#3 9
MD56 MD55 RAS4 RAS#4 10
AC22 Y23
MD57 MD56 RAS5 RAS#5 10
AF22
MD58 MD57
AB21 AA23
MD59 MD58 CAS0 CAS#0 9,10
AC20
MD59 DQM AA22
CAS1
MD60 AF21 R23 CAS#1 9,10 V_DIMM
MD61 MD60 CAS2 CAS#2 9,10
AE20 R26
MD62 MD61 CAS3 CAS#3 9,10
AB20 AB26
MD63 MD62 CAS4 CAS#4 9,10 R107 0 VSUS2_5
AE19 AA24
MD63 CAS5 CAS#5 9,10
T26
CAS6
R24 CAS#6 9,10
CAS7 CAS#7 9,10
MA0 U24 AB24 R317 10 SWE#
9,10 MA[0:14] MA0 SWEA SWE# 9,10
MA1 U23 AC26
MA1 SWEB/CKE2 CKE#2 9
MA2 V26 AB25 CKE#0 9
T22MA2
MA3 SWEC/CKE0
MA4 MA3 SRAS#
V23 U25 SRAS# 9,10
MA5 MA4 SRASA
U22 U26 CKE#5 10
MA6 MA5 SRASB/CKE5
V22 T25 CKE#4 10
MA7 MA6 SRASC/CKE4
U21
MA8 V25MA7 P25 SCAS#
MA9 MA8 SCASA SCAS# 9,10
V24 P26 CKE#3 9
MA10 MA9 SCASB/CKE3
1 W26 R25 CKE#1 9 1
MA10 SCASC/CKE1
DACGND1
DACGND2

MA11 W25
MA11
PLLGND
PLLGND

MA12 W24 R22 DCLK_O R95 22


MA12 DCLKO DCLKO 3
MA13 W23
H21 GND
L21 GND
T21 GND
GND
AD21GND
J24 GND
M24 GND
GND
Y24 GND
AD24GND
AA19GND
G3 GND
L3 GND
R3 GND
W3 GND

MA14 MA13
W22 P23
MA14 DCLKI DCLKI 3
MICRO-STAR
Y21

T24

C131
D2
D3
A1
E4

82VIA8363 Title
X_22P NORTH BRIDGE VT8363 (DRAM & Graphics)
Size Document Number Rev
MS-6347 OA

Date: Thursday, July 27, 2000 Sheet of


A B C D E
A B C D E

V_DIMM V_DIMM

4 4

102
110
124
133
143
157
168

102
110
124
133
143
157
168
DIMM1 DIMM2

18
26
40
41
49
59
73
84
90

18
26
40
41
49
59
73
84
90
6

6
MA0 33 2 MD0 MA0 33 2 MD0

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
8,10 MA[0:14] MA1 A0 D0 MD[0:63] 8,10 MA1 A0 D0
117 3 MD1 117 3 MD1
MA2 A1 D1 MD2 MA2 A1 D1 MD2
34 4 34 4
MA3 A2 D2 MD3 MA3 A2 D2 MD3
118 5 118 5
MA4 35 A3 D3 7 MD4 MA4 35 A3 D3 7 MD4
MA5 A4 D4 MD5 MA5 A4 D4 MD5
119 8 119 8
MA6 A5 D5 MD6 MA6 A5 D5 MD6
36 9 36 9
MA7 A6 D6 MD7 MA7 A6 D6 MD7
120 10 120 10
MA8 A7 D7 MD8 MA8 A7 D7 MD8
37 11 37 11
MA9 121 A8 D8 13 MD9 MA9 121 A8 D8 13 MD9
MA10 A9 D9 MD10 MA10 A9 D9 MD10
38 14 38 14
MA13 A10 (AP) D10 MD11 MA13 A10 (AP) D10 MD11
123 15 123 15
MA14 A11 D11 MD12 MA14 A11 D11 MD12
126 16 126 16
A12 D12 MD13 A12 D12 MD13
132 17 132 17
A13 D13 19 MD14 A13 D13 19 MD14
MA11 D14 MD15 MA11 D14 MD15
122 20 122 20
MA12 BA0 D15 MD16 MA12 BA0 D15 MD16
39 55 39 55
BA1 D16 MD17 BA1 D16 MD17
56 56
RAS#0 D17 MD18 RAS#2 D17 MD18
30 57 30 57
8 RAS#0 RAS#1 114 RAS0/S0 CS# D18 58 MD19 8 RAS#2 RAS#3 114 RAS0/S0 CS# D18 58 MD19
8 RAS#1 RAS#0 RAS1/S1 D19 MD20 8 RAS#3 RAS#2 RAS1/S1 D19 MD20
45 60 45 60
RAS#1 RAS2/S2 D20 MD21 RAS#3 RAS2/S2 D20 MD21
129 65 129 65
RAS3/S3 D21 MD22 RAS3/S3 D21 MD22
66 66
CAS#0 D22 MD23 CAS#0 D22 MD23
28 67 28 67
8,10 CAS#0 CAS#1 29 CAS0/DQMB0 DQM D23 69 MD24 CAS#1 29 CAS0/DQMB0 DQM D23 69 MD24
3 3
8,10 CAS#1 CAS#2 CAS1/DQMB1 D24 MD25 CAS#2 CAS1/DQMB1 D24 MD25
46 70 46 70
8,10 CAS#2 CAS#3 CAS2/DQMB2 D25 MD26 CAS#3 CAS2/DQMB2 D25 MD26
47 71 47 71
8,10 CAS#3 CAS#4 CAS3/DQMB3 D26 MD27 CAS#4 CAS3/DQMB3 D26 MD27
112 72 112 72
8,10 CAS#4 CAS#5 CAS4/DQMB4 D27 MD28 CAS#5 CAS4/DQMB4 D27 MD28
113 74 113 74
8,10 CAS#5 CAS#6 130 CAS5/DQMB5 D28 75 MD29 CAS#6 130 CAS5/DQMB5 D28 75 MD29
8,10 CAS#6 CAS#7 CAS6/DQMB6 D29 MD30 CAS#7 CAS6/DQMB6 D29 MD30
131 76 131 76
8,10 CAS#7 CAS7/DQMB7 D30 MD31 CAS7/DQMB7 D30 MD31
77 77
SWE# D31 MD32 SWE# D31 MD32
27 86 27 86
8,10 SWE# WE0 D32 MD33 WE0 D32 MD33
48 87 48 87
WE2/DU D33 MD34 WE2/DU D33 MD34
88 88
SCAS# D34 MD35 SCAS# D34 MD35
111 89 111 89
8,10 SCAS# SRAS# DU/CAS D35 MD36 SRAS# DU/CAS D35 MD36
115 91 115 91
8,10 SRAS# DU/RAS D36 MD37 DU/RAS D36 MD37
92 92
D37 MD38 D37 MD38
31 93 31 93
DU/OE0 D38 MD39 DU/OE0 D38 MD39
44 94 44 94
DU/OE2 D39 MD40 DU/OE2 D39 MD40
95 95
D40 MD41 D40 MD41
128 97 128 97
8 CKE#0 CKE0 D41 MD42 8 CKE#2 CKE0 D41 MD42
63 98 63 98
8 CKE#1 CKE1 D42 MD43 8 CKE#3 CKE1 D42 MD43
99 99
42 D43 100 MD44 42 D43 100 MD44
3 DCLK0 CK0 D44 MD45 3 DCLK4 CK0 D44 MD45
125 101 125 101
3 DCLK1 CK1 D45 MD46 3 DCLK5 CK1 D45 MD46
79 103 79 103
3 DCLK2 CK2 D46 MD47 3 DCLK6 CK2 D46 MD47
163 104 163 104
3 DCLK3 CK3 D47 MD48 3 DCLK7 CK3 D47 MD48
139 139
SMBDATA 82 D48 140 MD49 SMBDATA 82 D48 140 MD49
3,10,15,16,26 SMBDATA SMBCLK SDA D49 MD50 SMBCLK SDA D49 MD50
83 141 83 141
3,10,15,16,26 SMBCLK SCL D50 MD51 SCL D50 MD51
142 142
D51 MD52 D51 MD52
62 144 62 144
2 DU/VREF D52 MD53 V_DIMM DU/VREF D52 MD53 2
146 149 146 149
DU/VREF D53 MD54 DU/VREF D53 MD54
150 150
D54 MD55 D54 MD55
165 151 165 151
SA0 D55 MD56 SA0 D55 MD56
166 153 166 153
SA1 D56 MD57 SA1 D56 MD57
167 154 167 154
SA2 D57 MD58 SA2 D57 MD58
155 155
24 D58 156 MD59 24 D58 156 MD59
QS0/DQS0 D59 MD60 QS0/DQS0 D59 MD60
25 158 25 158
RFU/DQS1 D60 MD61 RFU/DQS1 D60 MD61
50 159 50 159
QS2/DQS2 D61 MD62 QS2/DQS2 D61 MD62
51 160 51 160
RFU/DQS3 D62 MD63 RFU/DQS3 D62 MD63
108 161 108 161
109 QS1/DQS4 D63 109 QS1/DQS4 D63
RFU/DQS5 RFU/DQS5
135 21 135 21
QS3/DQS6 CB0 QS3/DQS6 CB0
81 22 81 22
V_DIMM RFU/DQS7 CB1 V_DIMM RFU/DQS7 CB1
134 52 134 52
RFU/DQS8 CB2 RFU/DQS8 CB2
53 53
147 CB3 105 147 CB3 105
REGE CB4 REGE CB4
106 106
CB5 CB5
61 136 61 136
NC CB6 NC CB6
80 137 80 137
NC CB7 NC CB7
145 145
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
NC NC
164 164
NC NC
107
116
127
138
148
152
162

107
116
127
138
148
152
162
YSKT168 YSKT168
12
23
32
43
54
64
68
78
85
96

12
23
32
43
54
64
68
78
85
96
1

1
1 1

MICRO-STAR
Title
SDRAM (DIMM1 AND DIMM2)
Size Document Number Rev
C MS-6347 OA

Date: Thursday, July 27, 2000 Sheet 9 of 35


A B C D E
A B C D E

V_DIMM V_DIMM

102
110
124
133
143
157
168

102
110
124
133
143
157
168
4

18
26
40
41
49
59
73
84
90

18
26
40
41
49
59
73
84
90
4

6
DIMM3 DIMM4
MA0 33 2 MD0 MA0 33 2 MD0

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
8,9 MA[0:14] MA1 A0 D0 MD[0:63] 8,9 MA1 A0 D0
117 3 MD1 117 3 MD1
MA2 A1 D1 MD2 MA2 A1 D1 MD2
34 4 34 4
MA3 A2 D2 MD3 MA3 A2 D2 MD3
118 5 118 5
MA4 A3 D3 MD4 MA4 A3 D3 MD4
35 7 35 7
MA5 A4 D4 MD5 MA5 A4 D4 MD5
119 8 119 8
MA6 36 A5 D5 9 MD6 MA6 36 A5 D5 9 MD6
MA7 A6 D6 MD7 MA7 A6 D6 MD7
120 10 120 10
MA8 A7 D7 MD8 MA8 A7 D7 MD8
37 11 37 11
MA9 A8 D8 MD9 MA9 A8 D8 MD9
121 13 121 13
MA10 A9 D9 MD10 MA10 A9 D9 MD10
38 14 38 14
MA13 123 A10 (AP) D10 15 MD11 MA13 123 A10 (AP) D10 15 MD11
MA14 A11 D11 MD12 MA14 A11 D11 MD12
126 16 126 16
A12 D12 MD13 A12 D12 MD13
132 17 132 17
A13 D13 MD14 A13 D13 MD14
19 19
MA11 D14 MD15 MA11 D14 MD15
122 20 122 20
MA12 39 BA0 D15 55 MD16 MA12 39 BA0 D15 55 MD16
BA1 D16 MD17 BA1 D16 MD17
56 56
RAS#4 D17 MD18 RAS#5 D17 MD18
30 57 30 57
8 RAS#4 RAS#5 RAS0/S0 D18 MD19 RAS#4 RAS0/S0 D18 MD19
114 58 114 58
8 RAS#5 RAS#4 RAS1/S1 D19 MD20 RAS#5 RAS1/S1 D19 MD20
45 60 45 60
RAS#5 129 RAS2/S2 D20 65 MD21 RAS#4 129 RAS2/S2 D20 65 MD21
RAS3/S3 D21 MD22 RAS3/S3 D21 MD22
66 66
CAS#0 D22 MD23 CAS#0 D22 MD23
28 67 28 67
8,9 CAS#0 CAS#1 CAS0/DQMB0 D23 MD24 CAS#1 CAS0/DQMB0 D23 MD24
29 69 29 69
8,9 CAS#1 CAS#2 CAS1/DQMB1 D24 MD25 CAS#2 CAS1/DQMB1 D24 MD25
46 70 46 70
8,9 CAS#2 CAS#3 47 CAS2/DQMB2 D25 71 MD26 CAS#3 47 CAS2/DQMB2 D25 71 MD26
3 3
8,9 CAS#3 CAS#4 CAS3/DQMB3 D26 MD27 CAS#4 CAS3/DQMB3 D26 MD27
112 72 112 72
8,9 CAS#4 CAS#5 CAS4/DQMB4 D27 MD28 CAS#5 CAS4/DQMB4 D27 MD28
113 74 113 74
8,9 CAS#5 CAS#6 CAS5/DQMB5 D28 MD29 CAS#6 CAS5/DQMB5 D28 MD29
130 75 130 75
8,9 CAS#6 CAS#7 CAS6/DQMB6 D29 MD30 CAS#7 CAS6/DQMB6 D29 MD30
131 76 131 76
8,9 CAS#7 CAS7/DQMB7 D30 77 MD31 CAS7/DQMB7 D30 77 MD31
D31 MD32 SWE# D31 MD32
27 86 27 86
8,9 SWE# WE0 D32 MD33 WE0 D32 MD33
48 87 48 87
WE2/DU D33 MD34 WE2/DU D33 MD34
88 88
D34 MD35 SCAS# D34 MD35
111 89 111 89
8,9 SCAS# DU/CAS D35 MD36 SRAS# DU/CAS D35 MD36
115 91 115 91
8,9 SRAS# DU/RAS D36 MD37 DU/RAS D36 MD37
92 92
D37 MD38 D37 MD38
31 93 31 93
DU/OE0 D38 MD39 DU/OE0 D38 MD39
44 94 44 94
DU/OE2 D39 MD40 DU/OE2 D39 MD40
95 95
128 D40 97 MD41 CKE#5 128 D40 97 MD41
8 CKE#4 CKE0 D41 MD42 CKE#4 CKE0 D41 MD42
63 98 63 98
8 CKE#5 CKE1 D42 MD43 CKE1 D42 MD43
99 99
D43 MD44 D43 MD44
42 100 42 100
3 DCLK8 CK0 D44 MD45 3 DCLK12 CK0 D44 MD45
125 101 125 101
3 DCLK9 79 CK1 D45 103 MD46 3 DCLK13 79 CK1 D45 103 MD46
3 DCLK10 CK2 D46 MD47 3 DCLK14 CK2 D46 MD47
163 104 163 104
3 DCLK11 CK3 D47 MD48 3 DCLK15 CK3 D47 MD48
139 139
D48 MD49 SMBDATA D48 MD49
82 140 82 140
3,9,15,16,26 SMBDATA SDA D49 MD50 SMBCLK SDA D49 MD50
83 141 83 141
3,9,15,16,26 SMBCLK SCL D50 142 MD51 SCL D50 142 MD51
D51 MD52 D51 MD52
62 144 62 144
DU/VREF D52 MD53 V_DIMM DU/VREF D52 MD53
146 149 146 149
V_DIMM DU/VREF D53 MD54 DU/VREF D53 MD54
150 150
2 D54 MD55 D54 MD55 2
165 151 165 151
166 SA0 D55 153 MD56 166 SA0 D55 153 MD56
SA1 D56 MD57 SA1 D56 MD57
167 154 167 154
SA2 D57 MD58 SA2 D57 MD58
155 155
D58 MD59 D58 MD59
24 156 24 156
QS0/DQS0 D59 MD60 QS0/DQS0 D59 MD60
25 158 25 158
50 RFU/DQS1 D60 159 MD61 50 RFU/DQS1 D60 159 MD61
QS2/DQS2 D61 MD62 QS2/DQS2 D61 MD62
51 160 51 160
RFU/DQS3 D62 MD63 RFU/DQS3 D62 MD63
108 161 108 161
QS1/DQS4 D63 QS1/DQS4 D63
109 109
RFU/DQS5 RFU/DQS5
135 21 135 21
81 QS3/DQS6 CB0 22 81 QS3/DQS6 CB0 22
V_DIMM RFU/DQS7 CB1 V_DIMM RFU/DQS7 CB1
134 52 134 52
RFU/DQS8 CB2 RFU/DQS8 CB2
53 53
CB3 CB3
147 105 147 105
REGE CB4 REGE CB4
106 106
61 CB5 136 61 CB5 136
NC CB6 NC CB6
80 137 80 137
NC CB7 NC CB7
145 145
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
NC V_DIMM NC
164 164
NC NC
107
116
127
138
148
152
162

107
116
127
138
148
152
162
YSKT168 YSKT168
12
23
32
43
54
64
68
78
85
96

12
23
32
43
54
64
68
78
85
96
1

1
R22
X_301RST

1 1

MICRO-STAR
1

LED1
To avoid plugging in
X_YLED5-CG when STR conditon Title
SDRAM (DIMM3)
2

Size Document Number Rev


C 0A
MS-6347
Date: Thursday, July 27, 2000 Sheet 10 of 35
A B C D E
5 4 3 2 1

VCC3 VDDQ

AGP1 Crazy
D1 C1
VCC3_3_J VCC3_3_A
D2 C2
VCC3_3_K GND_A
D3
VCC3_3_L
C3 AGP_PRO Imax
D VCC3_3_B D
D4 C4 GAD[0:31] 7
VCC3_3_M GND_B GAD0
D5
VCC3_3_N
C5 VCC3 7.6A
GND_C VCC12 9.2A GAD1
D6 C6
D7 VCC3_3_O GND_D C7 GAD2
VCC3_3_P GND_E GAD3
D8 C8
VCC3_3_Q GND_F GAD4
D9 C9
PRSNT#2 RESV_A GAD5
D10 C10
PRSNT#1 RESV_B GAD6
+12V GAD7
VCC KEY GAD8
GAD9
RN33 VDDQ B1 A1 GAD10
RBF# AGP_OVRCNT# VCC_12V_A TYPEDET# GAD11
2 1 B2 A2
PIPE# 4 3 B3 5V_A TYPEDET# A3 GAD12
GREQ# 5V_B RESV_C GAD13
6 5 B4 A4
GGNT# AGP_USB+ AGP_USB- GAD14
8 7 B5 A5
GND_Q GND_G GAD15
B6 A6
12,13,14,15 PIRQ#B INTB# INTA# PIRQ#A 12,13,14,15 GAD16
B7 A7
8P4R-8.2K 7 GCLKO B8 AGPCLK_CONN RST# A8
PCIRST#1 12,13,14,17 GAD17
RN34 7 GREQ# REQ# GNT# GGNT# 7 GAD18
B9 A9
GTRDY# ST0 VCC3_3_R VCC3_3_E ST1 GAD19
2 1 B10 A10
GDEVSEL# 7 ST0 ST2 ST0 ST1 ST1 7 GAD20
4 3 B11 A11
GIRDY# 7 ST2 ST2 RESV_D GAD21
6 5 B12 A12
GFRAME# 8 7 7 RBF# B13 RBF# PIPE# A13 PIPE# 7 GAD22
GND_R GND_H GAD23
B14 A14
SBA0_R RESV_L WBF# SBA1_R WBF# 7 GAD24
B15 A15
8P4R-8.2K SBA0 SBA1 GAD25
B16 A16
SBA2_R VCC3_3_S VCC3_3_F SBA3_R GAD26
B17 A17
RN35 B18 SBA2 SBA3 A18 GAD27
C C
GSERR# 7 SBSTB SB_STB SB_STB# SBSTB# 7 GAD28
2 1 B19 A19
GPAR SBA4_R GND_S GND_I SBA5_R GAD29
4 3 B20 A20
GPERR# SBA6_R SBA4 SBA5 SBA7_R GAD30
6 5 B21 A21
GSTOP# SBA6 SBA7 GAD31
8 7 B22 A22
B23 RESV_M RESV_E A23
GND_T GND_J
B24 A24
8P4R-8.2K 3VDUAL 3_3AUX_1 RESV_F
B25 A25
GAD31 VCC3_3_T VCC3_3_G GAD30
B26 A26
GAD29 GAD31 GAD30 GAD28 +12V VCC3
B27 A27
WBF# R113 8.2K B28 GAD29 GAD28 A28
GAD27 VCC3_3_U VCC3_3_H GAD26
B29 A29
GAD25 GAD27 GAD26 GAD24
B30 A30
GAD25 GAD24
B31 A31
GND_U GND_K
7 AD_STB1 B32 A32
GAD23 B33 AD_STB1 AD_STB1# A33 GC/BE#3 AD_STB1# 7 + EC21 + EC17 + EC18
AD_STB0 R127 8.2K GAD23 C/BE#3 GC/BE#3 7 1000U/6.3V 1000U/6.3V 1000U/6.3V
B34 A34
AD_STB1 R116 8.2K GAD21 VDDQ_F VDDQ_A GAD22
B35 A35
SBSTB R114 8.2K GAD19 GAD21 GAD22 GAD20
B36 A36
GAD19 GAD20
B37 A37
GAD17 B38 GND_V GND_L A38 GAD18 GFRAME# 7
GC/BE#2 GAD17 GAD18 GAD16
B39 A39
AD_STB0# R128 8.2K 7 GC/BE#2 C/BE#2 GAD16 VDDQ
B40 A40
VDDQ_G VDDQ_B
B41 A41
AD_STB1# R117 8.2K 7 GIRDY# IRDY# FRAME#
B42 A42
B43 3_3AUX_2 RESV_G A43
SBSTB# R115 8.2K GND_W GND_M
B44 A44
RESV_N RESV_H C171 C169
B45 A45
VCC3_3_V VCC3_3_I 104P 104P
B B46 A46 B
7 GDEVSEL# DEVSEL# TRDY# GTRDY# 7
B47 A47
GPERR# B48 VDDQ_H STOP# A48 GSTOP# 7
PERR# PME# PME# 12,13,14,15,29
B49 A49
R318 22 SBA0_R GSERR# GND_X GND_N
B50 A50
R319 22 SBA1_R GC/BE#1 SERR# PAR GAD15 GPAR 7
B51 A51
7 SBA[0:7] SBA0 R320 22 SBA2_R 7 GC/BE#1 C/BE#1 GAD15 VDDQ
B52 A52
SBA1 R321 22 SBA3_R GAD14 B53 VDDQ_I VDDQ_C A53 GAD13
SBA2 GAD12 GAD14 GAD13 GAD11
B54 A54
SBA3 GAD12 GAD11
B55 A55
SBA4 GAD10 GND_Y GND_O GAD9
B56 A56
SBA5 GAD8 GAD10 GAD9 GC/BE#0 C192
B57 A57
SBA6 R322 22 SBA4_R B58 GAD8 C/BE#0 A58 GC/BE#0 7
SBA7 R323 22 SBA5_R VDDQ_J VDDQ_D 561P
B59 A59
R324 22 SBA6_R 7 AD_STB0 GAD7 AD_STB0 AD_STB0# GAD6 AD_STB0# 7
B60 A60
R325 22 SBA7_R GAD7 GAD6 R121 R122
B61 A61
GAD5 GND_Z GND_P GAD4
B62 A62
VDDQ VCC3 GAD3 B63 GAD5 GAD4 A63 GAD2 1KRST 75RST
GAD3 GAD2
B64 A64
Q23 GAD1 VDDQ_K VDDQ_E GAD0 AGP_VREF
B65 A65
AGP_VREF GAD1 GAD0 AGPVREF
3 2 B66 A66
VREFCG VREFGC AGPVREF 7 CLOSE TO CONNECTOR
EC19 EC20 1 R124 R123
C183 CEU603ALS + EC16 KEY
1000U/6.3V 1000U/6.3V C158 +12V 1KRST 75RST
R110 103P +12V 1000U/6.3V
F1 E1
2.2KRST 104P RESV_O RESV_I +12V
F2 E2
C156 R111 F3 RESV_P RESV_J E3 J8
(R) GND_AA VCC_12V_B C164
F4 E4
A
VCC3 GND_BB VCC_12V_C 1 A
F5 E5
102P 1K C157 GND_CC VCC_12V_D 2 561P
F6 E6
R109 GND_DD VCC_12V_E 3
F7 E7
10KRST 103P F8 GND_EE VCC_12V_F E8
4
E

R108 GND_FF VCC_12V_G N93-04M0041-F02


F9 E9
4.7K VR2 GND_GG VCC_12V_H
B TYPEDET# VDDQ F10
GND_HH VCC_12V_I
E10 MICRO-STAR
F11 E11
GND_II VCC_12V_J Title
C F12 E12
TYPEDET# B Q22 YREG431LS F13 GND_JJ VCC_12V_K E13
Low 1.5V GND_KK VCC_12V_L AGP PRO CONNECTOR
C

E F14 E14
2N3904S GND_LL VCC_12V_M Size Document Number Rev
High 3.3V
Custom 0A
YSLOT180-A MS-6347
Date: Thursday, July 27, 2000 Sheet 11 of 35
5 4 3 2 1
8 7 6 5 4 3 2 1

D D

VCC3
VCC3 VCC3 VCC3

VCC VCC +12V VCC VCC +12V

-12V -12V
PCI1 PCI2
B1 A1 B1 A1
-12V TRST# -12V TRST#
B2 A2 B2 A2
TCK +12V TCK +12V
B3 A3 B3 A3
GND TMS GND TMS
B4 A4 B4 A4
TDO TDI TDO TDI
B5 A5 B5 A5
+5V +5V PIRQ#A +5V +5V PIRQ#B
B6 A6 PIRQ#A 11,13,14,15 B6 A6
PIRQ#B +5V INTA# PIRQ#C PIRQ#C +5V INTA# PIRQ#D
B7 A7 PIRQ#C 13,14,15,29 B7 A7
11,13,14,15 PIRQ#B PIRQ#D INTB# INTC# PIRQ#A INTB# INTC#
13,14,15,31 PIRQ#D B8 A8 B8 A8
INTD# +5V INTD# +5V
B9 A9 B9 A9
PRSNT1# RSVD1 PRSNT1# RSVD1
B10 A10 B10 A10
RSVD2 +5V RSVD2 +5V
B11 A11 B11 A11
PRSNT2# RSVD3 3VDUAL PRSNT2# RSVD3 3VDUAL
B12 A12 B12 A12
GND GND GND GND
B13 A13 B13 A13
GND GND GND GND
B14 A14 B14 A14
RSVD5 RSVD4 PCIRST#1 RSVD5 RSVD4 PCIRST#1
B15 A15 B15 A15
PCLK0 GND RST# PCIRST#1 11,13,14,17 PCLK1 GND RST#
B16 A16 3 PCLK1 B16 A16
3 PCLK0 CLK +5V GNT#0 CLK +5V GNT#1
B17 A17 B17 A17
REQ#0 GND GNT# GNT#0 7,14 REQ#1 GND GNT# GNT#1 7,14
7,14 REQ#0 B18 A18 7,14 REQ#1 B18 A18
REQ# GND PME# REQ# GND PME#
C B19 A19 PME# 11,13,14,15,29 B19 A19 C
AD31 +5V RSVD6 AD30 AD31 +5V RSVD6 AD30
B20 A20 B20 A20
AD29 AD31 AD30 AD29 AD31 AD30
B21 A21 B21 A21
AD29 +3.3V AD28 AD29 +3.3V AD28
B22 A22 B22 A22
AD27 GND AD28 AD26 AD27 GND AD28 AD26
B23 A23 B23 A23
AD25 AD27 AD26 AD25 AD27 AD26
B24 A24 B24 A24
AD25 GND AD24 AD25 GND AD24
B25 A25 B25 A25
C/BE#3 +3.3V AD24 AD19 C/BE#3 +3.3V AD24 AD20
B26 A26 B26 A26
AD23 C/BE3# IDSEL# IDSEL AD23 C/BE3# IDSEL# IDSEL
B27 A27 B27 A27
AD23 +3.3V AD22 AD23 +3.3V AD22
B28 A28 B28 A28
AD21 GND AD22 AD20 AD21 GND AD22 AD20
B29 A29 B29 A29
AD19 AD21 AD20 AD19 AD21 AD20
B30 A30 B30 A30
AD19 GND AD18 AD19 GND AD18
B31 A31 B31 A31
AD17 +3.3V AD18 AD16 AD17 +3.3V AD18 AD16
B32 A32 B32 A32
C/BE#2 AD17 AD16 C/BE#2 AD17 AD16
B33 A33 B33 A33
C/BE2# +3.3V FRAME# C/BE2# +3.3V FRAME#
B34 A34 FRAME# 7,13,14,15,29,31 B34 A34
IRDY# GND FRAME# IRDY# GND FRAME#
7,13,14,15,29,31 IRDY# B35 A35 B35 A35
IRDY# GND TRDY# IRDY# GND TRDY#
B36 A36 TRDY# 7,13,14,15,29,31 B36 A36
DEVSEL# +3.3V TRDY# DEVSEL# +3.3V TRDY#
B37 A37 B37 A37
7,13,14,15,29,31 DEVSEL# DEVSEL# GND STOP# DEVSEL# GND STOP#
B38 A38 STOP# 7,13,14,15,29,31 B38 A38
PLOCK# GND STOP# PLOCK# GND STOP#
7,13,14 PLOCK# B39 A39 B39 A39
PERR# LOCK# +3.3V PERR# LOCK# +3.3V
13,14,29,31 PERR# B40 A40 B40 A40
PERR# SDONE PERR# SDONE
B41 A41 B41 A41
SERR# +3.3V SBO# SERR# +3.3V SBO#
B42 A42 B42 A42
7,13,14,15,29,31 SERR# SERR# GND PAR SERR# GND PAR
B43 A43 PAR 7,13,14,15,29,31 B43 A43
C/BE#1 +3.3V PAR AD15 C/BE#1 +3.3V PAR AD15
B44 A44 B44 A44
AD14 C/BE1# AD15 AD14 C/BE1# AD15
B45 A45 B45 A45
AD14 +3.3V AD13 AD14 +3.3V AD13
B46 A46 B46 A46
AD12 GND AD13 AD11 AD12 GND AD13 AD11
B47 A47 B47 A47
AD10 AD12 AD11 AD10 AD12 AD11
B B48 A48 B48 A48 B
AD10 GND AD9 AD10 GND AD9
B49 A49 B49 A49
GND AD9 GND AD9

AD8 B52 A52 C/BE#0 AD8 B52 A52 C/BE#0


AD7 AD8 C/BE0# AD7 AD8 C/BE0#
B53 A53 B53 A53
AD7 +3.3V AD6 AD7 +3.3V AD6
B54 A54 B54 A54
AD5 +3.3V AD6 AD4 AD5 +3.3V AD6 AD4
B55 A55 B55 A55
AD3 AD5 AD4 AD3 AD5 AD4
B56 A56 B56 A56
AD3 GND AD2 AD3 GND AD2
B57 A57 B57 A57
AD1 GND AD2 AD0 AD1 GND AD2 AD0
B58 A58 B58 A58
AD1 AD0 AD1 AD0
B59 A59 B59 A59
+5V +5V +5V +5V
B60 A60 B60 A60
ACK64# REQ64# ACK64# REQ64#
B61 A61 B61 A61
+5V +5V +5V +5V
B62 A62 B62 A62
+5V +5V +5V +5V

YSLOT120 YSLOT120

A A

7,13,14,15,29,31 AD[0:31]
AD[0:31] MICRO-STAR
Title
C/BE#[0:3]
7,13,14,15,29,31 C/BE#[0:3]
Size
MS-6347
Document Number Rev
B PCI CONNECTOR 1 & 2 0A

Date: Thursday, July 27, 2000 Sheet 12 of 35


8 7 6 5 4 3 2 1
5 4 3 2 1

VCC3
VCC3 VCC3 VCC3
D D
VCC VCC +12V VCC VCC +12V

-12V -12V
PCI3 PCI4
B1 A1 B1 A1
-12V TRST# -12V TRST#
B2 A2 B2 A2
TCK +12V TCK +12V
B3 A3 B3 A3
GND TMS GND TMS
B4 A4 B4 A4
TDO TDI TDO TDI
B5 A5 B5 A5
+5V +5V PIRQ#C +5V +5V PIRQ#D
B6 A6 PIRQ#C 12,14,15,29 B6 A6
PIRQ#D +5V INTA# PIRQ#A PIRQ#A +5V INTA# PIRQ#B
12,14,15,31 PIRQ#D B7 A7 PIRQ#A 11,12,14,15 B7 A7
PIRQ#B INTB# INTC# PIRQ#C INTB# INTC#
11,12,14,15 PIRQ#B B8 A8 B8 A8
INTD# +5V INTD# +5V
B9 A9 B9 A9
PRSNT1# RSVD1 PRSNT1# RSVD1
B10 A10 B10 A10
RSVD2 +5V RSVD2 +5V
B11 A11 B11 A11
PRSNT2# RSVD3 3VDUAL PRSNT2# RSVD3 3VDUAL
B12 A12 B12 A12
GND GND GND GND
B13 A13 B13 A13
GND GND GND GND
B14 A14 B14 A14
RSVD5 RSVD4 PCIRST#1 RSVD5 RSVD4 PCIRST#1
B15 A15 B15 A15
PCLK2 GND RST# PCIRST#1 11,12,14,17 PCLK3 GND RST#
B16 A16 3 PCLK3 B16 A16
3 PCLK2 CLK +5V PLDGNT#5 CLK +5V PLDGNT#0
B17 A17 PLDGNT#5 29 B17 A17
PLDREQ#5 GND GNT# PLDREQ#0 GND GNT#
29 PLDREQ#5 B18 A18 B18 A18
REQ# GND PME# REQ# GND PME#
B19 A19 PME# 11,12,14,15,29 B19 A19
AD31 +5V RSVD6 AD30 AD31 +5V RSVD6 AD30
B20 A20 B20 A20
AD29 AD31 AD30 AD29 AD31 AD30
B21 A21 B21 A21
AD29 +3.3V AD28 AD29 +3.3V AD28
B22 A22 B22 A22
AD27 GND AD28 AD26 AD27 GND AD28 AD26
C B23 A23 B23 A23 C
AD25 AD27 AD26 AD25 AD27 AD26
B24 A24 B24 A24
AD25 GND AD24 AD25 GND AD24
B25 A25 B25 A25
C/BE#3 +3.3V AD24 AD21 C/BE#3 +3.3V AD24 AD22
B26 A26 B26 A26
AD23 C/BE3# IDSEL# IDSEL AD23 C/BE3# IDSEL# IDSEL
B27 A27 B27 A27
AD23 +3.3V AD22 AD23 +3.3V AD22
B28 A28 B28 A28
AD21 GND AD22 AD20 AD21 GND AD22 AD20
B29 A29 B29 A29
AD19 AD21 AD20 AD19 AD21 AD20
B30 A30 B30 A30
AD19 GND AD18 AD19 GND AD18
B31 A31 B31 A31
AD17 +3.3V AD18 AD16 AD17 +3.3V AD18 AD16
B32 A32 B32 A32
C/BE#2 AD17 AD16 C/BE#2 AD17 AD16
B33 A33 B33 A33
C/BE2# +3.3V FRAME# C/BE2# +3.3V FRAME#
B34 A34 FRAME# 7,12,14,15,29,31 B34 A34
IRDY# GND FRAME# IRDY# GND FRAME#
B35 A35 B35 A35
7,12,14,15,29,31 IRDY# IRDY# GND TRDY# IRDY# GND TRDY#
B36 A36 TRDY# 7,12,14,15,29,31 B36 A36
DEVSEL# +3.3V TRDY# DEVSEL# +3.3V TRDY#
B37 A37 B37 A37
7,12,14,15,29,31 DEVSEL# DEVSEL# GND STOP# DEVSEL# GND STOP#
B38 A38 STOP# 7,12,14,15,29,31 B38 A38
PLOCK# GND STOP# PLOCK# GND STOP#
7,12,14 PLOCK# B39 A39 B39 A39
PERR# LOCK# +3.3V PERR# LOCK# +3.3V
B40 A40 B40 A40
12,14,29,31 PERR# PERR# SDONE PERR# SDONE
B41 A41 B41 A41
SERR# +3.3V SBO# SERR# +3.3V SBO#
7,12,14,15,29,31 SERR# B42 A42 B42 A42
SERR# GND PAR SERR# GND PAR
B43 A43 PAR 7,12,14,15,29,31 B43 A43
C/BE#1 +3.3V PAR AD15 C/BE#1 +3.3V PAR AD15
B44 A44 B44 A44
AD14 C/BE1# AD15 AD14 C/BE1# AD15
B45 A45 B45 A45
AD14 +3.3V AD13 AD14 +3.3V AD13
B46 A46 B46 A46
AD12 GND AD13 AD11 AD12 GND AD13 AD11
B47 A47 B47 A47
AD10 AD12 AD11 AD10 AD12 AD11
B48 A48 B48 A48
AD10 GND AD9 AD10 GND AD9
B49 A49 B49 A49
GND AD9 GND AD9

B AD8 B52 A52 C/BE#0 AD8 B52 A52 C/BE#0 B


AD7 AD8 C/BE0# AD7 AD8 C/BE0#
B53 A53 B53 A53
AD7 +3.3V AD6 AD7 +3.3V AD6
B54 A54 B54 A54
AD5 +3.3V AD6 AD4 AD5 +3.3V AD6 AD4
B55 A55 B55 A55
AD3 AD5 AD4 AD3 AD5 AD4
B56 A56 B56 A56
AD3 GND AD2 AD3 GND AD2
B57 A57 B57 A57
AD1 GND AD2 AD0 AD1 GND AD2 AD0
B58 A58 B58 A58
AD1 AD0 AD1 AD0
B59 A59 B59 A59
+5V +5V +5V +5V
B60 A60 B60 A60
ACK64# REQ64# ACK64# REQ64#
B61 A61 B61 A61
+5V +5V +5V +5V
B62 A62 B62 A62
+5V +5V +5V +5V

YSLOT120 YSLOT120
VCC

AD[0:31] C280
7,12,14,15,29,31 AD[0:31]
104P
C/BE#[0:3]
7,12,14,15,29,31 C/BE#[0:3] U21
3 PCLK6 PCLK6 1 20
STOP# PCLK VCC PLDGNT#2
2 19 PLDGNT#2 14
VCC3 GNT#3 STOP# GNT2# PLDGNT#1
7,14 GNT#3 3 18
PCIRST# GNT_IN# GNT1# PLDGNT#0 PLDGNT#1 14
A 11,12,14,17 PCIRST#1 4 17 A
FRAME# RESET# GNT0#
RN74 5 16
PLDREQ#0 FRAME# S_FRAME# REQ#3
1 5 6 15
PLDGNT#2 1 5 PLDREQ#1 REQ0# REQ_OUT# REQ#3 7,14
2 14 PLDREQ#1 7 14
PLDGNT#1 2 PLDREQ#2 REQ1# STOP_REQ
3 8 13
PLDGNT#0 3 14 PLDREQ#2 REQ2# S2
4 9 12
4 NC S1
6 10 11
PLDGNT#0 6 GND GND
7
PLDREQ#1 7 82AMD-PALCE16V8 Title
8
PLDREQ#2 8 {Title}
9 10
9 10
10P8R-2.7K Size Document Number Rev
Custom {Doc} {RevCode}

Date: Thursday, July 27, 2000 Sheet 13 of 35


5 4 3 2 1
8 7 6 5 4 3 2 1

VCC3 VCC
VCC3
VCC3 VCC3
D VCC VCC +12V D
RN59
VCC VCC +12V FRAME# 1 5
IRDY# 1 5
2
TRDY# 2
3
-12V DEVSEL# 3
PCI 4
-12V STOP# 4
PCI5 6
PLOCK# 6
B1 A1 PCI6 7
-12V TRST# PERR# 7
B2 A2 B1 A1 8
TCK +12V -12V TRST# SERR# 8
B3 A3 B2 A2 9 10
GND TMS TCK +12V 9 10
B4 A4 B3 A3
TDO TDI GND TMS 10P8R-4.7K
B5 A5 B4 A4
+5V +5V PIRQ#A TDO TDI
B6 A6 PIRQ#A 11,12,13,15 B5 A5
PIRQ#B +5V INTA# PIRQ#C +5V +5V PIRQ#B
11,12,13,15 PIRQ#B B7 A7 PIRQ#C 12,13,15,29 B6 A6
PIRQ#D INTB# INTC# PIRQ#C +5V INTA# PIRQ#D
12,13,15,31 PIRQ#D B8 A8 B7 A7
INTD# +5V PIRQ#A INTB# INTC#
B9 A9 B8 A8 RN29
PRSNT1# RSVD1 INTD# +5V REQ#4
B10 A10 B9 A9 7,31 REQ#4 1 2
RSVD2 +5V PRSNT1# RSVD1 REQ#1
B11 A11 B10 A10 3 4
PRSNT2# RSVD3 3VDUAL RSVD2 +5V 7,12 REQ#1 REQ#3
B12 A12 B11 A11 7,13 REQ#3 5 6
GND GND PRSNT2# RSVD3 3VDUAL REQ#0
B13 A13 B12 A12 7,12 REQ#0 7 8
GND GND GND GND
B14 A14 B13 A13
RSVD5 RSVD4 PCIRST#1 GND GND
B15 A15 B14 A14 8P4R-2.7K
PCLK4 GND RST# PCIRST#1 11,12,13,17 RSVD5 RSVD4 PCIRST#1
B16 A16 B15 A15
3 PCLK4 CLK +5V PLDGNT#1 PCLK5 GND RST#
B17 A17 PLDGNT#1 13 3 PCLK5 B16 A16
PLDREQ#1 GND GNT# CLK +5V PLDGNT#2 VCC3
C 13 PLDREQ#1 B18 A18 B17 A17 PLDGNT#2 13 C
REQ# GND PME# PLDREQ#2 GND GNT#
B19 A19 13 PLDREQ#2 B18 A18
AD31 +5V RSVD6 AD30 PME# 11,12,13,15,29 REQ# GND PME#
B20 A20 B19 A19
AD29 AD31 AD30 AD31 +5V RSVD6 AD30
B21 A21 B20 A20 RN72
AD29 +3.3V AD28 AD29 AD31 AD30 GNT#1
B22 A22 B21 A21 7,12 GNT#1 1 5
AD27 GND AD28 AD26 AD29 +3.3V AD28 GNT#4 1 5
B23 A23 B22 A22 7,31 GNT#4 2
AD25 AD27 AD26 AD27 GND AD28 AD26 GNT#0 2
B24 A24 B23 A23 3
AD25 GND AD24 AD25 AD27 AD26 7,12 GNT#0 GNT#3 3
B25 A25 B24 A24 7,13 GNT#3 4
C/BE#3 +3.3V AD24 AD23 AD25 GND AD24 PIRQ#A 4
B26 A26 B25 A25 6
AD23 C/BE3# IDSEL# C/BE#3 +3.3V AD24 AD24 PIRQ#C 6
B27 A27 B26 A26 7
AD23 +3.3V AD22 AD23 C/BE3# IDSEL# IDSEL PIRQ#D 7
B28 A28 B27 A27 8
AD21 GND AD22 AD20 AD23 +3.3V AD22 PIRQ#B 8
B29 A29 B28 A28 9 10
AD19 AD21 AD20 AD21 GND AD22 AD20 9 10
B30 A30 B29 A29
AD19 GND AD18 AD19 AD21 AD20 10P8R-4.7K
B31 A31 B30 A30
AD17 +3.3V AD18 AD16 AD19 GND AD18
B32 A32 B31 A31
C/BE#2 AD17 AD16 AD17 +3.3V AD18 AD16
B33 A33 B32 A32
C/BE2# +3.3V FRAME# C/BE#2 AD17 AD16
B34 A34 FRAME# 7,12,13,15,29,31 B33 A33
IRDY# GND FRAME# C/BE2# +3.3V FRAME#
7,12,13,15,29,31 IRDY# B35 A35 B34 A34
IRDY# GND TRDY# IRDY# GND FRAME#
B36 A36 TRDY# 7,12,13,15,29,31 B35 A35
DEVSEL# +3.3V TRDY# IRDY# GND TRDY#
B37 A37 B36 A36
7,12,13,15,29,31 DEVSEL# DEVSEL# GND STOP# DEVSEL# +3.3V TRDY#
B38 A38 STOP# 7,12,13,15,29,31 B37 A37
PLOCK# GND STOP# DEVSEL# GND STOP#
B39 A39 B38 A38
7,12,13 PLOCK# PERR# LOCK# +3.3V PLOCK# GND STOP#
12,13,29,31 PERR# B40 A40 B39 A39
PERR# SDONE PERR# LOCK# +3.3V
B41 A41 B40 A40
SERR# +3.3V SBO# PERR# SDONE
B
7,12,13,15,29,31 SERR# B42 A42 B41 A41 B
SERR# GND PAR SERR# +3.3V SBO#
B43 A43 PAR 7,12,13,15,29,31 B42 A42
C/BE#1 +3.3V PAR AD15 SERR# GND PAR
B44 A44 B43 A43
AD14 C/BE1# AD15 C/BE#1 +3.3V PAR AD15
B45 A45 B44 A44
AD14 +3.3V AD13 AD14 C/BE1# AD15
B46 A46 B45 A45
AD12 GND AD13 AD11 AD14 +3.3V AD13
B47 A47 B46 A46
AD10 AD12 AD11 AD12 GND AD13 AD11
B48 A48 B47 A47
AD10 GND AD9 AD10 AD12 AD11
B49 A49 B48 A48
GND AD9 AD10 GND AD9
B49 A49
GND AD9
AD8 B52 A52 C/BE#0
AD7 AD8 C/BE0# AD8 C/BE#0
B53 A53 B52 A52
AD7 +3.3V AD6 AD7 AD8 C/BE0#
B54 A54 B53 A53
AD5 +3.3V AD6 AD4 AD7 +3.3V AD6
B55 A55 B54 A54
AD3 AD5 AD4 AD5 +3.3V AD6 AD4
B56 A56 B55 A55
AD3 GND AD2 AD3 AD5 AD4
B57 A57 B56 A56
AD1 GND AD2 AD0 AD3 GND AD2
B58 A58 B57 A57
AD1 AD0 AD1 GND AD2 AD0
B59 A59 B58 A58
+5V +5V AD1 AD0
B60 A60 B59 A59
ACK64# REQ64# +5V +5V
B61 A61 B60 A60
+5V +5V ACK64# REQ64#
B62 A62 B61 A61
+5V +5V +5V +5V
B62 A62
+5V +5V

A A

YSLOT120 AD[0:31]
7,12,13,15,29,31 AD[0:31]
YSLOT120 MICRO-STAR
C/BE#[0:3] Title
7,12,13,15,29,31 C/BE#[0:3]

Size
MS-6347
Document Number Rev
B PCI SLOT 3 0A

Date: Thursday, July 27, 2000 Sheet 14 of 35


8 7 6 5 4 3 2 1
5 4 3 2 1

U15A
PD_D[0:15] PD_D0 P16 W18 BITCLK
17 PD_D[0:15] PDD0 SDD0/BITCLK BITCLK 26,27 RN53
PD_D1 P18 V17 SDIN0
PDD1 *SDD1/SDIN SDIN0 26,27
PD_D2 P20 Y17 SDIN1 8 7
PDD2 *SDD2/SDIN2 SDIN1 26
PD_D3 R17 V16 4 3
PDD3 SDD3/SYNC SYNC 26,27
PD_D4 R19 Y16 6 5 VCC3
PDD4 SDD4/SDOUT SDOUT 26,27
PD_D5 T16 U15 2 1
PDD5 SDD5/-ACRST ACRST# 26,27
PD_D6 T18 W15 JBY GPIA R311 10K
D PD_D7 PDD6 SDD6/JBY JBX GPO4 R312 10K D
T20 U14
PD_D8 PDD7 *:VSUS SDD7/JBX JAY 8P4R-22 SPKR R313 1K
T19 Y15
PD_D9 PDD8 SDD8/JAY JAX
T17 V15
PD_D10 PDD9 SDD9/JAX JAB2
R20 T15
PD_D11 PDD10 SDD10/JAB2 JAB1 new modify
R18 W16
PD_D12 PDD11 SDD11/JAB1 JBB2
R16 U16
PD_D13 PDD12 SDD12/JBB2 JBB1
P19 W17
PD_D14 PDD13 SDD13/JBB1 TXD 3VDUAL
P17 Y18
PD_D15 PDD14 SDD14/MSO RXD
N20 Y19 RN57
PDD15 SDD15/MSI PME#_SB 1 2
PD_A0 M17 U19 SD_A0 SUS_CLK 3 4
17 PD_A0 PDA0 SDA0 SD_A0 17
PD_A1 M19 V18 SD_A1 SMBALT# 5 6
17 PD_A1 PDA1 SDA1 SD_A1 17
PD_A2 M18 U20 SD_A2 EXTSMI# 7 8 R180
17 PD_A2 PDA2 SDA2 SD_A2 17
-PDCS_1 L20 U17 -SDCS_1 PME#_SB
17 -PDCS_1 PDCS1 SDCS1 -SDCS_1 17 PME# 11,12,13,14,29
-PDCS_3 M16 U18 -SDCS_3 8P4R-10K
17 -PDCS_3 PDCS3 SDCS3 -SDCS_3 17
-DDACK_A M20 V19 -DDACK_B RN56 0
17 -DDACK_A PDDACK SDDACK -DDACK_B 17
DDREQ_A N19 Y20 DDREQ_B PD_80P 1 2
17 DDREQ_A PDDREQ SDDREQ DDREQ_B 17
-DIOR_A N17 W19 -DIOR_B SUSST# 3 4
17 -DIOR_A PDIOR SDIOR -DIOR_B 17
-DIOW_A N18 W20 -DIOW_B SD_80P 5 6 JAX
17 -DIOW_A PDIOW SDIOW -DIOW_B 17
HDRDY_A N16 V20 HDRDY_B RI#A 7 8 28 JAX JBY
17 HDRDY_A PDRDY SDRDY HDRDY_B 17
28 JBY JAY
8P4R-10K 28 JAY JBX
AD[0:31] AD0 L17 Y7 A20M# 28 JBX
AD0 A20M A20M# 4
7,12,13,14,29,31 AD[0:31] AD1 L16 V8 CPURST#
AD1 CPURST CPURST# 4
AD2 K20 V7 FERR# JAB1
AD2 FERR FERR# 4
AD3 K19 Y8 IGNNE# 28 JAB1 JAB2
AD3 IGNNE IGNNE# 4
AD4 K18 T6 CPUINIT# 28 JAB2 JBB2
AD4 INIT CPUINIT# 4
AD5 K17 W8 INTR 28 JBB2 JBB1
AD5 INTR INTR 4
AD6 K16 U7 NMI 28 JBB1
AD6 NMI NMI 4
AD7 J20 T7 SLP#
AD7 SLP/GPO7 SLP# 16
C AD8 J18 U6 SMI# C
AD8 SMI SMI# 4
AD9 J17 W7 STPCLK#
AD9 STPCLK STPCLK# 4
AD10 J16 TXD
AD10 28 TXD
AD11 H20 Y12 RXD
AD11 CPUSTP/GPO4 CPUSTPJ 25 28 RXD
AD12 H19 V12 GPOC
AD12 PCISTP/GPO5 GPOC 20
AD13 H18 VCC3
AD14 AD13 CLKRUN# RN54 3VDUAL
H17 W12 CLKRUN# 7,16
AD15 AD14 CLKRUN SPKR FAN_ON Watch Out:
H16 V5 SPKR 27 1 2
AD16 AD15 SPKR Leakage Current VBAT
F16 3 4
AD17 AD16 FAN_ON FAN_ON 20 GPOC D12
E20 T14 5 6
AD18 AD17 GPIOA/GPIO8 1N4148S JBAT1
E19 U8 7 8
AD19 AD18 GPIOD D13 VBAT1 C245 C238
E18
AD20 AD19 1N5817S 1
E17 8P4R-10K
AD21 AD20 PWROK R162 2 105P-C 105P-C
D20 W6 PWROK 7,21,22
AD22 AD21 *PWRGD SMBCLK 1K 4148s has 3
D19 U9 SMBCLK 3,9,10,16,26
AD23 AD22 *SMBCLK SMBDATA
D18 T9 SMBDATA 3,9,10,16,26 large YJ103
AD24 AD23 *SMBDATA
B20 voltage
AD25 AD24
A20 T8 PWRLED 21 droop
AD26 AD25 *GPO0 SUSST#
A19 V10 SUSST# 7
AD27 AD26 *SUSST1/GPO6 SUS_CLK BAT1
B19 T10 R163
AD28 AD27 *SUSCLK
A18 1K
AD29 AD28 EXTSMI#
B18 Y10 EXTSMI# 18,21
AD30 AD29 *EXTSMI RI#A
C18 V11 RI#A 19
AD31 AD30 *RING/GPI7 PME#_SB
A17 T11
AD31 *PME/GPI5/THRM GPIA
U11
C/BE#0 *BATLOW/GPI2 PWRBTN#
J19 Y11 PWRBT# 21,22
7,12,13,14,29,31 C/BE#[0:3] C/BE#1 C_BE0 *PWRBTN RSMRST# +12V VCC
G20 V6 RSMRST# 22
C/BE#2 C_BE1 *RSMRST PD_80P
F17 W11 PD_80P 17
C/BE#3 C_BE2 *GPI1/IRQ8 SD_80P VDDQ VCORE
C19 U10 SD_80P 17
C_BE3 *LID/APICREQ/GP SMBALT#
W10
B FRAME# *SMBALT/GPI6 B
7,12,13,14,29,31 FRAME# F18
IRDY# FRAME GPO4 R161 C226 R157 C229
F19 V9 GPO4 20
7,12,13,14,29,31 IRDY# TRDY# IRDY *SUSA/APICACK/G SUSB# 53.6KST 104P 16.2KST 104P
7,12,13,14,29,31 TRDY# F20 W9 SUSB# 21,22
STOP# TRDY *SUSB/APICCS/GP SUSC#
G17 Y9 SUSC# 21,22
7,12,13,14,29,31 STOP# DEVSEL# STOP *SUSC
G16
7,12,13,14,29,31 DEVSEL# SERR# DEVSEL
7,12,13,14,29,31 SERR# G18
PAR SERR R160 10KRST R156
G19 F15
7,12,13,14,29,31 PAR AD18 PAR GND
7,12,13,14,29,31 AD18 C20 G15
PREQ# IDSEL GND 10KRST
7,16 PREQ# L18 L15 C228 105P-C
PGNT# REQ GND
7,16 PGNT# L19 P15
PCIRST# GNT GND C225
17 PCIRST# B16 R15 105P-C
PCIRST GND
PIRQ#A A16
11,12,13,14 PIRQ#A PIRQ#B PINTA VCC3 HM_GND FB10 0-0603
D17 Y14
11,12,13,14 PIRQ#B PIRQ#C PINTB IN12
C17
12,13,14,29 PIRQ#C PIRQ#D PINTC
B17 W14

t
12,13,14,31 PIRQ#D PINTD IN5 R152 R151 10KRST RT1 YT103S-1N
PCLKSB E16 U13 10K SMD
3 PCLKSB PCICLK IN2A
Y5
RTCX1 IN2B
V13 Place RT1 under
R158 HM_GND
CPU
V14 X_0
X3 CHAS/GPIOC/GPIO
W5
YCRY32.768C RTCX2
W13
3VDUAL TSEN1 JCASE1
1 2 R9
VCCSUS
R10 T13
C256 C257 VCCSUS VREF R150 10KST-C FB24 YUHBT-301S-C 1
VBAT 2
Y6 Y13 JT1
10P 10P VBAT TSEN2
t

H15 T12 CPUFAN YJ102 X_YJ102 VBAT


A VCC FAN1 CPUFAN 20 A
J15
VCC SYSFAN
K15 U12 SYSFAN 20
VCC FAN2/GPIOB/GPIO R153
M15 X_2M
VCC3 VCC VCC3
N15
VCC 0-0805
R7 R12 FB28
VCC VCCHWM C230
R8 C234
VCC
R11
VCC 104P 0-0805
R14 R13 FB29
VCC GNDHWM YCA-ELS10/16-B Title
82VIA686A-1 Place RT2 under
HM_GND Socket 370 CPU MS-6347
Place RT1 near NB Size Document Number Rev
Custom {Doc} {RevCode}

Date: Thursday, July 27, 2000 Sheet 15 of 35


5 4 3 2 1
8 7 6 5 4 3 2 1

SD_D[0:15]
17 SD_D[0:15]
U15B
SD_D0 W1 B15 PD0 VCC VCC3
SA0 PRD0 PD[0:7] 19
SD_D1 V2 D15 PD1
SD_D2 SA1 PRD1 PD2 RN66
V1 A14
SD_D3 SA2 PRD2 PD3 REFRESH# SMBCLK
U3 B14 1 5 R103 2.7K
SD_D4 SA3 PRD3 PD4 BIOSCS# 1 5 3,9,10,15,26 SMBCLK
U2 C14 2
SD_D5 SA4 PRD4 PD5 IOW# 2 SMBDATA
D U1 D14 3 3,9,10,15,26 SMBDATA R102 2.7K D
SD_D6 SA5 PRD5 PD6 IOCHRDY 3
T4 E14 4
SD_D7 SA6 PRD6 PD7 IRQ4 4 PREQ#
T3 A13 6 R138 10K
SD_D8 SA7 PRD7 SBHE# 6 7,15 PREQ#
T2 7
SD_D9 SA8 IOCS16# 7 PGNT#
T1 B13 ACK# 19 8 R139 10K
SD_D10 SA9 ACK IOR# 8 7,15 PGNT#
R5 C13 BUSY 19 9 10
SD_D11 SA10 BUSY 9 10 SLP#
R4 D13 PE 19 R184 4.7K
SD_D12 SA11 PE 15 SLP#
R3 E13 SLCT 19
SD_D13 SA12 SLCT 10P8R-4.7K
R2 A15 ERR# 19
SD_D14 SA13 ERROR CLKRUN# 10K
R1 C15 INIT# 19 R169
SD_D15 SA14 PINIT
P5 C16 AFD# 19
SA16 SA15 AUTOFD CLKRUN#
P4 E15 SLIN# 19 R170 X_100
20 SA16 SA17 SA16 SLCTIN 7,15 CLKRUN#
P3 D16 STB# 19
20 SA17 SA18 SA17 STROBE
K2
SA19 SA18 SOUTA
K1 A11 SOUTA 19
SA20 SA19 TXD1 DTRA# VCC
J5 D11 DTRA# 19
SA21 LA20 DTR1 RTSA# VCC VCC
J4 B11 RTSA# 19
SA22 LA21 RTS1 CTSA# RN67
J3 C11 CTSA# 19
SA23 LA22 CTS1 DSRA# SA20 RN68 RN62
J2 C12 DSRA# 19 1 5
LA23 DSR1 DCDA# IRQ5 1 5 IRQ10 SD13
A12 DCDA# 19 2 1 5 1 5
SD[0:7] SD0 DCD1 RIA# IRQ9 2 IRQ3 1 5 SD12 1 5
20 SD[0:7] Y1 E11 RIA# 19 3 2 2
SD1 SD0 RI1 SINA MEMCS16# 3 IRQ7 2 SD8 2
Y2 B12 SINA 19 4 3 3
SD2 SD1 RXD1 SA19 4 IOCHK# 3 SD11 3
W2 6 4 4
SD3 SD2 SOUTB SA22 6 SD10 4 SA17 4
Y3 D10 SOUTB 19 7 6 6
SD4 SD3 TXD2 DTRB# SA23 7 SD9 6 SA16 6
W3 B9 DTRB# 19 8 7 7
SD5 SD4 DTR2 RTSB# SA21 8 SA18 7 SD15 7
V3 E10 RTSB# 19 9 10 8 8
SD6 SD5 RTS2 CTSB# 9 10 IRQ11 8 SD14 8
Y4 A9 CTSB# 19 9 10 9 10
SD7 SD6 CTS2 DSRB# 9 10 9 10
W4 C10 DSRB# 19
SD8 SD7 DSR2 DCDB# 10P8R-4.7K
L5 A10 DCDB# 19
SD9 SD8 DCD2 RIB# 10P8R-4.7K 10P8R-4.7K
C M2 C9 RIB# 19 C
SD10 SD9 RI2 SINB
M4 B10 SINB 19 FB26
SD11 SD10 RXD2 X_F301S0805 VCC3 VCC
N1
SD12 SD11 USB_VCC RN69
N3 F9 FB25
SD13 SD12 VCCUSB YUHBT-301S 3VDUAL RN61 DREQ3
N5 1 5
SD14 SD13 SD0 DREQ1 1 5
P1 C244 C233 1 5 2
SD15 SD14 SD6 1 5 DREQ0 2
P2 2 3
SD15 104P YCA-ELS10/16-B SD2 2 DREQ5 3
3 4
GPO16 USB_GND SD5 3 DREQ7 4
L2 F8 FB27 4 6
19 GPO16 GPO17 DACK0 GNDUSB YUHBT-301S SD3 4 DREQ6 6
19 GPO17 E1 6 7
GPO18 DACK1 USBCLK SD4 6 7
19 GPO18 D2 C3 USBCLK 3 7 8
GPO19 DACK3 USBCLK USB_DT0+ SD7 7 8
19 GPO19 L4 A3 USB_DT0+ 18 8 9 10
DACK5 USBP0+ USB_DT0- SD1 8 9 10
M3 B3 USB_DT0- 18 9 10
33 SELEN DACK6 USBP0- USB_DT1+ 9 10
N2 C4 USB_DT1+ 18
DACK7 USBP1+ USB_DT1- 10P8R-4.7K
D4 USB_DT1- 18
USBP1- OVER_C2 10P8R-4.7K
H3 OVER_C2 23
DREQ0 DRQ2/OC1/SERIRQ OVER_C0
L3 G5 OVER_C0 18
DREQ1 DRQ0 DACK2/OC0/GPIOF
E2
DREQ3 DRQ1 USB_DT2+
D3 A4 USB_DT2+ 23
DREQ5 DRQ3 USBP2+ USB_DT2-
M1 B4 USB_DT2- 23
DREQ6 DRQ5 USBP2- USB_DT3+
M5 B5 USB_DT3+ 23
DREQ7 DRQ6 USBP3+ USB_DT3-
N4 E6 USB_DT3- 23
DRQ7 USBP3-
B2 E5 KBCLK#
AEN KBCK KBCLK# 18
H2 A5 KBDAT#
BALE KBDT/KBRC KBDAT# 18
SBHE# F2 D5 MSCLK#
SBHE MSCK/IRQ1 MSCLK# 18
REFRESH# E3 C5
REFRESH MSDT/IRQ12 MSDAT# 18
IOR# D1
IOW# IOR BIOSCS#
C2 C1 BIOSCS# 20
MEMR# IOW ROMCS
B 20 MEMR# U4 B
MEMW# MEMR DRVEN0
20 MEMW# V4 D9 2 1
MEMW DRVDEN0 DRVEN1 DRVEN0
A1 D6 4 3
SMEMR DRVDEN1 DRVEN1
B1 6 5
IOCS16# SMEMW INDEX# INDEX#
F3 D7 8 7
MEMCS16# IOCS16 INDEX MOA# MOA#
F1 E9 10 9
IOCHRDY MEMCS16 MTR0 DSB# DSB#
A2 A8 12 11
IOCHK# IOCHRDY DS1 DSA# DSA#
F4 B8 14 13
IOCHK/GPI0 DS0 MOB# MOB#
H1 C8 16 15
TC MTR1 DIR# DIR#
J1 D8 18 17
17 RSTDRV RSTDRV DIR STEP# STEP#
E8 20 19
SIOOSC STEP WD# WD#
3 SIOOSC E4 A7 22 21
OSC WDATA PWE# PWE#
H5 B7 24 23
VCC3 BCLK WGATE TRAK0# TRAK0#
E7 26 25
IRRX TRAK00 WP# WP#
D12 A6 28 27
21 IRRX IRTX IRRX/GPO15 WRTPRT RDATA# RDATA#
21 IRTX E12 B6 30 29
IRTX/GPO14 RDATA HEAD# HEAD#
C7 32 31
R192 IRQ3 HDSEL DSKCHG# DSKCHG#
G4 C6 34 33
1K IRQ4 IRQ3 DSKCHG
G3
IRQ5 IRQ4 FDD1
G2 F6
IRQ5 GND YJ217-C-1
G1 F11
IRQ7 IRQ6/SLPBTN GND RN16 VCC
F5 G6
IRQ9 IRQ7 GND 8P4R-150
H4 J9
IRQ10 IRQ9 GND
K3 J10 1 2
IRQ11 IRQ10 GND
K4 J11 3 4
IRQ14 IRQ11 GND
17 IRQ14 L1 J12 5 6
IRQ15 IRQ14 GND
17 IRQ15 K5 K9 7 8
IRQ15 GND
K10
GND
T5 K11 R37
XDIR/PCS0/GPO12 GND 150
A U5 K12 A
XOE/GPO13 GND
L6
GND
F7 L9
VCC GND
F10 L10
VCC GND
F12 L11
VCC GND
VCC3
F13
F14
VCC GND
L12
M9
MICRO-STAR
VCC GND
H6 M10
VCC GND Title
J6 M11
VCC GND
K6
VCC GND
M12 686A PART2
M6 P6
VCC GND Size Document Number Rev
N6 R6
VCC GND 0A
82VIA686A-1
MS-6347
Date: Thursday, July 27, 2000 Sheet 16 of 35
8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

IDE1
IDERST 1 2
PDD7 3 4 PDD8
RN44 DDREQA PDD6 PDD9
D 15 DDREQ_A 1 2 5 6 D
PD_A0 7 8 PDA0 -DIOW_A 3 4 -DIOWA RN47 PDD5 7 8 PDD10
15 PD_A0 PD_A2 PDA2 15 -DIOW_A PD_D2 PDD2 8P4R-33 PDD4 PDD11
5 6 5 6 9 10
15 PD_A2 -PDCS_3 -PDCS3 PD_D13 PDD13 PDD3 PDD12
15 -PDCS_3 3 4 7 8 11 12
-PDCS_1 1 2 -PDCS1 PD_D6 1 2 PDD6 R92 PDD2 13 14 PDD13
15 -PDCS_1 PD_D9 PDD9 RN50 VCC 5.6K PDD1 PDD14
3 4 15 16
-SDCS_3 5 6 -SDCS3 8P4R-33 PDD0 17 18 PDD15
8P4R-33 15 -SDCS_3 -SDCS_1 7 8 -SDCS1 19 20
15 -SDCS_1 PD_D3 PDD3 R90 DDREQA
1 2 21 22
PD_D12 PDD12 RN48 1K -DIOWA
3 4 23 24
PD_D4 5 6 PDD4 8P4R-33 STB -DIORA 25 26
RN46 PD_D10 PDD10 STB HDRDYA R88
7 8 27 28 470
-DIOR_A 7 8 -DIORA -DIOW_B 1 2 -DIOWB -DDACKA 29 30
Strobe 15 -DIOR_A HDRDYA 15 -DIOW_B DDREQB RN52
15 HDRDY_A 5 6 15 DDREQ_B 3 4 16 IRQ14 31 32
Strobe -DDACK_A 3 4 -DDACKA -DIOR_B 5 6 -DIORB 8P4R-33 PDA1 33 34
15 -DDACK_A 15 -DIOR_B PD_80P 15
PD_A1 1 2 PDA1 SD_A1 7 8 SDA1 VCC PDA0 35 36 PDA2
15 PD_A1 15 SD_A1 -PDCS1 -PDCS3
R72 10K 37 38
39 40
8P4R-33 VCC
VCC
YJ220-CB-1
R66
All series Res. should be very close VT686A 4.7K
-DASP0 D9
1N4148S

R67
4.7K -DASP1 D10
HD_LED1 21
RN51 SD_D3 2 1 SDD3
8P4R-33 SD_D2 4 3 SDD2 RN64 1N4148S
SD_A2 SDA2 SD_D1 SDD1 D8
15 SD_A2 1 2 6 5 8P4R-33 31 SCSILED
C SD_A0 3 4 SDA0 SD_D0 8 7 SDD0 C
15 SD_A0 -DDACK_B -DDACKB SD_D7 SDD7 1N4148S
15 -DDACK_B 5 6 2 1
7 8 SD_D6 4 3 SDD6 RN71
SD_D5 6 5 SDD5 PDD7 CABLE:(OLD)YPKATA-005
8P4R-33
R326 75RST HDRDYB SD_D4 8 7 SDD4
15 HDRDY_B SD_D10 SDD10
2 1
SD_D11 4 3 SDD11 RN63
(NEW)K12-1080003
SD_D9 6 5 SDD9 R118
8P4R-33
SD_D8 8 7 SDD8 10K
C486 SD_D13 2 1 SDD13
33P SD_D14 4 3 SDD14 RN70
SD_D15 6 5 SDD15
8P4R-33
SD_D12 8 7 SDD12
IDE2
IDERST 1 2
SDD7 3 4 SDD8
SDD6 5 6 SDD9
RN49 SDD5 SDD10
7 8
PD_D8 7 8 PDD8 SDD4 9 10 SDD11
PD_D7 5 6 PDD7 SDD3 11 12 SDD12
16 SD_D[0:15] PD_D5 PDD5 SDD2 SDD13
15 PD_D[0:15] 3 4 13 14
SD_D0 PD_D11 1 2 PDD11 VCC SDD1 15 16 SDD14
SD_D1 PD_D9 R93 SDD0 17 18 SDD15
SD_D2 PD_D6 5.6K 19 20
SD_D3 PD_D8 8P4R-33 R91 DDREQB 21 22
SD_D4 PD_D7 1K -DIOWB 23 24
SD_D5 PD_D13 STB -DIORB 25 26
SD_D6 PD_D2 RN45 STB HDRDYB R86
27 28 470
SD_D7 PD_D12 PD_D14 7 8 PDD14 -DDACKB 29 30
B SD_D8 PD_D3 PD_D1 5 6 PDD1 31 32 B
SD_D9 PD_D11 PD_D15 PDD15 16 IRQ15 SDA1
3 4 33 34 SD_80P 15
SD_D10 PD_D4 PD_D0 1 2 PDD0 VCC SDA0 35 36 SDA2
SD_D11 PD_D10 R85 10K -SDCS1 37 38 -SDCS3
SD_D12 PD_D5 -DASP1 39 40
SD_D13 PD_D15 8P4R-33
SD_D14 PD_D0
SD_D15 PD_D14 YJ220-CW-1
PD_D1
SDD7

SDD[0:15] 20
VCC VCC R126 SDD0
10K SDD1
RN41 RN38 SDD2
SDD0 1 5 SDD8 1 5 SDD3
SDD1 1 5 SDD9 1 5 SDD4
2 2
SDD2 2 SDD10 2 SDD5
3 3
SDD3 3 SDD11 3 VCC SDD6
4 4
SDD4 4 SDD12 4 SDD7
6 6 14 U17A
SDD5 6 SDD13 6 SDD8
7 7
SDD6 7 SDD14 7 IDERST SDD9
8 8 1 2
SDD7 8 SDD15 8 16 RSTDRV SDD10
9 10 9 10
9 10 9 10 74HC014S SDD11
7
SDD12
10P8R-4.7K 10P8R-4.7K VCC VCC SDD13
14 U17B 14 U17C SDD14
SDD15
A PCIRST# R333 0 3 4 5 6 PCIRST#1 A
15 PCIRST# PCIRST#1 11,12,13,14
7 74HC014S 7 74HC014S

C459 VCC VCC


X_104P 14 U17D 14 U17E
VCC
MICRO-STAR
9 8 11 10 PCIRST#2 14 U17F Title
PCIRST#2 7,29,31
7 74HC014S 7 74HC014S 13 12
MS-6347
Size Document Number Rev
7 74HC014S ATA 33/66/100 0A

Date: Thursday, July 27, 2000 Sheet 17 of 35


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

SB5V
F4 FB5 3 amp current Tolerant
0-1206

YFUSE2AS-PL C20 C10


R15
1000U/6.3V 104P 47K
R17
470K
D
OVER_C0
PGND D

16 OVER_C0
C11 R18
560K
102P
RN60
7 8 AUSBDT1- USB1
16 USB_DT1- 5 6 AUSBDT1+
16 USB_DT1+ 3 4 AUSBDT0+ 1 5
16 USB_DT0+ AUSBDT0- VCC VCC
1 2
16 USB_DT0- AUSBDT0- FB8 YUHBT-301S-C 2 6 FB6 YUHBT-301S-C AUSBDT1-
DATA0- DATA1-
8P4R-27 AUSBDT0+ FB9 YUHBT-301S-C 3 7 FB7 YUHBT-301S-C AUSBDT1+
DATA0+ DATA1+
4 8
GND GND
8
6
4
2

8
6
4
2
CN18 9 11
RN11 CGND CGND
8P4C-47P
8P4R-15K 10 12
CGND CGND
7
5
3
1

PGND YUSB-D1 PGND

7
5
3
1
PGND

C C

2
4
6
8
CN2
3VDUAL
U1
8P4C-47P
5 1
VCC OE# VCC3

1
3
5
7
EXTSMI# 4 2
15,21 EXTSMI# Y A

3
GND
PGND
X_NC7SZ125

SB5V
F2 CP1
KBMSVCC

SB5V YFUSE1.1AS-P X_COPPER


B C1 R5 B
47K JKBMS1
1206 SIZE 104P
PGND 1 7
2 8
3 9
2
4
6
8

CN1
RN4 4 10 R_MSCLK# 1 2
8P4R-10K 5 11 R_KBCLK# 3 4
6 12 R_MSDAT# 5 6
13 R_KBDAT# 7 8
14 17
15 16
1
3
5
7

0805 SIZE
YDM12P-1 8P4C-47P
PGND
PGND
KBDAT# FB1 YUHBT-301S-C R_KBDAT#
16 KBDAT#

KBCLK# FB3 YUHBT-301S-C R_KBCLK#


16 KBCLK#

0805 SIZE
0805 SIZE
A A
MSCLK# FB4 YUHBT-301S-C R_MSCLK#
16 MSCLK#

0805 SIZE
MICRO-STAR
Title
MSDAT# FB2 YUHBT-301S-C R_MSDAT#
16 MSDAT# MS-6347
Size Document Number Rev
B USB2 CONNECTOR 0A

Date: Thursday, July 27, 2000 Sheet 18 of 35


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VCC CN5
1 2 NRIB#
3 4 NCTSB# LPT1
D6 5 6 NDSRB#
U7 1N4148S LGND 7 8 NRTSB
ACK# 1 20 47
16 ACK# BUSY RCD1 VCC
16 BUSY 2 C85
PE RCD2 8P4C-180P
16 PE 8
SLCT RCD3 CN6 NDCDA#
10 26 51
16 SLCT RCD4 LGND 104P NDTRB NDSRA#
22 7 8 31
GND NSINB NSINA
5 6 27
AFD# 12 3 4 NSOUTB NRTSA 32
16 AFD# ERR# RCD5 NDCDB# NSOUTA
D 16 ERR# 15 1 2 28 D
INIT# RCD6 NCTSA# SLCT
27 33 13
16 INIT# SLIN# RCD7 NDTRA
28 29 25
16 SLIN# RCD8 8P4C-180P NRIA# PE
34 12
LGND 30 24
PD[0:7] PD0 3 26 PRND0 11 BUSY
16 PD[0:7] PD1 RRCD1P RRCD1N PRND1
4 25 23
PD2 RRCD2P RRCD2N PRND2 ACK#
6 23 10
PD3 RRCD3P RRCD3N PRND3 CN4
7 21 49 22
PD4 RRCD4P RRCD4N PRND4 NRIA# PRND7
9 19 7 8 9
PD5 RRCD5P RRCD5N PRND5 NCTSA#
11 18 5 6 21
PD6 RRCD6P RRCD6N PRND6 NDSRA# PRND6
13 17 3 4 8
PD7 RRCD7P RRCD7N PRND7 LGND NRTSA
14 16 1 2 20
RRCD8P RRCD8N PRND5
48 7
STB# 5 24 RSTB# 19
16 STB# RRCD9P RRCD9N 8P4C-180P PRND4
6
SZ1284S CN3
18
7 8 NDTRA 5 PRND3
5 6 NSINA 50 17 SLIN#
3 4 NSOUTA 4 PRND2
1 2 NDCDA# 16 INIT#
3 PRND1
NDCDB# 35 15 ERR#
8P4C-180P NDSRB# 40 2 PRND0
U8 LGND NSINB 36 14 AFD#
1 20 VCC NRTSB 41 1 RSTB#
VDD(12V) VCC(5V) NSOUTB 37
NCTSB# 42
16 RTSB# RTSB# 16 5 NRTSB NDTRB 38
DTRB# DA1 DY1 NDTRB NRIB#
C 16 DTRB# 15 6 43 C
SOUTB DA2 DY2 NSOUTB
16 SOUTB 13 8 39 52
DA3 DY3
RIB# 19 2 NRIB#
16 RIB# CTSB# RA1 RY1 NCTSB#
16 CTSB# 18 3 46
DSRB# RA2 RY2 NDSRB#
16 DSRB# 17 4
SINB RA3 RY3 NSINB
16 SINB 14 7
DCDB# RA4 RY4 NDCDB#
12 9
16 DCDB# RA5 RY5 YCN25F-001-1
(LPT)
10 11 LGND
VSS(-12V) GND

75232-1

C98 VCC
D3 VCC
1N4148S 104P U5
+12V A C 1 20 VCC
VDD(12V) VCC(5V)

2
4
6
8
RTSA# 16 5 NRTSA
16 RTSA# DA1 DY1

8
6
4
2
DTRA# 15 6 NDTRA RN28
16 DTRA# SOUTA DA2 DY2 NSOUTA RN25
16 SOUTA 13 8 8P4R-470
DA3 DY3 8P4R-470
RIA# 19 2 NRIA#
16 RIA# CTSA# RA1 RY1 NCTSA#
B 16 CTSA# 18 3 B
RA2 RY2

1
3
5
7
DSRA# 17 4 NDSRA#
16 DSRA# RA3 RY3
SINA NSINA

7
5
3
1
14 7 LED2
16 SINA DCDA# RA4 RY4 NDCDA#
12 9
16 DCDA# RA5 RY5 LED1 LED2
1 2
D11 16 GPO16
16 GPO17
-12V C A 10 11 16 GPO18
VSS(-12V) GND LED3 LED4
16 GPO19 3 4
1N4148S
C43 75232-1
104P LED5 5 6 LED6

LED7 7 8 LED8

8
6
4
2
RN26 YLED3-4CGRS-1

8P4R-1K

RI#A Q21

7
5
3
1
Q20 Q19 Q17
SB5V 2N3904S 2N3904S
RI#A SB5V 2N3904S C C 2N3904S C C
RI#A 15
B B B B
E E E E
JWOL1 R259 C
B Q34
3
E 2N3904S D17
R258
2 330K
1K JMDM1
1 1N4148S
A C352 R252 C A
YJ103P2-BO R262 NRIA# Q32 5
A C B R257 E
4 Q33
10K E B
1N4148S 3
LAN WAKEUP 104P 10K 2N3904S
2
C2N3906S
HEADER NRIB# A C R253 10K
1
D18 1K YJ105 MICRO-STAR
INTERNAL MODEM WAKEUP
HEADER Title
MS-6347
Size Document Number Rev
B PARALLEL PORT 0A

Date: Thursday, July 27, 2000 Sheet 19 of 35


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

D D

SDD[0:15]
17 SDD[0:15] SD[0:7]
SD[0:7] 16
U16
SDD0 12
SDD1 A0 SD0
11 13
SDD2 A1 D0 SD1
10 14
SDD3 A2 D1 SD2
9 15
SDD4 A3 D2 SD3
8 17
SDD5 A4 D3 SD4
7 18
SDD6 A5 D4 SD5
6 19
SDD7 A6 D5 SD6
5 20
SDD8 A7 D6 SD7
27 21
SDD9 A8 D7
26
SDD10 A9
23 VCC
SDD11 A10
25
SDD12 A11
4
SDD13 A12 C268
28
SDD14 A13 104P
29 R165
SDD15 A14
3 32
SA16 A15 VCC
16 SA16 2
SA17 A16
C 16 SA17 30 0 C
R_SA18 A17 BIOSCS#
1 22 BIOSCS# 16
A18 CE# MEMR#
24 MEMR# 16
OE#
31 C E MEMW# 16
PGM#
16
GND X_2N3904S
Q24

B
29F020PLCC
R164 R174
VCC GPO4 15
X_1K X_1K

R166
4.7K J5
VCC
1
2
J4 X_YJ102
1
2
X_YJ102
¤j³°Áp·Q
Bios Protection

B B

Fan Driver
+12V +12V

R190 4.7K
1

S_FAN1
E R179
Q26 3 4.7K
R191 B
R181 1K 2 +12V
C C
FAN_ON Q25 2PB710S 1
15 FAN_ON B
4.7K R182 4.7K
2

E YJ103-BO R68
SYSFAN
SYSFAN 15
2N3904S 27K E
1

+12V R75 B Q16


C_FAN1 +12V R183 R76 C 1K C 2PB710S P_FAN1
10K GPOC B Q18
3 15 GPOC 4.7K 3
E E
Q4 2 2
B
1 1
1

R1 1K C 2N3904S
2PB710S YJ103-BO R2 YJ103-BO
4.7K
A A
2

R3
CPUFAN
CPUFAN 15
27K
1

R4
10K
MICRO-SATR
Title
MS-6347
2

Size Document Number Rev


B FLASH MEMORY 0A

Date: Thursday, July 27, 2000 Sheet 20 of 35


8 7 6 5 4 3 2 1
5 4 3 2 1

R221
3VDUAL
4.7K R220
VCC VCC PWRSW+ PWRBT#
PWRBT# 15,22
JRMS1 75
C301
R289 LED1 1 104p
SB5V 330 2
R291 14 U33A X_YJ102
D
2.7K JGL2 D
1 2 JGL1
1
2 1
PWRLED 3 2
74ALS005S
15 PWRLED VCC YJ103 X_YJ102

R288
SB5V 330
14 U33B

3 4 LED2#

74ALS005S

This block is reserved for two color LED indicate system power state.

VCC

C349
HD_LED1 17
561P
C
IR VCC C

MSI JFP1 J2
C197 104p

1 10 1
R256 2
A3 2 11 HD_LED1
VCC 3 12 3 IRRX
X_0 3VDUAL IRRX 16
4 13 R247 4
LED2# 330 IRTX
LED1 5 14 A2 5 IRTX 16
R232
PWRSW+ 6 15
100
7 16 FP_RST# RESET#
8 17 YJ105
R296
9 18 4.7K SB5V
FP_RST# 3
C326 C331 YJ2090013
104P 104P SB5V R303
STR Power-on 14 U33C
10K
R302
R246
A1 BZ1 SUSB# 5 6 PWRON#
15,22 SUSB# 100
YBZ12-6V
A

75 74ALS005S
7
D15 R292 X_0
R236
1N4148S 15,22 SUSC#
R234 C367
75
2.2KRST C
Normal Power-on
C

SPEAKER B VCC 104P


27 SPEAKER E C330
B 2N3904S 104P VCC VCC VCC VCC B
Q31
C458 C23 C52 C24 C47

103P 103P 104p 103P 104p


EXTSMI#
15,18 EXTSMI#
JGS1
K7PWRGD
1 23 K7PWRGD VCC3 VCC3
2
YJ102 C83 C84

SB5V VCORE 103P 104p


+12V
R304
-5V -12V VCC3 R298 270
VCC 8.2K SB5V +12V SB5V -12V -5V
14 U33D + EC40
D7 C22 C9 C71 C44 100U/25V
A C 9 8 CPU_PG
CPU_PG 4 104P 104P 104P 104P
JWR1
11 1 1N4148S R290 74ALS005S VCC3
3.3V 3.3V 1K
12 2
-12V 3.3V
13 3 R293 Put near ATX Power Connector
PWRON# GND GND 4.7K
14 4
PS_ON 5V
C62
15
16
GND GND
5
6
SB5V
14 U33E
SB5V
14 U33F
For EMI issue
GND 5V VCC R294 R297
102P 17 7
GND GND 3.3K 100
A 18 8 PWOK 11 10 13 12 A
-5V PW_OK PWROK 7,15,22
19 9 SB5V
5V 5V_SB C369
VCC 20 10 +12V
5V 12V R299 EC34 C368
+ 74ALS005S 74ALS005S
YPC20 C291 104P 6.19KRST YCA-ELS10/16-B 104P
102P
MICRO-STAR
Title
D2
A C
MS-6347
PWROK# Size Document Number Rev
Power Sequence FRONT PANEL 0A
1N4148S Protection
Date: Thursday, July 27, 2000 Sheet 21 of 35
5 4 3 2 1
A B C D E

4 4

SB5V SB5V
SB5V

V_DIMM 3VDUAL

14

14
RSMRST#

14
1 4
9 3 6
7,15,21 PWROK 8 2 5
STR# 10 U3A U3B Q9
U3C 74F000S 74F000S SB5V 1 6
74F000S D S
2 5
STANDBY# D D
3 4
G S
D03-0200303-F01
NDC632P

14
U4A

4
2 5 +12V VCC3 V_DIMM
D P Q
Q12 V_DIMM
3 6 1 8
SB5V C Q R35 2
S D
7 EC6 1000U/6.3V
74HCT074S 10K S D
3 6
ON S D

1
4 5 EC15 1000U/6.3V
SB5V G D
R14 YFET-SI4410DYS
4.7K C
MAINON# R36 1K Q13 STR Used

14
B
SUSB 12 E
3 11 STR# 2N3904S --(Place 3

C 13 10 vias at
R16 4.7K B 15,21 SUSC# U3D
15,21 SUSB# E 74F000S each
2N3904S
Q8 side)
V_DIMM V_DIMM

C438 103P C86 104P V_DIMM V_DIMM


SB5V
C41 104P C87 104P C442 104P C451 104P

C437 104P C88 103P C443 104P C450 X_104P

C440 104P C89 104P C444 104P C454 104P


14
10

U4B
C439 104P C117 104P C445 103P C455 103P
12 9 R29 X_1.5K RSMRST#
D P Q
RSMRST# 15 V_DIMM V_DIMM C448 X_104P C456 104P
11 8
15,21 PWRBT# C Q R21 C441 103P C118 104P V_DIMM V_DIMM
74HCT074S X_3.3K
13

SB5V C58 104P C119 103P C447 104P C457 X_104P

R33 10K C59 103P C120 104P C446 104P


3VDUAL
C26 C60 103P C144 X_104P C449 X_104P

X_105P-C R30 C61 104P C145 X_104P C453 103P


2 2

W/O STR 330K C452 104P


Around DIMM slots
C21 Around DIMM slots
105P-C

VCC3 V_DIMM
RN14
8 7
6 5
4 3
2 1

X_8P4R-0

Non STR Used--(Place 10 vias


VCC3 VCCA_PU
SB5V at each side)
D21
R308 40.2 5VDUAL 3VDUAL
1 VR6
R309 IN1
YLT1084DS
C386 C387 2 5VDUAL 3 2 40MILS TRACE
E

0 OUT VIN VOUT


104P VR7 B 104P 3
ADJ

YREG431S IN2
1 1

R310 R301 + EC23


YDIPPSR16C40CT C366 220RST 1000u/6.3V
C

X_0 104P
VCC
MICRO-STAR
R300
C370 390RST Title
104P SUSPEND TO RAM (STR)
Size Document Number Rev
C MS-6347 0A

Date: Thursday, July 27, 2000 Sheet 22 of 35


A B C D E
5 4 3 2 1

VCC RN58
USB_DT3- 7 8 USBDT3-
16 USB_DT3- USB_DT3+ USBDT3+
16 USB_DT3+ 5 6
R228 K7PWRGD USB_DT2- 3 4 USBDT2-
K7PWRGD 21 16 USB_DT2- USB_DT2+ USBDT2+
1 2

C
10K 16 USB_DT2+
Q30
D D
B NDS7002AS 8P4R-27
VCORE

7
5
3
1

1
3
5
7
E
C
R225 8.2K B Q29 CN17 RN65
E 8P4C-47P
R224 C300 MMBT222A 8P4R-15K
X_33K
104P
VCCA_PLL

8
6
4
2

2
4
6
8
C
R219 15K B Q28
E
1.25V R218 C292 MMBT222A
15K
473P
VCC3
C
R217 27K B Q27
E
0.768V R216 C290 MMBT222A
8.2K
105P-C 3 amp capacity
VCC
F6 FB30 0-1206
P1

YFUSE2AS-PL 1000U/6.3V C258 C259


R185
Rubycon 104P 47K
C C
R178
470K

OVER_C2
16 OVER_C2
C246 R177
560K
102P

USB2

USBDT3- FB31 0 1 6 FB33 0 USBDT2-


USBDT3+ FB32 0 2 7 FB34 0 USBDT2+
3 8
4 9
5 10
YJ205

G1
B B

MSI

2
4
6
8
CN19
8P4C-47P

1
3
5
7
A A

Title
{Title}

Size Document Number Rev


{Doc}

Date: Thursday, July 27, 2000 Sheet 23 of 35


5 4 3 2 1
8 7 6 5 4 3 2 1

VCORE CPU VCORE DECOUPLING


CAPACITOR

AGP DECOUPLING
C33 C82 C38
SB5V 105P-C 104P 103P
VDDQ Near AGP SLOT C471 C75 C472
X_104P 39P X_39P
C5
D C45 C73 C55 D
104P 475P 105P-C
C166 C162 C163 C161 C170 C160
104P 103P 104P 104P 104P 475P 475P C475 C69 C74
105P-C 103P 104P
VCC
EC4 C473 C68 C78
X_39P 104P 105P-C

+
1000U/6.3V C51 C50 C76
VDDQ VDDQ 475P 475P 475P

+12V C149 C378 C11-1043044 C31 C32 C64


C212 105P-C 104P 104P 103P

C148 C379 C474 C39 C54


103P 104P(DIP) X_39P 105P-C 105P-C
104P
VCC C152 C381 C81 C65
EC41 104P 104P(DIP) 104P 105P-C

+
C159 C420 C80 C77
1000U/6.3V 104P 104P(DIP) 39P 475P

C153 C421 C79 C63


103P 104P(DIP) 475P 475P

Under 8363
Around 8363 VCORE VCORE
C C

C393 C404 VCORE


VCC 475P 475P
VCC
EC22 C394 C405 C415
VCC VCC3 104P 105P-C
+

103P

1000U/6.3V C395 C406


103P 104P
C7 C181 C273 C297 C313 C360 C274
C365 C382 C350 C294 C351 C396 C40
104P 104P 104P 104P 104P 104P 104P 104P 104P 104P 104P 103P 475P
104P
C397 C408
104P 103P
C409
C398
475P
VCC3
C399 C70 39P
C375 105P-C 475P

C11-1043044 C400 C411


VCC3 C376 103P 104P

1.0VER FOR EMI 104P(DIP) C401 C36


C377 104P 475P

B C11-1043044 C402 C37 B


C374 104P 475P
C213 C262
VCORE VCORE 3VDUAL +12V +12V -12V PCI C11-1043044 C46 C414
104P 104P C416 475P 105P-C
SLOT C346 C267 S.B.
C436 C435 C426 C429 C425 C427 104P(DIP)
104P 104P C417
X_104P 104P 104P 104P 104P 104P VCORE VCORE
C165 C224 104P(DIP)
C418 C95
AGP 104P 104P 105P-C C371
C182 C214 104P(DIP) C11-1043044
EMI SLOT C419 C96
104P 104P 105P-C C372
104P(DIP) 104P(DIP)
Under 8363
C94
C116 104P C373
VCC N.B. C202 C11-1043044
VCC3 104P C93
C115 104P VCC3 104P C422
C460 104P(DIP)
104P C428 C92
104P C137 104P 103P C423
C463 104P(DIP)
C478 C462 C469 C470 C468 C467 C477 C476 104P C430 C97
104P C138 VCC3 103P
X_104P X_104P X_104P X_104P X_104P 104P 104P 104P C464 EC13 104P
104P C432
+

A 104P A
C465 1000U/6.3V 104P vcore decoupling for 8363
Under 8363
104P C433 Around 8363
104P C101 C42
C466 104P
104P
104P
104P C434
MICRO-STAR
Title
104P
EMI MS-6347
Size Document Number Rev
B DRAM AND 440BX DECOUPLING CAPACITOR 0A

Date: Thursday, July 27, 2000 Sheet 24 of 35


8 7 6 5 4 3 2 1
5 4 3 2 1

VCCA_PU VCC
RN12
RN9 RN1
1 2
VID1 R_VID0 VCC
8 7 2 1 3 4
6 5 VID0 4 3 R_VID1 5 6
4 3 VID2 6 5 R_VID2 7 8
2 1 VID3 8 7 R_VID3 VCC3
8P4R-4.7K
8P4R-680
8P4R-4.7K U6
R9 680 R330 R_VID4 2 4 R_VID0
D VID4 R10 R_VID4 VID0 1A 1Y D
3

C
10K 4 VID0 1B R_VID1
X_0 5 7
R331 0 R12 330 Q5 VID1 2A 2Y
6
IOUT CPUSTPJ PWRDNJ NDS7002AS 4 VID1 2B R_VID2
B 11 9
15 CPUSTPJ VID2 3A 3Y
10
3B

E
VID4 4 VID2 14 12 R_VID3
R20 R19 R11 VID4 4 VID3 4A 4Y
13
4 VID3 4B
2.2K 4.32KST 1K PWRDNJ 1
A/B
15
DROOP OCP G
74F157S
C12 C13
R24 R23 U2
103P 104P 1 28
IOUT PWRGD VCC
330 470
2 27 R_VID0 CHOCK1
DROOP VID0
3 26 R_VID1
OCP VID1 C8 YCHOKE-018 C6

3900U/10

3900U/10

3900U/10
V

V
VHYST 4 25 R_VID2 + + + C25
VHYST VID2

EC3

EC1

EC5
C14 104P 104P
VREFB 5 24 R_VID3 105P-C
104P VREFB VID3
C R26 C
VSENSE 6 23 R_VID4
VSENSE VID4 YCA-EL3900/10VL (RB)
100
C15 C16 R6 VCC HISENSE Q11
7 22
R25 AGND INHIBIT 10K D03-0105000-F01

2
104P 102P 104P C17 8 21 C4 473P FDB6670ALS R50
SOFTST IOUTLO R7
20K 20/0805

C2
9 20 HIDRV 1 1 HIDRV

P
104
NC LOSENSE

3
10 19 Q10 X_10K
VCC LODRV HISENSE D03-0105000-F01 CHOCK2
LOSENSE 11 18
LOHIB BOOTLO C48 C66
12 17 HIDRV Q14 L04-25A8011-M18

2
DRVGND HIGHDR YFET-SUP75N03-04 102P 102P

2
LODRV C18

17S

17S
13 16
LOWDR BOOT

D4

D5
R59

1N58

1N58
C19 105P-C14
DRV VIN12V
15 104P LODRV 1 1 LODRV 35A @+/- 100 mV
20/0805

1
I32-000140X-S04 Q15
YFET-SUP75N03-04 VCORE
R31 X_470
VSENSE R28 150
4 COREFB+

560U/4V(OSC)
4700U/6.3V

4700U/6.3V

4700U/6.3V

4700U/6.3V

4700U/6.3V
U/4V(OSC)

U/6.3V
B B
R32 R27 + + + + + + + +

EC7

EC2

EC9

EC8
EC38

EC39

EC11

EC10
C91 C90 C56

X_4700
X_10K 10K
103P 104P 104P

X_560
C

D1
+12V
SC1155 -- VRM 9.0
4 COREFB-
1N4148S VID4 VID3 VID2 VID1 VID0 VDC(V) FOR EMI USE
1 0 1 1 0 1.30 VID4 VID3 VID2 VID1 VID0 VDC(V)
A

1 0 0 0 1 1.425 0 0 1 1 0 1.70
IOUT=2*Rds(on)*Iload C3
1 0 0 0 0 1.45 0 0 1 0 1 1.725
Hysteretic=2*(REFB-HYST) 475P
0 1 1 1 1 1.475 0 0 1 0 0 1.75
Vout=Vref*(1+R26/R25)-IOUT*(R24/(R20+R42)) 0 1 1 1 0 1.500 0 0 0 1 1 1.775
OVP occur at VREF*140%
1 mS deglitch 0 1 1 0 1 1.525 0 0 0 1 0 1.80
OCP is set at 100mV 0 1 1 0 0 1.550 0 0 0 0 1 1.825
A
Iss=I(REFB)/5 0 1 0 1 1 1.575 0 0 0 0 0 1.85 A

0 1 0 1 0 1.600 1 1 1 1 1 NO CPU
0 1 0 0 1 1.625 MICRO-STAR
0 1 0 0 0 1.650
Title
MS-6347
0 0 1 1 1 1.675 Size Document Number Rev
0 0 1 1 0 1.700 B
VRM SC1154
0A

Date: Thursday, July 27, 2000 Sheet 25 of 35


5 4 3 2 1
8 7 6 5 4 3 2 1

D D

CNR RISER
C C
SB5V
CNR1
B1 A1
RESV1(MII_MDIO) (MII_MDC)RESV7
B2 A2
RESV2(MII_COL) (MII_CRS)RESV8
B3 A3
RESV3(MII_TXEN) GND9
B4 A4
GND1 (MII_RXDV)RESV9
B5 A5
RESV4(MII_RXERR) (MII_RXC)RESV10
B6 A6
RESV5(MII_TXD3) GND10
B7 A7
GND2 (MII_TXD2)LAN_TXD2
B8 A8
LAN_TXD1(MII_TXD1) (MII_TXD0)LAN_TXD0
B9 A9
LAN_RSTSYNC(MII_TXC) GND11
B10 A10
GND3 LAN_CLK
B11 A11
LAN_RXD2(MII_RXD2) (MII_RXD3)LAN_RXD1
B12 A12
LAN_RXD0(MII_RXD0) (MII_RXD1)RESV11
B13 A13
GND4 USB+
B14 A14
RESV6 GND12
B15 A15
+5VDUAL USB-
B16 A16 +12V
USB_OC# +12V
B17 A17
GND5 GND13
-12V B18 A18 3VDUAL
-12V +3.3VDUAL
VCC3 B19 A19 VCC
+3.3VD +5VD

B20 A20
GND6 GND14
B21 A21
EE_DOUT EE_DIN
B22 A22
EE_SHCLK EE_CS
B23 A23
GND7 SMB_A1
B24 A24
SMB_A0 SMB_A2 VCC3
3,9,10,15,16 SMBCLK B25 A25 SMBDATA 3,9,10,15,16
SMB_SCL SMB_SDA
B
Onboard Primary audio 1 R282 2 PRI_DN# B26
PRIMARY_DN# AC97_RESET#
A26 ACRST#
ACRST# 15,27
B

codec 0 B27
GND8 RESV12
A27
B28 A28 1 2 SDIN1
AC97_SYNC AC97_SDATA_IN1 SDIN1 15
15,27 SYNC B29 A29 1 2 22 R283 SDIN0
AC97_SDATA_OUT AC97_SDATA_IN0 SDIN0 15,27
15,27 SDOUT H_BITCLK 1 2 B30 A30 R285
15,27 BITCLK R287 AC97_BITCLK GND15 22

1
0 YSLOT60 Near CNR
Near CNR R286 R284
10K 10K

2
Near CODEC

C_RST# -ACRST
AUDIO C_SDO SDOUT
Controller C_SYNC SYNC
C_SDI SDIN0 CODEC
C_BCLK BITCLK

A A

H_ACRST#
H_SDOUT
MICRO-STAR
CNR/AMR

H_SYNC
S.B. H_SDIN0
H_BITCLK Title
SDIN1
MS-6347
Size Document Number Rev
CNR 0A

Date: Thursday, July 27, 2000 Sheet 26 of 35


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VR4
YREG78L05
+12V +5VR

VOUT
GND
VIN
D +5VR D
+5VR

1
R314 4.7K
R315 4.7K EC35
C210 + C205
R316 X_4.7K R159
0 104P 104P

AGND
AGND
YCA-ELS10/16-B
VCC3 VCC
-12V -5VR
C252 VR3
105P-C YREG-LM79L05
1

1
2 3
R189 R188 IN OUT
U14

48
47
46
45
44
43
42
41
40
39
38
37

GND
X_0 0
C198 EC36

MONO
TEST6
TEST5
TEST4
TEST1

TEST3
TEST2
AVSS2

AVDD2
NC
NC

NC
+
104P YCA-ELS10/16-B
2

1
CODECP 1 36 LINE_OUT_R AGND
DVDD1 LOUTR LINE_OUT_R 28
XTALIN_AC 2 35 LINE_OUT_L
XTL_IN LOUTL 28
XTALOUT_AC 3 34 LINE_OUT_L C249
C236 C253 XTL_OUT NC 104P
4 33
104P 104P SDOUT DVSS1 NC
C 5 32 C
BITCLK_R SDATA_OUT VRDA
6 31
BIT_CLK VRAD
7 30
SDIN0_R DVSS2 AFILT2
8 29
SDATA_IN AFILT1
9 28
SYNC DVDD2 NC
10 27
ACRST# SYNC VREF
11 26
CODECP RESET# AVSS1 EC24 EC37 C247
12 25 C227
PC_BEEP AVDD1 C231 C235 C239 C248
104P + +
C240 C260 C250 C242 X_104P

VIDEOR
C254 105P-C 391P 391P X_105P-C
VIDEOL
PHONE

AUXR
104P
AUXL

102P 475P 104P 105P-C

MIC1
MIC2

LINR
CDR

LINL
CDL
NC R171 YCA-ELS10/16-B
13
14
15
16
17
18
19
20
21
22
23
24
B01-0000504-V01 4.7K
VIA1611A YCA-ELS10/16-B
AGND
AGND 28
R167 C232
R119 20K
1 2 220 LINE_R 28
X_1K X_105P-C
C237 C215 105P-C R142 20K
R168 1 2 220 LINE_L 28
X_102P
X_4.7K C216 105P-C

2
C480 C479
MIC2 28 X_102P
R154 R143 X_102P
B C211 X_22P B
X_100K X_100K
J3
C217 R144
1 MIC_IN 28
105P-C 220 AGND

1
15 SPKR 2
3 C179
R155 X_YJ103 102P
R145 1K JCD1
0 C218 1 2
105P-C 4
R146 560 3
21 SPEAKER 2
C219 1 2
105P-C 1 SDOUT
R147 1K YJ104-B 15,26 SDOUT
C220 1 2 SYNC
105P-C CD IN 15,26 SYNC ACRST#
2

15,26 ACRST#
XTALIN_AC R140
DO NOT STUFF BITCLK_R
X_3.3 15,26 BITCLK
XTALOUT_AC R173 22
R148
1

AGND 220 JAUX1 SDIN0_R


C221 1 2 15,26 SDIN0
4 R172 22
R176 105P-C
3
1 2
2
C222 1 2
1M C484 1
105P-C
X2 X_102P YJ104-BY
1 2 220 R149 C483 AUX IN
A X_102P C241 A
1

C243 YCRY24.576H C255 J_PHN1 X_10P


C223
22P 22P 1
105P-C
2
2

R186 3
C251
105P-C 4.7K
4
MICRO-STAR
YJ104-BG
R187 Title
X_47K C261 C485 MODEM IN
X_220P X_102P MS-6347
Size Document Number Rev
AGND B AC'97 AUDIO CODEC 0A

Date: Thursday, July 27, 2000 Sheet 27 of 35


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

AGND
-5VR
C201

105P-C

D D

MIC2 27
U13A

4
0805 FB23
3
+ R137 SPEAKER_R
C209 R135 1 1 2
LINE_OUT_R 2
27 LINE_OUT_R - 33
105P-C 47K YOP-TL072S 0805 SIZE YUHBT-030S

8
0805 SIZE C177
R136
102P
47K
C207

33P

U13B

4
0805 FB21
5 R132
C195 R133 + SPEAKER_L
7 1 2
LINE_OUT_L 6
27 LINE_OUT_L - 33
105P-C 47K YOP-TL072S 0805 SIZE YUHBT-030S

8
0805 SIZE C178
R131
C 102P C
47K
C196
AGND 27
33P

VCC
C200

+5VR 105P-C
AGND

F5
VCC
YFUSE1.1AS-P

2
4
6
8
VCC
RN30
2

8P4R-4.7K
FB12
B 0-0805 B
1
3
5
7

AUDIO1
SPEAKER_R
1

R 23 32
1206 SIZE R100
22 100K

G 30
R96 220 TXD
TXD 15
21
8
SPEAKER_L L 20 15 R89 220 RXD 15
7 JAB2 15
R 19 14
27 LINE_R JBB2 15
6 8 7 JAY
18 13 6 5 JBY JAY 15
5 4 3 JBY 15
G 28 12 2 1
4
17 11 RN31 8P4R-2.2K JBX
JBX 15
3 JAX
JAX 15
L 16 10 JBB1
27 LINE_L JBB1 15
2 JAB1
JAB1 15
R 27 9
R120 1
+5VR 26
2
4
6
8

2
4
6
8

8
6
4
2
X_1K G 29 R87
A CN7 CN8 CN9 A
25 C121 C125 C139 C123 C132
FB20 X_8P4C-101P 8P4C-103P
L 24 31 104P 47P 47P 47P 47P
YUHBT-301S-C
8P4C-101P
1
3
5
7

1
3
5
7

7
5
3
1
YCN15F-001-1

C180
47K MICRO-STAR
104P AGND Title
MS-6347
Size Document Number Rev
MIC_IN 27
B AUDIO/GAME PORT CONNECTOR 0A

Date: Thursday, July 27, 2000 Sheet 28 of 35


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

D D
AD[31..0] VCC3
7,12,13,14,15,31 AD[31..0]

87
63
39
16
U18

6
VCCP
VCCP
VCCP
VCCP
VCCP
AD31 18 96
AD30 T_AD31 VCC3 C270 C269
19 91
AD29 T_AD30 VCC3 C276 C277 C278 C266 C271
21 80
AD28 T_AD29 VCC3 C_104P C_104P C_104P C_104P C_104P C_104P C_104P
22 70
AD27 T_AD28 VCC3
23 55
AD26 T_AD27 VCC3
25 46
AD25 T_AD26 VCC3
26 35
AD24 T_AD25 TSB12LV26 VCC3 C265 C286 C285 C264 C272
27 20
AD23 T_AD24 VCC3 C_103P C_103P C_103P
31 13 C_103p C_103P
AD22 T_AD23 VCC3
32 9
AD21 T_AD22 VCC3
33
AD20 T_AD21 R194
34
AD19 T_AD20 C_4.7K R195
36
AD18 T_AD19 X_4.7K VCC3
37 U19
AD17 T_AD18
38
AD16 T_AD17
40 78
AD15 T_AD16 CYCLEIN R196
54 3
AD14 T_AD15 R198 A2
56 79 2
AD13 T_AD14 REG_EN# A1
57 R213 R212 C_2.7K 1 4
AD12 T_AD13 C_220 A0 GND
58 C_2.7K
AD11 T_AD12 C_2.7K
59
AD10 T_AD11
61 7
AD9 T_AD10 WP
62
AD8 T_AD9
64 5 5 8
AD7 T_AD8 SDA SDA VCC
C 66 4 C
AD6 T_AD7 SCL
67 6
AD5 T_AD6 SCL
68
AD4 T_AD5
69
AD3 T_AD4 PHY_LPS C_24C02S
71 99 PHY_LPS 30
AD2 T_AD3 PHY_LPS VCC3
72
AD1 T_AD2 PHY_LINKON
73 98 PHY_LINKON 30
AD0 T_AD1 MBC/PHY_LINKON
74
T_AD0
PHY_SCLK
95 PHY_SCLK
PHY_SCLK 30 49.152MHz within 100ppm

C/BE#[3..0] 97 PHY_LREQ
7,12,13,14,15,31 C/BE#[3..0] PHY_LREQ PHY_LREQ 30
93 PHY_CTL0
PHY_CTL0 PHY_CTL0 30
C/BE#3 28 92 PHY_CTL1
C/BE3# PHY_CTL1 PHY_CTL1 30
C/BE#2 41
C/BE#1 C/BE2# PHY_DATA[7..0]
53 PHY_DATA[7..0] 30
C/BE#0 C/BE1#
65
C/BE0# PHY_DATA0
90
PLDGNT#4 PHY_DATA0 PHY_DATA1
14 89
PLDREQ#4 GNT# PHY_DATA1 PHY_DATA2
15 88
AD25 R203 C_100 REQ# PHY_DATA2 PHY_DATA3
29 86
FRAME# IDSEL PHY_DATA3 PHY_DATA4
7,12,13,14,15,31 FRAME# 43 85
IRDY# FRAME# PHY_DATA4 PHY_DATA5
44 84
7,12,13,14,15,31 IRDY# TRDY# IRDY# PHY_DATA5 PHY_DATA6
45 82
7,12,13,14,15,31 TRDY# DEVSEL# TRDY# PHY_DATA6 PHY_DATA7
7,12,13,14,15,31 DEVSEL# 47 81
STOP# DEVSEL# PHY_DATA7
7,12,13,14,15,31 STOP# 48
PERR# STOP#
12,13,14,31 PERR# 49 C_220
PIRQ#C PERR# R211
8 3
12,13,14,15 PIRQ#C INTA# GPIO3
17 2
SERR# PME# GPIO2
B 7,12,13,14,15,31 SERR# 51 R210 C_220 B
PAR SERR#
7,12,13,14,15,31 PAR 52 77
PCLKTI PAR CYCLEOUT
3 PCLKTI 12 7
PCIRST#2 CLK CLKRUN
7,17,31 PCIRST#2 76
RST#
42
REG18
100
REG18
V26 V23
R214 R197 103P 0/0603
10 R205 R197
G_RST# C_103P C_103P R205 103P 0/0603
PME#
11,12,13,14,15 PME#
R215 X_0 X_0 R195 UNMOUNT 4.7K
GND
GND
GND
GND
GND
GND
GND
GND
GND

R196 220 UNMOUNT


3VDUAL
C_2.2K R193 C263
83
75
60
94
50
30
24
11

C_82TSB12LV26
1

V23(SUPPORT CARD BUS)


C_105P-C
VCC

PLDGNT#5 GNT#2
R200 X_0 C279

PLDREQ#5 REQ#2 C_104P


R204 X_0 VCC3
U20
RN73 3 PCLK7 PCLK7 1 20
GNT#2 STOP# PCLK VCC PLDGNT#5
A 1 5 2 19 A
PLDGNT#5 1 5 GNT#2 STOP# GNT2# PLDGNT#4 PLDGNT#5 13
2 7 GNT#2 3 18
PLDGNT#4 2 PCIRST#2 GNT_IN# GNT1# PLDGNT#3
3 4 17
PLDGNT#3 3 FRAME# RESET# GNT0#
4 5 16
REQ#2 4 PLDREQ#3 FRAME# S_FRAME# REQ#2
6 6 15 REQ#2 7
PLDREQ#5 6 PLDREQ#4 REQ0# REQ_OUT# MICRO-STAR
7 7 14
PLDREQ#4 7 PLDREQ#5 REQ1# STOP_REQ
8 13 PLDREQ#5 8 13
PLDREQ#3 8 REQ2# S2
9 10 9 12
9 10 NC S1 Title
10 11
10P8R-2.7K GND GND MS-6347
C_82AMD-PALCE16V8
Size Document Number Rev
B TI 1394 LINK LAYER 0A

Date: Thursday, July 27, 2000 Sheet 29 of 35


8 7 6 5 4 3 2 1
2 1

5.6/3.32
I32-000150B-N04 FOR FIXED 3.3 5.11/3.01
5.6/3.3

+12V
T0-263
F8 U31 CHOCK3
D16
1 2 1 2 TIVCC3
VIN VOUT
C_YDIMBRS340T3S C_D08-0200200 C_L01-3316020-H15 R305 EC33 C302
33V/1.5A 5 4 C296 C309 C295 C307 C311 C327 C340 C343
EC26 C293 ON# ADJUST C_1000U/6.3VC_104p C_102P C_104P C_102P C_104P C_102P C_104P C_105P-C C_102P
3
SIG GND C_5.11KST
C_100U/25V
C_I31-000500B-N03
R306
B B
C_104P D19
C_3.01KST

C_1N5817S

C321 C316 C320 C424


R245 C_102P C_102P C_104P C_104P
C_56.2R244

62
61
26
25

30
31
42
51
52
U26
C345 C_56.2 R227 R226
J6 C_10K
24 TGND TGND TGND TGND

AVDD1
AVDD2
AVDD3
AVDD4
AVDD5
DVDD4
DVDD3
DVDD2
DVDD1
C_105P-C CPS
C_365K 16
VDD_5V
56
PLLVDD R223
7
SHLD C_10K
PWR
1
6
110mV swing TGND TPA1+
47
46
TPBIAS1
27
1394 CON TPA + TPA1- TPA1+ TESTM R201
5 45 23
TPA - TPB1+ TPA1- ISO# PHY_LINKON
4 44 PHY_LINKON 29
TPB + TPB1- TPB1+
3 43 C_1K
TPB - TPB1-
2 19
GND R242 C/LKON C322 C_104p
8 R251 53
SHLD C_56.2 C_5.11KST REST#
41 14
R1 PD
TGND
C344 R241 55
C_N32-1080021-A11 C_6.65KST-C FILTER1
1.5mm*8 R243 R250
C_220p C_130KST C312
C_56.2 C_104p
TGND 40
R0
C308 54
Packing:K1A-1008001-A11 FILTER2
59
R240 R239 X1
C_56.2 C_56.2 C_15P 1 PHY_LREQ
LREQ PHY_LREQ 29
X4 R199
J7
C342
C303 SYSCLK
2 PHY_SCLK
PHY_SCLK 29 49.152MHz within 100ppm
C_105P-C C_0 PHY_LPS
60 15
X0 LPS R202 PHY_LPS 29
7 C_15P C_YCRY24.576H 20
SHLD PC0 C275
PWR
1 TGND 38
TPBIAS0 PC1
21
6 TPA0+ 37 22 X_10P C_4.7K
1394 CON TPA + TPA0- TPA0+ PC2
5 36
TPA - TPB0+ TPA0- PHY_CTL0
4 35 4 PHY_CTL0 29
TPB + TPB0- TPB0+ CTL0 PHY_CTL1
3 34 5 PHY_CTL1 29
TPB - TPB0- CTL1
2
GND R237 R249 C_5.11KST PHY_DATA0
8 28 6
SHLD C_56.2 SE D0 PHY_DATA1
29 7
SM D1 PHY_DATA2
TGND D2
8
A C341 57 9 PHY_DATA3 A
C_N32-1080021-A11 PLLGND1 D3 PHY_DATA4
58 10
PLLGND2 D4 PHY_DATA5
R238 3 11
1.5 mm*8 C_220p CNA D5 PHY_DATA6
C_56.2 12
D6 PHY_DATA7
TGND D7
13
C355 R222
DGND1
DGND2
DGND4
DGND5

AGND6
AGND5
AGND4
AGND3
AGND2
AGND1
R248 R229 R233 PHY_DATA[7..0]
PHY_DATA[7..0] 29
C332 C333 C334 C335 C_104P C_47K
C_1M C_103P C_103P C_1K C_1K
C_103P C_103P C_82TSB41LV02
17
18
63
64

50
49
48
39
33
32
CP2
TGND X_COPPER

TGND
CP3
X_COPPER MSCRO_STAR
Size Document Number Rev
B 0A
TSB41LV02 2 PORT PHY
Date: Thursday, July 27, 2000 Sheet 30 of 35
2 1
5 4 3 2 1

D VCC3 U28B D
U28A VCC3 VCC VCC3 PCLKSC
3 PCLKSC
R273 C_8.2K R260 L3
PCLK
B14 Y11
C_4.7K NC15 C_100 R270 ACK64* PIRQ#D
E17 N18 L4
SWI_LDALTID# NC14 TRST* INTA* PIRQ#D 12,13,14,15
K17 G17
LDALTID* NC13 C_4.7K R277
Y20 B18
NC12 IDDQ* SEECS
U16 M17 J17
NC11 33 RST3860# GNT#4 PCIRST0* SEECS
U14 M3 J20
C_0 NC10 AD27 7,14 GNT#4 C_100 R230 GNT* MWE*
U12 U3
R269 SCSITCK NC9 IDSEL*
P20 J19
R268 C_0 SCSITMS TCK C357 C_8.2K R261 RAMCS*
P19 V12 K19
R271 C_0 SCSITDI TMS C_8.2K R263 REQ64* ROMCS*
N20 V11 W13 K20
TDI VDPCI C_473p PAR64 ROMOE* SCSILED
N19 L18
TDO FRAME# LED* SCSILED 17
7,12,13,14,15,29 FRAME# V5
IRDY# FRAME*
C3 U2 A20
SVCC5-2 7,12,13,14,15,29 IRDY# REQ#4 IRDY* MA15
A13 7,14 REQ#4 M1 A19
SVCC5-1 REQ* MA14
G3 B20
SVCC5-0 PAR MA13
W6 B19
PCIRST#2 7,12,13,14,15,29 PAR DEVSEL# PAR MA12
7,17,29 PCIRST#2 M4 D6 7,12,13,14,15,29 DEVSEL# V1 C20
RST* VCC11 TRDY# DEVSEL* MA11
D11 W5 C19
R278 C_4.7K VCC10 7,12,13,14,15,29 TRDY# TRDY* MA10
VCC3 A18 D15 VCC285V D20
TESTMODE* VCC9 STOP# MA9
F4 V6 D19
VCC8 C298 C336 7,12,13,14,15,29 STOP# PERR# STOP* MA8
Y14
AD63 VCC7
F17 15MIL 12,13,14,29 PERR#
V2
PERR* MA7
E20
V14 K4 SERR# W2 E19
R274 C_10K AD62 VCC6 C_103p 7,12,13,14,15,29 SERR# SERR* MA6
Y15 L17 C_103p F20
AD61 VCC5 MA5
W14 R4 W12 F19
U32 VCC AD60 VCC4 CBE7* MA4
Y16 R17 Y12 G20
AD59 VCC3 7,12,13,14,15,29 C/BE#[3..0] CBE6* MA3
V15 U6 V13 G19
C SEECS AD58 VCC2 CBE5* MA2 C
1 8 Y17 U10 Y13 H20
CS VCC AD57 VCC1 VCC3 VCC C/BE#3 CBE4* MA1
W15 U15 P2 H19
EEMD2 VCC AD56 VCC0 C/BE#2 CBE3* MA0
2 7 Y18 FB36 U1
SK DC AD55 C/BE#1 CBE2*
V16 D5 W1 C18
EEMD1 AD54 SVCC8 C/BE#0 CBE1* MD7
3 6 Y19 D7 1 2 V9 D18
DI ORG R272 C_4.7K AD53 SVCC7 CBE0* MD6
W16 C8 M18 E18
EEMD0 AD52 SVCC6 C354 C_YUHBT-030S IDDAT MD5
4 5 W19 D10 F18
DO GND AD51 SVCC5 C_103P MD4
V17 A12 FB35 C16 G18
AD50 SVCC4 RAMPS* MD3 EEMD2
W20 K2 H18
AD49 SVCC3 R276 C_0 MD2 EEMD1
C_93C66S W17 H3 1 2 J18
AD48 SVCC2 MD1 EEMD0
V19 E1 B16 K18
R295 AD47 SVCC1 C_YUHBT-030S 7892CLK SCLKIN MD0
W18 D2 A17 C17
AD46 SVCC0 CLKIN MDP
V20
X_10K AD45 C353
V18 C13 A16 M20
AD44 AVCC R275 X_0 33 EXPACT EXTXCVR* EXTARBREQ* EXTARBACK*
U19 B13 D16 M19
AD43 AVCC5 DIFFSENSE EXPACT EXTARBACK* STPWCTL
U18 32,33 DIFFSENSE C15 STPWCTL 32
AD42 C_103P STPWCTL SW_WIDEPS*
U20 A14 D12 B17
AD41 AGND2 R265 C_4.99K 15MIL DIFFSEN WIDEPS*
T17 C14 A15
AD40 AGND1 * KEEP TRACES SHORT LVREXT
T19 D14 B15 L20
AD39 AGND0 R264 C_6.19K SEREXT BRDWE
T18 L19
AD38 LVACKP BRDOE* LVACKM
T20 U8 32,33 LVACKP C6 B5 LVACKM 32,33
AD37 GND28 LVBSYP ACKP ACKM LVBSYM
R18
AD36 GND27
U17 i/SE<0.6 32,33 LVBSYP
A4
BSYP BSYM
C5 LVBSYM 32,33
R19 U13 LVDPHP H2 H1 LVDPHM
AD35 GND26 ,0.7<DL<1.9 32,33 LVDPHP LVDPLP SCDPHP SCDPHM LVSCDPLM
LVDPHM 32,33
P17 U4 C4 B3 LVDPLM 32,33
AD34 GND25 ,2.2<DH 32,33 LVDPLP SCDPLP SCDPLM
R20 N17
AD33 GND24 LVDP15 LVDM15
7,12,13,14,15,29 AD[31..0] P18 N4 32,33 LVDP15 J4 J3 LVDM15 32,33
AD31 AD32 GND23 LVDP14 SCDP15 SCDM15 LVDM14
M2 M12 J2 J1 LVDM14 32,33
AD30 AD31 GND22 32,33 LVDP14 LVDP13 SCDP14 SCDM14 LVDM13
N3 M11 32,33 LVDP13 K3 K1 LVDM13 32,33
AD29 AD30 GND21 LVDP12 SCDP13 SCDM13 LVDM12
N1 M10 32,33 LVDP12 L1 L2 LVDM12 32,33
AD28 AD29 GND20 LVDP11 SCDP12 SCDM12 LVDM11
P3 M9 32,33 LVDP11 C12 B12 LVDM11 32,33
B AD27 AD28 GND19 LVDP10 SCDP11 SCDM11 LVDM10 B
N2 L12 32,33 LVDP10 B11 C11 LVDM10 32,33
AD26 AD27 GND18 LVDP9 SCDP10 SCDM10 LVDM9
R3 L11 A11 A10 LVDM9 32,33
AD25 AD26 GND17 32,33 LVDP9 LVDP8 SCDP9 SCDM9 LVDM8
P1 L10 32,33 LVDP8 B10 C10 LVDM8 32,33
AD24 AD25 GND16 LVDP7 SCDP8 SCDM8 LVDM7
T3 L9 32,33 LVDP7 B2 A2 LVDM7 32,33
AD23 AD24 GND15 LVDP6 SCDP7 SCDM7 LVDM6
R1 K12 32,33 LVDP6 B1 C2 LVDM6 32,33
AD22 AD23 GND14 LVDP5 SCDP6 SCDM6 LVDM5
V3 K11 32,33 LVDP5 D3 E4 LVDM5 32,33
AD21 AD22 GND13 LVDP4 SCDP5 SCDM5 LVDM4
R2 K10 C1 D1 LVDM4 32,33
AD20 AD21 GND12 32,33 LVDP4 LVDP3 SCDP4 SCDM4 LVDM3
W3 K9 32,33 LVDP3 E3 E2 LVDM3 32,33
AD19 AD20 GND11 LVDP2 SCDP3 SCDM3 LVDM2
T1 J12 32,33 LVDP2 F3 G4 LVDM2 32,33
AD18 AD19 GND10 LVDP1 SCDP2 SCDM2 LVDM1
V4 J11 32,33 LVDP1 F2 F1 LVDM1 32,33
AD17 AD18 GND9 LVDP0 SCDP1 SCDM1 LVDM0
T2 J10 32,33 LVDP0 G2 G1 LVDM0 32,33
AD16 AD17 GND8 SCDP0 SCDM0
W4 J9
AD15 AD16 GND7 LVIOP LVIOM
V7 H17 32,33 LVIOP A9 B9 LVIOM 32,33
AD14 AD15 GND6 LVSELP IOP IOM LVSELM
Y2 H4 A7 B7 LVSELM 32,33
AD13 AD14 GND5 32,33 LVSELP LVMSGP SELP SELM LVMSGM
W7 D17 A6 C7 LVMSGM 32,33
AD12 AD13 GND4 32,33 LVMSGP LVRSTP MSGP MSGM LVRSTM
Y4 D13 32,33 LVRSTP B6 A5 LVRSTM 32,33
AD11 AD12 GND3 LVREQP RESETP RESETM LVREQM
V8 D8 C9 D9 LVREQM 32,33
AD10 AD11 GND2 32,33 LVREQP LVCDP REQP REQM LVCDM
Y5 D4 32,33 LVCDP A8 B8 LVCDM 32,33
AD9 AD10 GND1 LVATNP CDP CDM LVATNM
W8 A1 B4 A3
AD8 AD09 GND0 32,33 LVATNP ATNP ATNM LVATNM 32,33
Y6
AD7 AD08
Y7 U11
AD6 AD07 NC8
W9 U9
AD5 AD06 NC7 C_82AP7892
Y8 U7
AD4 AD05 NC6
V10 Y3
AD3 AD04 NC5
Y9
AD03 NC4
U5 * C318 NS * KEEP CAPS NEAR ASIC
AD2 W10 Y1 PCI/MEMORY/POWER/GROUND INTERFACE
AD1 AD02 NC3
Y10
AD01 NC2
T4 X_103p * C317
AD0 W11 P4 VCC
AD00 NC1
* C319 NS X_103p
U34
A X_103p NS A
C_82AP7892 8 10MIL
VCC
** PLACE CAPACITORS AT FAR END OF BUS
1 5 R279 7892CLK
NC OUT
NOTE: USE THICK TRACES FOR ALL POWER LINES C_22
NOTE: USE MULTIPLE TRACES FOR CURRENT HANDLING 4
GND R280 3860CLK
NOTE: ALL BGA PADS MUST BE EXPOSED IN POTTOM 3860CLK 33
C_22
ROUTING LAYER FOR TESTING Title
C_YOSC40H
{Title}

Size Document Number Rev


Custom {Doc} {RevCode}

Date: Thursday, July 27, 2000 Sheet 31 of 35


5 4 3 2 1
A B C D E

TRMPWR

+ EC25
4 C281 C323 C347 4
25MIL C_104p C_104p C_104p
U27
C_YCA-ELS10/16-B
12mA
16 28
DIFFSENSE TPWR
27
LVBSYP TPWR LVBSYM
31,33 LVBSYP 2 3 LVBSYM 31,33
LVATNP +R1 -R1 LVATNM
31,33 LVATNP 4 5 LVATNM 31,33
LVACKP +R2 -R2 LVACKM
31,33 LVACKP 7 8 LVACKM 31,33
LVMSGP +R3 -R3 LVMSGM
31,33 LVMSGP 9 10 LVMSGM 31,33
LVDPLP +R4 -R4 LVDPLM
31,33 LVDPLP 11 12 LVDPLM 31,33
LVDP4 +R5 -R5 LVDM4
31,33 LVDP4 18 19 LVDM4 31,33
LVDP6 +R6 -R6 LVDM6
31,33 LVDP6 20 21 LVDM6 31,33
LVDP5 +R7 -R7 LVDM5
31,33 LVDP5 23 24 LVDM5 31,33
LVDP7 +R8 -R8 LVDM7
31,33 LVDP7 25 26 LVDM7 31,33
+R9 -R9

17 13
DIFF_CAP ISO
MSTR/SLV
15 25MIL
1
VREF
1.25V
NOTE1
22
HSGND2
6
HSGND1 C337 C328
14
GND C_104p C_475P
diffsense<0.7 for SE
DIFFSENSE 0.7<diffsense<1.9 for lvd
31,33 DIFFSENSE C_I92-0000302-D03
diffsense>1.9 for HVD

R255

C_20K
U30
3 3
16 28
DIFFSENSE TPWR
27
LVDP11 TPWR LVDM11
31,33 LVDP11 2 3 LVDM11 31,33
LVDP10 +R1 -R1 LVDM10
31,33 LVDP10 4 5 LVDM10 31,33
LVDP9 +R2 -R2 LVDM9
31,33 LVDP9 7 8 LVDM9 31,33
LVDP8 +R3 -R3 LVDM8
31,33 LVDP8 9 10 LVDM8 31,33
LVIOP +R4 -R4 LVIOM
31,33 LVIOP 11 12 LVIOM 31,33
LVRSTP +R5 -R5 LVRSTM
31,33 LVRSTP 18 19 LVRSTM 31,33
LVREQP +R6 -R6 LVREQM
31,33 LVREQP 20 21 LVREQM 31,33
LVCDP +R7 -R7 LVCDM
31,33 LVCDP 23 24 LVCDM 31,33
LVSELP +R8 -R8 LVSELM
31,33 LVSELP 25 26 LVSELM 31,33
+R9 -R9

17 13
DIFF_CAP ISO
15
MSTR/SLV
VREF
1 25MIL
STPWCTL HIGH: TERM DISABLE R254 C_4.7K
STPWCTL LOW: TERM ENABLE NOTE1
22
HSGND2
D20 6
STPWCTL HSGND1 C359 C358
31 STPWCTL A C 14
GND C_104p C_475P
C_1N4148S R281
C_I92-0000302-D03
C_10K
TRMPWR
33 TPMPWR U25
16 28
DIFFSENSE TPWR
2 27 2
LVDPHP TPWR LVDPHM
31,33 LVDPHP 2 3 LVDPHM 31,33
LVDP15 +R1 -R1 LVDM15
SCSI2 31,33 LVDP15 4 5 LVDM15 31,33
LVDP12 LVDM12 LVDP14 +R2 -R2 LVDM14
1 35 31,33 LVDP14 7 8 LVDM14 31,33
LVDP13 1 35 LVDM13 LVDP13 +R3 -R3 LVDM13
2 36 31,33 LVDP13 9 10 LVDM13 31,33
LVDP14 2 36 LVDM14 LVDP12 +R4 -R4 LVDM12
3 37 31,33 LVDP12 11 12 LVDM12 31,33
LVDP15 3 37 LVDM15 LVDP3 +R5 -R5 LVDM3
4 38 31,33 LVDP3 18 19 LVDM3 31,33
LVDPHP 4 38 LVDPHM LVDP2 +R6 -R6 LVDM2
5 39 31,33 LVDP2 20 21 LVDM2 31,33
LVDP0 5 39 LVDM0 LVDP1 +R7 -R7 LVDM1
6 40 31,33 LVDP1 23 24 LVDM1 31,33
LVDP1 6 40 LVDM1 LVDP0 +R8 -R8 LVDM0
7 41 31,33 LVDP0 25 26 LVDM0 31,33
LVDP2 7 41 LVDM2 +R9 -R9
8 42
LVDP3 8 42 LVDM3
9 43
LVDP4 9 43 LVDM4
10 44 17 13
LVDP5 10 44 LVDM5 DIFF_CAP ISO
11
11 45
45
MSTR/SLV
15 25MIL
LVDP6 12 46 LVDM6 1
LVDP7 12 46 LVDM7 VREF
13 47
LVDPLP 13 47 LVDPLM
14
14 48
48 NOTE1
15 49 22
DIFFSENSE 15 49 HSGND2
16 50 6
16 50 C348 HSGND1 C304 C299
17 51 14
17 51 C_104p GND C_104p C_475P
18 52
18 52
19 53
19 53 C_I92-0000302-D03
20 54
LVATNP 20 54 LVATNM
21 55
21 55
22 56
LVBSYP 22 56 LVBSYM
23 57
LVACKP 23 57 LVACKM
24 58
LVRSTP 24 58 LVRSTM
25 59
LVMSGP 25 59 LVMSGM
26 60
LVSELP 26 60 LVSELM
1 27 61 1
LVCDP 27 61 LVCDM
28 62
LVREQP 28 62 LVREQM
29
29 63
63 NOTE 1 : PLACE CAPACITOR CLOSE TO TERMINATOR
LVIOP 30 64 LVIOM
LVDP8 30 64 LVDM8
31 65
LVDP9 31 65 LVDM9
32 66
LVDP10 32 66 LVDM10
33 67
LVDP11 33 67 LVDM11
34 68
34 68 Title
C_YSCSI68-P MS-6347

Size Document Number Rev


SCSI LVD CONNECTOR 0A

Date: Thursday, July 27, 2000 Sheet 32 of 35


A B C D E
1 2 3 4 5 6 7 8

2.6A/6VDC
D08-0300300
C339
C_105P-C
F7
A D14 C_YFUSE2AS-PL A
U29 VCC 1 2 TPMPWR
TPMPWR 32
1 65 SECD#
IDDQPWDN SECD SEREQ# C_YDIMBRS340T3S
2 60 U23
31 RST3860# EXPACT PORESET SEREQ SEIO# EC32 C284
3 66
31 EXPACT TARGET_INFO SEIO SERST# R207 SEDAT15 C_104p
4 61 1 3
BOOTDISABLE SERST SESEL# TPWR1 R1 SEDAT14
5 64 11 4

+
LVDP15 CLKSPEED SESEL SEMSG# C_1K C_YCA-ELS10/16-B TPWR2 R2 SEDAT13
21 62 5
31,32 LVDP15 LVDP14 LVD15P SEMSG SEACK# R3 SEDAT12
23 58 20 6
31,32 LVDP14 LVDP13 LVD14P SEACK SEBSY# R266 PD# R4 SEDAT11
26 57 7
31,32 LVDP13 LVDP12 LVD13P SEBSY SEATN# C_12.1KST R5 SEDAT10
29 56 9 13 SCSI1
31,32 LVDP12 LVDP11 LVD12P SEATN VREF1 R6 SEDAT9 SEDAT0
73 101 2.5V 19 14
31,32 LVDP11 LVDP10 LVD11P SEREXT R206 VREF2 R7 SEDAT8 1 2 SEDAT1
76 15
31,32 LVDP10 LVDP9 LVD10P LVDPHP R8 SEDPH 3 4 SEDAT2
79 18 LVDPHP 31,32 10 16
31,32 LVDP9 LVDP8 LVD9P LVDPHP LVDPHM X_0 C287 + EC28 GND R9 5 6 SEDAT3
81 19 LVDPHM 31,32 2
31,32 LVDP8 LVDP7 LVD8P LVDPHM LVDPLP HSGND1 7 8 SEDAT4
118 115 LVDPLP 31,32 8
31,32 LVDP7 LVDP6 LVD7P LVDPLP LVDPLM C_104p HSGND2 9 10 SEDAT5
120 116 LVDPLM 31,32 12 17
31,32 LVDP6 LVDP5 LVD6P LVDPLM LVRSTP HSGND3 NC 11 12 SEDAT6
123 104 LVRSTP 31,32 18
31,32 LVDP5 LVDP4 LVD5P LVRSTP LVRSTM HSGND4 13 14 SEDAT7
126 105 LVRSTM 31,32
31,32 LVDP4 LVDP3 LVD4P LVRSTM LVACKP C_YCA-ELS10/16-B 15 16 SEDPL
7 107 LVACKP 31,32
31,32 LVDP3 LVDP2 LVD3P LVACKP LVACKM C_2107AS 17 18
10 108 LVACKM 31,32
31,32 LVDP2 LVDP1 LVD2P LVACKM LVBSYP 19 20
13 110 LVBSYP 31,32
31,32 LVDP1 LVDP0 LVD1P LVBSYP LVBSYM 21 22
15 111 LVBSYM 31,32 C289
31,32 LVDP0 LVREQP LVD0P LVBSYM LVATNP R209 23 24
87 112 LVATNP 31,32 C_104p U24
31,32 LVREQP LVREQM LVREQP LVATNP LVATNM 8,250mA 25 26
88 113 LVATNM 31,32
31,32 LVREQM LVCDP LVREQM LVATNM LVSELP X_0 SEDAT7 27 28 C364 C_105P-C
89 92 LVSELP 31,32 1 3
31,32 LVCDP LVCDM LVCDP LVSELP LVSELM TPWR1 R1 SEDAT6 29 30 SEATN#
90 93 LVSELM 31,32 11 4
31,32 LVCDM LVDM15 LVCDM LVSELM LVMSGP TPWR2 R2 SEDAT5 31 32
22 95 LVMSGP 31,32 5
31,32 LVDM15 LVDM14 LVD15M LVMSGP LVMSGM SCSI50_TERM R3 SEDAT4 33 34 SEBSY#
24 96 LVMSGM 31,32 20 6
31,32 LVDM14 LVDM13 LVD14M LVMSGM R267 16 SELEN PD# R4 SEDAT3 35 36 SEACK#
B 27 102 7 B
31,32 LVDM13 LVDM12 LVD13M LVREXT R5 SEDAT2 37 38 SERST#
30 98 C_10KST 9 13
31,32 LVDM12 LVDM11 LVD12M DIFFSENSE VREF1 R6 SEDAT1 39 40 SEMSG#
74 72 VCC 19 14
31,32 LVDM11 LVDM10 LVD11M SEVCC5 R208 VREF2 R7 SEDAT0 41 42 SESEL#
77 63 15
31,32 LVDM10 LVDM9 LVD10M SEVCC4 DIFFSENSE EC29 R8 SEDPL 43 44 SECD#
80 55 DIFFSENSE 31,32 10 16
31,32 LVDM9 LVDM8 LVD9M SEVCC3 X_0 C288 + GND R9 45 46 SEREQ#
82 47 2
31,32 LVDM8 LVDM7 LVD8M SEVCC2 HSGND1 47 48 SEIO#
119 35 8
31,32 LVDM7 LVDM6 LVD7M SEVCC1 C_104p HSGND2 49 50
121 12 17
31,32 LVDM6 LVDM5 LVD6M HSGND3 NC
124 122 VCC285V 18 C_YSCSI50
31,32 LVDM5 LVDM4 LVD5M LV285V9 HSGND4
127 114
31,32 LVDM4 LVDM3 LVD4M LV285V8 C_YCA-ELS10/16-B
8 106
31,32 LVDM3 LVDM2 LVD3M LV285V7
11 91 C_2107AS
31,32 LVDM2 LVDM1 LVD2M LV285V6 C325 C338 C361 C363 C356 C329
14
LVD1M LV285V5
83 SINGLE-END INTERNAL 50 PIN SCSI CONNECTOR
31,32 LVDM1 LVDM0 16 75
31,32 LVDM0 LVD0M LV285V4 C_103p C_103p C_103p C_103p C_105P-C C_103p C283
38 25 U22
XIN LV285V3 C_105P-C
36 17
SEDAT15 XOUT LV285V2 VCC SEATN#
31 3860CLK 40 9 1 3
SEDAT14 SEDAT15 LV285V1 TPWR1 R1 SEBSY#
34 11 4
C431 SEDAT13 SEDAT14 TPWR2 R2 SEACK#
33 97 5
SEDAT12 SEDAT13 COREVCC3 R3 SERST#
32 39 20 6
C_10P SEDAT11 SEDAT12 OSCVCC PD# R4 SEMSG#
71 6 7
SEDAT10 SEDAT11 COREVCC1 C324 C306 C305 C310 R5 SESEL#
70 9 13
SEDAT9 SEDAT10 VREF1 R6 SECD#
54 128 19 14
SEDAT8 SEDAT9 COREGND4 C_105P-C C_105P-C C_105P-C C_105P-C VREF2 R7 SEREQ#
69 99 15
SEDAT7 SEDAT8 COREGND3 R8 SEIO#
52 68 10 16
SEDAT6 SEDAT7 COREGND2 + EC27 GND R9
50 37 2
SEDAT5 SEDAT6 OSCGND C282 HSGND1
49 8
SEDAT4 SEDAT5 C_104p HSGND2
48 125 12 17
SEDAT3 SEDAT4 LVGND9 HSGND3 NC
46 117 18
SEDAT2 SEDAT3 LVGND8 HSGND4
C 45 109 C
SEDAT1 SEDAT2 LVGND7 C_YCA-ELS10/16-B
44 94
SEDAT0 SEDAT1 LVGND6
42 86 C_2107AS
SEDPH SEDAT0 LVGND5
41 78
SEDPL SEDPH LVGND4
53 28
SEDPL LVGND3
FB37 20
LVGND2
100 12
AGND LVGND1
VCC 1 2 103 67
AVCC SEGND5
59
C362 SEGND4
51
C_YUHBT-030S SEGND3
84 43
C_104p LVIOP SEGND2 VCC VCC285V
31
SEGND1
85 VR5
LVIOM C_YREG-LX8117S
C_82AP3860Q 3 2
VIN VOUT
31,32 LVIOP C314 4
VOUT

ADJ
EC30 EC31
31,32 LVIOM + C_104p R235 +

C_YCA-ELS10/16-B C_100RST

1
C_100u/25V

C315
R231
C_105P-C C_127RST

D D

Title
MS-6347

Size Document Number Rev


B SCSI SE CONNECTOR 0A

Date: Thursday, July 27, 2000 Sheet 33 of 35


1 2 3 4 5 6 7 8
5 4 3 2 1

Number Page Context

D D

C C

B B

A A

Title
MS-6347

Size Document Number Rev


A ECN

Date: Thursday, July 27, 2000 Sheet 34 of 35


5 4 3 2 1
5 4 3 2 1

D D

C C

JBAT1_X U9_X COM1 U16-1


JUMPER-MG YHS-030 YCN9M-1 YSKT032PLCC
1
2

1
2

1
2

1
2

BAT1_X JFP1_X COM2 PCB


YBA3V JUMPER-MG YCN9M-1 P01-6347100
1
2

1
2

1
2

1
2

B B

A A

Title
{Title}

Size Document Number Rev


Custom{Doc} {RevCode}

Date: Thursday, July 27, 2000 Sheet 35 of 35


5 4 3 2 1

Вам также может понравиться