Вы находитесь на странице: 1из 6

Тест SDRAM или "Фреймбуффер2" http://marsohod.org/index.

php/projects/marsohod2/214-c3fb2

МАРСОХОД
Open Sourc e Hardwar e Pr ojec t

Программатор MBFTDI Плата Марсоход Плата Марсоход2 Плата Марсоход3

Искать... Поиск... ПОИСК

СМОТРИТЕ Проекты Altera Quartus II для платы Марсоход2


Главная

Наш блог о
Тест SDRAM или "Фреймбуффер2"
ПЛИС
Категория: Проекты Altera Quartus II для платы Марсоход2 Создано 13
Форум Сентябрь 2012 Автор: umarsohod Просмотров: 9185

С чего начать?

Проекты

Проект
Марсоход

Проект
Марсоход2

Amber ARM
SoC

Проект
Марсоход3

Altera Quartus II

Verilog

Скачать

Магазин

О нас

ПОДПИСКА Плата Марсоход2 гораздо сложнее нашей первой платы, и прежде чем начинать с ней
работу, хорошо бы убедиться в работоспособности всех ее узлов. Значительную их часть
можно протестировать с помощью
нашего первого проекта. Это : программатор, тактовый генератор, кнопки, светодиоды, связь
ТЭГИ
с компьютером через COM-порт и VGA интерфейс.

Altera Altera
Теперь мы будем тестировать еще один важный компонент платы Марсоход2 -
Quartus II Altera
динамическое ОЗУ (SDR SDRAM MT48LC4M16A2).
RTLViewer always
Amber Android Описание микросхемы памяти: 64Mbit SDRAM 1M x 16Bit x 4 Banks Synchronous DRAM
ARM CPLD (133.72 Кбайт). Оно имеет объем в общей сложности 8 Мбайт.
Cyclone III FPGA
FTDI Icarus За основу мы взяли проект "Фреймбуффер" для первой платы Марсоход, в котором уже
использовалась подобная микросхема. Проект выполнен в среде Altera Quartus II.
Verilog JTAG
MBFTDI
Проект представляет собой простенький видеоадаптер с разрешением 1280х800, в котором,
ModelSim
в качестве фреймбуфера, используется целиком один из 4х банков нашей микросхемы.

Стр. 1 из 6 20.09.2015 11:13


Тест SDRAM или "Фреймбуффер2" http://marsohod.org/index.php/projects/marsohod2/214-c3fb2

Quartus II Кроме того, в него внесено нескольно несложных изменений:


SDRAM SL4A
увеличено количество бит на пиксел. В старом проекте было всего 3, мы увеличили до
testbench
16 (HI-COLOR).
UsbBlaster
линии адресации банков (BA0,BA1) подключены к кнопкам, чтобы иметь возможность
Verilog Verilog
протестировать всю емкость ОЗУ.
HDL Verilog
примеры VHDL изменен интерфейс с компьютером. Теперь в COM-порт можно копировать обычные
Марсоход2 ПЛИС 24х-битные *.BMP файлы с разрешением 1280х800.
Плата Марсоход
В папке CODE в проекте есть четыре таких файла, и четыре BAT-файла,
Последовательный
чтобы было проще их копировать в COM-порт :
порт дешифратор
тестбенч
mode com4 baud=12000000 data=8
copy net.bmp /b com4
КОММЕНТАРИИ
ПОЧТИ
"ПУСТОЙ Проект можно скачать вот здесь: Фреймбуффер для платы Марсоход2 (8.19 Мбайт)
ПРОЕКТ"
В марте 2014 проект был немного улучшен (теперь микросхема SDRAM программируется
nckm, 15.09.2015
только однажды в самом начале работы проекта в ПЛИС):
14:00

да вроде бы в Фреймбуффер для платы Марсоход2 улучшенная версия (8.24 Мбайт)


15м квартусе это


делается так же, Сама процедура тестов выглядит следующим образом:
как и в
предыдущих
версиях, из

Assignment 

Editor. В ...
Подробнее...

ПОЧТИ

"ПУСТОЙ
ПРОЕКТ" 
denturner1,
11.09.2015 08:02
"Кроме того, на
эти четыре
входа мы уже
назначили
«weak pull-up
resistors». Это
слабые
подтягивающие Сразу после загрузки проекта, на экране должен появится "матрас" как на первой картинке -
... это данные ОЗУ после подачи питания. Главное, на что нужнно обратить внимание, ни одна
Подробнее... точка на экране не должна "шевилиться". Это тест на чтение, все данные выводятся на
экран 60 раз в секунду, и каждый раз они должны быть одинаковыми.

СЕРИАЛФЛЭШЛОАДЕР.
Далее, можно запустить один из BAT-файлов, для проверки ОЗУ на запись.
frantony,
11.09.2015 05:38 Картинка должна быть без искажений и артефактов.
Программа Нажимая на кнопки KEY0, KEY1 или на обе сразу, можно аналогичным образом проверить
flashrom все остальные банки.

Стр. 2 из 6 20.09.2015 11:13


Тест SDRAM или "Фреймбуффер2" http://marsohod.org/index.php/projects/marsohod2/214-c3fb2

(http://flashrom.org)
позволяет НЮАНС: В проекте не предусмотрена регенерация, поэтому если долго не переключать на
программировать "фоновые" банки, информация в них начнет по-немногу разрушаться.
SPI-флешки, в
том числе и ...
Подробнее...
Tags: SDRAM Марсоход2 фреймбуффер тест ОЗУ

СЕРИАЛФЛЭШЛОАДЕР.
Cyclone III
Илья_994,
10.09.2015 11:47
КОММЕНТАРИИ
Не подскажите,
можно ли #13 Ю р и й 15.10.2014 09:30 0
как-нибудь через Цитирую atten:
USB-Blaster
Скажите пожалуйста, а сколько в слайсах "весит" вообщем драйвер SDRAM?
залить
произвольный
Конкретно за "драйвер" не скажу,
файл в а весь проект занимает 433 LE.
конфигурационну
ю ... #12 atten 14.10.2014 16:21 0
Подробнее... Скажите пожалуйста, а сколько в слайсах "весит" вообщем драйвер
SDRAM?

ПЕРЕДАЕМ #11 Ю р и й 06.10.2014 07:47 0


ETHERNET-ПАКЕТ Цитирую tfwbbt:
NguyenThang,
08.09.2015 19:57 Цитирую Ю р и й:


Здравствуйте! Цитирую tfwbbt:
подскажите,
использует Qsys
собрать систему
Как это у вас вообще работает? 
для
подключение и


ftp://ftp.cs.nctu.edu.tw/pub/csie/Software/X11/private/VeSaSpEcS
настройки /VESA_Document_Center_Monitor_Interface/DMTv1r11.pdf
Режим - Reduced Blanking
генератор ...
Подробнее...
Оу. Оказывается существуют и такие режимы.
Спасибо.
НА ФОРУМЕ Поторопился, стыдно

Нет сообщений для


показа Изначально проект делался под TFT-панель, которой нужен был именно этот режим.
Когда переделал для VGA, заработало на первом попавшемся мониторе - я и не стал
ничего менять.

РЕКЛАМА
#10 tfwbbt 03.10.2014 17:46 0
Цитирую Ю р и й:

Цитирую tfwbbt:

Как это у вас вообще работает?

ftp://ftp.cs.nctu.edu.tw/pub/csie/Software/X11/private/VeSaSpEcS
/VESA_Document_Center_Monitor_Interface/DMTv1r11.pdf
Режим - Reduced Blanking

Стр. 3 из 6 20.09.2015 11:13


Тест SDRAM или "Фреймбуффер2" http://marsohod.org/index.php/projects/marsohod2/214-c3fb2

Оу. Оказывается существуют и такие режимы.


Спасибо.
Поторопился, стыдно

#9 Ю р и й 03.10.2014 10:36 0
Цитирую tfwbbt:

Как это у вас вообще работает?

ftp://ftp.cs.nctu.edu.tw/pub/csie/Software/X11/private/VeSaSpEcS
/VESA_Document_Center_Monitor_Interface/DMTv1r11.pdf
Режим - Reduced Blanking

#8 tfwbbt 03.10.2014 09:09 0


Кхм.
Частота кварца 100МГц.
ФАПЧ 36\25, значит clk 144МГц.
Блок mem_cntrl формирует с помощью счетчика частоты clk деленные на 2, 4, 8, 16 и
32 (сигналы c[4..0] на общей схеме.
Блок s1280x800_5 формирует в том числе синхросигналы VGA, при этом тактируется
от c[4], т.е. частотой 144МГц / 32 = 4.5МГц. При этом для указанного разрешения
нужна пиксельная частота 83.46МГц. Ну ок, мб это такой аналог чересстрочной
развертки, только по горизонтали. Внутри блока есть счетчик inst4, он для
формирования развертки по горизонтали, и он по модулю 90, его период 90/4.5e6 =
20мкс, что в принципе похоже. Начинаем смотреть другие интервалы - на данные у
вас неизвестное кол-во тактов с[4], на синхросигнал 2 такта (0.44мкс против
требуемых 1.63мкс, ну пофиг, длительность синхросигнала может быть и короче), на
back porch что-то около 7 тактов (и это 1.55мкс, когда по стандарту требуется 2.4мкс,
что уже удивляет сильнее). 
Как это у вас вообще работает? 
#7 test1257 10.11.2013 14:02
Все жду недождусь, а будет в этом проекте модифицирован контроллер

0

SDRAM до полноценного с поддержкой рефреша... ау разработчики!!! пытаюсь


сделать сам но пока знаний маловато... 
#6 Alexander S 03.07.2013 18:17

0
Можно ли как-то симулировать .bdf файлы?

При использовании встроенного симулятора, открывается окно и сразу же



закрывается. Как быть?

#5 М. Сергей 23.05.2013 09:12 0


Разрушение данных в памяти было бы интересно понаблюдать, это будет
случайный процесс или начнется с самой слабой области, как зависит от
температуры, в морозилке должны данные дольше жить )

#4 Impartial 31.03.2013 08:56 0


А почему Вы не использовали сериализатор LVDS из стандартной
библиотеки Квартус?

#3 Mirmik 26.09.2012 20:16 +1


Проблема более неактуальна.

Оказывается Виндоус не воспринимает порты выше 10 как порты, а воспринимает их


как файлы. Переназначил порты, изображение передалось... Правда, почему-то с
красными полосами... Но это уже мелочи...

#2 Mirmik 26.09.2012 19:28 0


Судя по логу, составленному программой Free Serial Monitor, программа
mode открывает порт, но никакой информации через него не идет. Пробовал снижать
скорость... Данные не уходят :(...

#1 Mirmik 26.09.2012 18:45 0

Стр. 4 из 6 20.09.2015 11:13


Тест SDRAM или "Фреймбуффер2" http://marsohod.org/index.php/projects/marsohod2/214-c3fb2

Пришла плата. Пасибки.


Первый тест прошла как надо... Кнопки, светодиоды, программатор, ком порт
работают...

Но здесь, со вторым тестом, возникли траблы. (Похоже, что не с платой... А я просто


что-то криво делаю.)

В общем, симптомы такие.

После загрузки проекта картина такая http://img-fotki.yandex.ru/get/6510/10410168.0


/0_8594e_e5fade8f_XL

Вместо вертикальных полос, как на видео здесь есть еще и горизонтальные... Но это
четверть беды.

Беда в том, что изображение никак не реагирует на bat файлы.

com порты у меня определились как 14-ый и 15-ый...

Путем подставление номеров портов в батник

mode com14 baud=12000000 data=8


copy net.bmp /b com14

***

mode com15 baud=12000000 data=8


copy net.bmp /b com15

пробовал передавать по обоим...

Ноль реакции.

Прошу помощи.

Обновить список комментариев



ДОБАВИТЬ КОММЕНТАРИЙ

Имя (обязательное) 
E-Mail (обязательное) 

Подписаться на уведомления о новых комментариях

Обновить

Отправить

JComments

Стр. 5 из 6 20.09.2015 11:13


Тест SDRAM или "Фреймбуффер2" http://marsohod.org/index.php/projects/marsohod2/214-c3fb2

ВЫ ЗДЕСЬ: НАЧАЛО ПРОЕКТЫ ПРОЕКТ МАРСОХОД2 ТЕСТ SDRAM ИЛИ TOP


"ФРЕЙМБУФФЕР2"






Стр. 6 из 6 20.09.2015 11:13

Вам также может понравиться