Вы находитесь на странице: 1из 76

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА 1/2022

Реклама
Реклама
АНТ

5
ГА Р

ИЯ

лет
ЖУРНАЛ

Журнал «Современная электроника»


Издаётся с 2004 года

Главный редактор Ю. В. Широков


Заместитель главного редактора
А. В. Малыгин
Редакционная коллегия А. Е. Балакирев,
В. К. Жданкин, С. А. Сорокин, Д. А. Кабачник,
Р. Х. Хакимов
Вёрстка А. М. Бабийчук
Обложка Д. В. Юсим
Распространение А. Б. Хамидова (info@soel.ru)
Здравствуйте, уважаемые друзья! Реклама И. Е. Савина (advert@soel.ru)

Вот и подошёл к завершению 2021 год. Что готовит Учредитель и издатель ООО «СТА-ПРЕСС»
Генеральный директор К. В. Седов
нам год грядущий?
Адрес учредителя и издателя:
117279, г. Москва, ул. Профсоюзная, д. 108,
COVID-19 пока не побеждён, поэтому главные эко- пом/ком/эт I/67/тех
номические и технологические риски по-прежнему Почтовый адрес: 117437, г. Москва,
связывают с ним. В области электроники и микроэ- Профсоюзная ул., 108
лектроники это, в первую очередь, дефицит электрон- Тел.: (495) 232-00-87
info@soel.ru • www.soel.ru
ных компонентов, о котором не писал в уходящем году
только ленивый. Компонентный голод привёл к се- Производственно-практический журнал
рьёзной политической напряжённости вокруг TSMC, Выходит 9 раз в год. Тираж 10 000 экз.
а Европа и США вследствие создавшейся ситуации Цена свободная
планируют строительство собственных кремниевых
Журнал зарегистрирован в Федеральной
фабрик. Тем не менее жизнь продолжается: перестраи-
службе по надзору за соблюдением
ваются логистические схемы, корректируются произ- законодательства в сфере массовых
водственные планы и, по некоторым прогнозам, в гря- коммуникаций и охране культурного наследия
дущем году промышленность сумеет приспособиться (свидетельство ПИ № ФС77-18792
к новым реалиям, что позволит избежать масштабных от 28 октября 2004 г.)
коллапсов.
Отпечатано: ООО «МЕДИАКОЛОР».
Адрес: Москва, Сигнальный проезд, 19,
2022 год обещает множество технологических проры- бизнес-центр Вэлдан.
вов в области виртуализации, нейросетевых алгорит- Тел./факс: (499) 903-69-52
мов и процессоров, освоения 3 нм норм производства
кристаллов, применения цифровых двойников и до- Перепечатка материалов допускается только
с письменного разрешения редакции.
полненной реальности, освоения терагерцового вол-
Ответственность за содержание рекламы
нового диапазона и квантовых вычислений, развёр- несут рекламодатели.
тывания сетей 5G и WiFi 6, использования автономных Ответственность за содержание статей несут
роботов с ИИ, а также многого другого. авторы.
Материалы, переданные редакции, не рецен-
А редакция журнала «Современная электроника» жела- зируются и не возвращаются.
Мнение редакции не обязательно совпадает
ет вам и вашим близким, в первую очередь, хорошего
с мнением авторов.
здоровья. Конечно же – семейного уюта, счастья, люб- Все упомянутые в публикациях журнала
ви, стабильного финансового благополучия! Пусть все наименования продукции и товарные знаки
сюрпризы и неожиданности будут только приятными, являются собственностью соответствующих
а трудности – легко преодолимыми. владельцев.

© СТА-ПРЕСС, 2021
Надеемся и впредь оставаться в вашей компании!

Всего вам доброго!


ЧИТАЙТЕ
Юрий Широков, главный редактор ЖУРНАЛ

в ЭЛЕКТРОННОЙ ВЕРСИИ
на сайте soel.ru
после простой регистрации
и
в ПЕЧАТНОЙ ВЕРСИИ
по подписке

2 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


СОДЕРЖАНИЕ 1/2022
РЕКЛАМОДАТЕЛИ РЫНОК

4 Новости российского рынка


Keysight· · · · · · · · · · · · · · · · · · 1-я стр. обл.
8 Ведущие производители полупроводниковых электронных
Delta Design· · · · · · · · · · · · · · · · · · · · · · · 43 компонентов и дефицит их продукции.
Часть 1. Контрактные производители
TDK-Lambda· · · · · · · · · · · · · · · · · · · · · · · 1
Виктор Алексеев

АВД Системы· · · · · · · · · · · · · · · · · · · · · · 6 ЭЛЕМЕНТЫ И КОМПОНЕНТЫ

ИРБИС· · · · · · · · · · · · · · · · · · · · · · · · · · · · 4 22 Новейшие достижения компании SV Microwave/Amphenol


в области радиочастотных соединений
КОМПЭЛ· · · · · · · · · · · · · · · · · · · · · · · · · · 4 Кива Джуринский

МОРИОН· · · · · · · · · · · · · · · · · · · · · · · · · · 5
26 Мощные резистивные поглотители (фиксированные
аттенюаторы и эквиваленты нагрузок) с воздушным
Остек-СМТ · · · · · · · · · · · · · · · · · · · · · · · · 5 охлаждением
Игорь Белков, Юрий Еремеев, Илья Малышев
Платан-Энерго· · · · · · · · · · · · 2-я стр. обл.
ИНЖЕНЕРНЫЕ РЕШЕНИЯ
Протон-Электротекс· · · · · · · · · · · · · · · · · 7
30 НЧ-генератор синусоидальных сигналов
СКТБ РТ· · · · · · · · · · · · · · · · · · · · · · · · · · · 7 с 12 фиксированными частотами
Алексей Кузьминов
ТЕСТПРИБОР · · · · · · · · · · · · · 4-я стр. обл.
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

ЭРКОН· · · · · · · · · · · · · · · · · · · · · · · · · 5, 27 36 Работа с последовательным интерфейсом SPI


в программной среде Proteus 8.11.
Читайте в «CTA» № 1/2022: Часть 2
Как заслужить доверие: разработки Татьяна Колесникова
FASTWEL на базе «Эльбрусов» ·
и «Байкалов» 44 Монтаж компонентов и связанные с ним технологии.
Новая концепция ПЛК: говорим REGUL Подсистема ГРИФ-4 – Монтаж.
R100, подразумеваем – надёжность
Часть 2
Индустрия из коробки: модульная ОС
Юрий Ёлшин
для промышленного робота
Золотой ключик от Schroff: · 48 Работа с последовательным интерфейсом I2C
магистрально-модульные ·
системы на любой вкус
в программной среде Proteus 8.11
Татьяна Колесникова

58 DeltaDesign Simtera. Новости первой российской САПР


проектирования, моделирования, а также синтеза RTL
для конфигурирования ПЛИС
Никита Малышев, Алексей Ерёмин, Артём Варганов, Сергей Ярцев

62 Цифровые двойники в промышленности: истоки концепции,


современный уровень развития и примеры внедрения
Денис Хитрых

СТРАНИЦЫ ИСТОРИИ

68 Нижегородская радиолаборатория: история первого


Оформляйте подписку на журнал «СТА» ·
советского научно-исследовательского центра в области
и читайте печатную версию · радиотехники
или электронную версию на www.cta.ru
Владимир Бартенев

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 3


РЫНОК

На правах рекламы

Новости российского рынка

ЭЛЕМЕНТЫ И КОМПОНЕНТЫ

DC/DC-ПРЕОБРАЗОВАТЕЛИ Входное напряжение, В Номинальное выходное Максимальный ток


Наименование
Мин. Ном. Макс. напряжение, В нагрузки, А
С РАСШИРЕННЫМ ДИАПАЗОНОМ
РАБОЧИХ ТЕМПЕРАТУР ММР15-3,3 3,3 4,00

И ВХОДНЫХ НАПРЯЖЕНИЙ ММР15А 5 3,00

Функциональное назначение: ММР15Д 9 1,67

Одноканальный стабилизирующий мо- ММР15В 9 24 36 12 1,25

дуль питания категории качества «ОТК». ММР15С 15 1,00

Возможно применение в аппаратуре спе- ММР15Е 24 0,64

циального назначения. Поставляются во ММР15Н 27 0,55

всеклиматическом исполнении «В» по более 50 мВ. Электрическая прочность изоля-


ГОСТ 1150. Разработаны и выполнены в ции между входными и выходными контакта-
соответствии с военными стандартами РФ. ми: 500 В постоянного напряжения.
Технические характеристики: Модуль имеет функции: дистанцион-
Конструктивно выполнен в металлическом ное выключение, регулировка выход-
корпусе, залитом компаундом. Нестабиль- ного напряжения, защита от перегруз-
ность выходного напряжения при изменении ки по току и от короткого замыкания (к. з.)
входного ±0,5%. Нестабильность выходного по выходу. После снятия перегрузки или
напряжения при изменении тока нагрузки 1%. к. з. модуль автоматически восстанавли-
Минимальный ток нагрузки – холостой ход. вает свои выходные параметры. Время
Нестабильность выходного напряжения при к. з. не ограничено. КПД до 90%. Ток потребле- чих температур от –60 до +85°С при температу-
изменении температуры окружающей среды ния в режиме холостого хода не более 7 мА. ре корпуса ИВЭП не более +105°С. Масса 15 г.
в рабочем диапазоне ±1,5%. Величина напря- Стойкость к воздействиям механических и www.mmp-irbis.ru
жения радиопомех ИВЭП по ГОСТ В 25803-91 климатических факторов, специальных сред со main@mmp-irbis.ru
(группа 2.1, кривая 2). Амплитуда пульсации значениями характеристик соответствует груп- +7 (495) 927-10-16
выходного напряжения (от пика до пика) не пе 3У по ГОСТ РВ 20.39.412-97. Диапазон рабо- +7 (800) 350-10-16

Наименование серии NMP650 NMP1K2


КОНФИГУРИРУЕМЫЕ ИСТОЧНИКИ Выходная мощность, Вт 650 1200
ПИТАНИЯ MEAN WELL – Количество слотов 4 6
ЭКОНОМИЧНЫЙ КОНСТРУКТОР ДЛЯ Выходное напряжение, В 5/12/24/48/(±3…30)
СБОРКИ ИП ПОД ЛЮБУЮ ЗАДАЧУ Коэффициент коррекции
>0,95
мощности
Среди продукции MEAN WELL имеется Изоляция «вход-выход», В AC 4000
отдельный класс источников питания – кон- Температурный диапазон, °C –30…+70
фигурируемые. Подобные источники пита- Размеры, Ш×Г×В, мм 250×89×41 250×127×41 ● конфигурируемые выходные параметры:
ния позволяют снизить стоимость системы очень просто монтируются и, кроме этого, их – количество выходных напряжений (каналов);

питания в тех случаях, где требуется не- можно соединять параллельно для увеличения – значение выходных напряжений;

сколько каналов выходного напряжения и выходного тока или последовательно для полу- ● возможность программирования выход-
необходимо использовать несколько AC/DC- чения нестандартного выходного напряжения. ных параметров;
преобразователей. Применяя в этом случае Вторичные модули выпускаются на однопо- ● каскад коррекции мощности;
конфигурируемый блок питания, можно обой- лярное и биполярное напряжения. Конфигу- ● возможность применения в медицинских
тись всего одним AC/DC-преобразователем. рируемые источники питания востребованы в устройствах (2хМОРР);
приложениях, где требуется несколько выход- ● низкий профиль (41 мм; 1U);
Класс конфигурируемых источников пита- ных напряжений, например, для питания элек- ● дистанционное управление;
ния предполагает, что их можно заказать или тронной части устройства и электропривода. ● гарантия до 5 лет.
самостоятельно собрать под требуемые пара- Конфигурируемые источники питания Применение:
метры. Подобные источники питания состоят соответствуют требованиям медицинского ● медицинские устройства (сканеры);
из основной первичной базы на определённую сертификата EN60601-1 ред. 3.1, и их можно ● лабораторное оборудование;
выходную мощность и ряда отдельных вторич- использовать в устройствах, имеющих кон- ● телекоммуникационное оборудование;
ных модулей на определённые выходные на- такт с телом пациента (тип BF; 2xMOPP). ● промышленное оборудование;
пряжение и мощность. Выбирая вторичные Основные технические характеристики ИП ● устройства лазерной обработки материалов.
модули, можно сконфигурировать источник серий NMP650 и NMP1K2 представлены в та- www.compel.ru
питания, имеющий до 6 различных выходных блице, а их внешний вид изображён на рисунке. msk@compel.ru
напряжений (до 6 каналов). Данные модули Особенности: +7 (495) 995-0901

4 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


РЫНОК

На правах рекламы

УЛЬТРАПРЕЦИЗИОННЫЙ Генератор ГК209-ТС с габаритными раз- ном выводе. Оно изменяется в интервале
КВАРЦЕВЫЙ ГЕНЕРАТОР мерами 36×27×19 мм обладает низкой температур, так как потребляемая генера-
С ДВОЙНЫМ ТЕРМОСТАТИРОВАНИЕМ G-чувствительностью 1×10–9 и хорошей дол- тором мощность изменяется.
ГК209-ТC говременной стабильностью до ±1×10–8в год. Для того чтобы уйти от этого паразитного
АО «МОРИОН» (Санкт-Петербург) – ве- падения напряжения к надёжной реализа-
дущее предприятие России и один из ми- ции температурной стабильности такого вы-
ровых лидеров в области разработки и сокого уровня, предлагаются варианты с от-
серийного производства кварцевых при- дельным земляным выводом для управления
боров стабилизации и селекции частоты – частотой либо вариант генератора с ЦАП.
представляет новые варианты исполне- Вариант с ЦАП (LTC2606, 16 бит) реали-
ния ультрапрецизионного кварцевого ге- зован в стандартных пятиногих корпусах.
нератора с двойным термостатировани- Данная разработка превосходит по пара-
ем ГК209-ТС. метрам и предлагается в качестве замены
Генератор ГК209 является устройством выпускавшимся генераторам ГК89, ГК142,
с очень высокой температурной стабиль- ГК180. С аналоговым управлением в вари-
ностью в широком интервале температур. Добавлены новые варианты исполне- анте без дополнительной «земли» новый
Интервалы рабочих температур: –40… ния генераторов в корпусах 40×50 мм и генератор полностью совместим со стары-
+70°С. 50×50 мм, высотой 19 мм. ми пин в пин.
Температурная стабильность частоты: до Для реализации температурной стабиль- morion.com.ru
±1×10–10; ±5×10–11. ности ±1×10–10 или ±5×10–11 (особенно для morion@morion.com.ru
Напряжение питания генератора: 12 В варианта с питанием 5 В) основное огра- +7 (812) 350-75-72
или 5 В. ничение – падение напряжения на земля- +7 (812) 350-92-43

РАСШИРЕННАЯ НОМЕНКЛАТУРА Упрощена процедура заказа бесфлан-


ТИПОРАЗМЕРОВ МОЩНЫХ СВЧ- цевого исполнения резисторов мощно-
РЕЗИСТОРОВ Р1-17 КАТЕГОРИИ стью рассеяния 150, 250, 400 Вт (введе-
КАЧЕСТВА «ВП» ны обозначения исполнений): Р1-17-150-2,
По многочисленным запросам АО «НПО Р1-17-150-3, Р1-17-250-1, Р1-17-250-3,
«ЭРКОН» расширило номенклатуру ти- Р1-17-400-1, Р1-17-400-3, Р1-17-400-3.1.
поразмеров популярных мощных СВЧ- Расширенная вариативность конструктив-
резисторов Р1-17 РКМУ.434110.001 ТУ кате- ных и функциональных параметров позво-
гории качества «ВП». Резисторы дополнены Р1-17-40, Р1-17-40-1, Р1-17-40-2, Р1-17-40-3, ляет подобрать оптимальное решение для
тремя значениями номинальной мощности Р1-17В-40, Р1-17-100, Р1-17-100-1, Р1-17-100-2, вашего применения.
рассеяния (25, 40 и 100 Вт) и имеют флан- Р1-17-100-3. Рабочий диапазон частот ре- www.erkon-nn.ru
цевое и бесфланцевое конструктивные ис- зисторов составляет от 0 до 4 ГГц (допу- sales@erkon-nn.ru
полнения, в том числе для использования стимо использование на более высоких +7 (831) 202-25-52
в качестве оконечных нагрузок: Р1-17-25-4, частотах). +7 (831) 465-64-31

СОБЫТИЯ

АКАДЕМИЯ ТЕХНОЛОГИЙ ОСТЕК- технологий и понимает важность этой состав-


СМТ ПРИГЛАШАЕТ ляющей для рынка. В обучающий комплекс Ака-
Академия технологий – уникальный обуча- демии входит целый набор форматов: видеооб-
ющий проект, созданный экспертами Остек- зоры решений и технологий, записи вебинаров,
СМТ для руководителей производств, глав- репортажи с производств, экспертные статьи,
ных технологов, инженеров и специалистов онлайн- и офлайн-мероприятия. База знаний
российских промышленных предприятий, в располагается по адресу ostec-smart.ru/media.
первую очередь, для сборочно-монтажных К большей части контента доступ свободный,
производств РЭА. Цель проекта – сформиро- для полного доступа ко всему контенту требу-
вать полноценную базу знаний, включающую ется несложная регистрация на сайте.
разные срезы: от тенденций и технологиче- База знаний Академии будет постоянно
ских решений до вопросов эксплуатации си- пополняться новыми материалами и собы-
стем. Это опыт, которым специалисты Остек- тиями. Следить за обновлениями можно как ниях комплексной автоматизации сборочно-
СМТ готовы поделиться с сотрудниками на сайте Остек-СМТ в разделе «Академии», монтажных производств радиоэлектронной
производств с целью их развития и обуче- так и подписавшись на ютуб-канал «Акаде- аппаратуры, промышленных аддитивных
ния новым производственным технологиям. мия технологий Остек-СМТ», где публикует- технологиях и неразрушающем контроле.
Следуя мировым тенденциям, Остек-СМТ ся весь «открытый» видеоконтент проекта. ostec-smart.ru
стремится активно содействовать развитию Остек-СМТ – подразделение Группы ком- smart@ostec-group.ru
заказчиков-специалистов в области высоких паний Остек, специализирующееся на реше- +7 (495) 788-44-41

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 5


РЫНОК

На правах рекламы

FPGA IP-БЛОКИ ETHERNET- а в случае потери одной копии вторая исполь- дит только на уровне пакетов, а увеличение
КОММУТАТОРА С ПОДДЕРЖКОЙ зуется приёмником. Предполагается, что оба объёма оборудования не происходит.
ПРОТОКОЛОВ РЕЗЕРВИРОВАНИЯ физических пути независимы с точки зрения С помощью набора IP-блоков Flexibilis
HSR/PRP влияния на них одной и той же неисправности. Redundant Switch (FRS) могут быть реализова-
Компания TTTech Industrial провела веби- ны функциональные устройства PRP RedBox
нар, посвящённый протоколам резервиро- и DANP, HSR RedBox, HSR End Node, HSR-
вания Ethernet-сетей HSR (High-availability PRP RedBox и QuadBox. Набор FRS поддержи-
Seamless Redundancy) и PRP (Parallel вает протокол синхронизации точного време-
Redundancy Protocol) и их применению при ни IEEE 1588v2 PTP (Precision Time Protocol).
построении сетей по стандарту МЭК 61850 Реализация протоколов в базовом наборе
«Сети и системы связи на подстанциях». FRS является FPGA-независимой. Поставля-
Компания TTTech Industrial производит набор ются готовые к применению конфигурации
IP-блоков для реализации на FPGA Ethernet- FRS для FPGA Intel Cyclone IV, V и V SoC.
коммутатора, поддерживающего протоколы ре- Применение других FPGA требует настройки.
зервирования HSR и PRP, которые являются Десятки компаний применяют FRS в
стандартами МЭК 62439-3 и предназначены своих промышленных сетевых устрой-
для построения распределённых систем управ- «Протокол Параллельного Резервирования» ствах, в том числе ABB, Alstom Grid, Artesyn
ления высокой надёжности, таких как автома- PRP (стандарт МЭК 62439-3 Clause 4) исполь- Embedded Technologies, DRS Technologies
тизация подстанций и управление движением. зует в качестве альтернативного физического и iS5 Communications.
Набор называется «Flexibilis Redundant Switch» пути вторую сеть, дублирующую первую сеть, Дистрибьютор компании TTTech в России –
и является торговой маркой финской компании т.е. затраты на оборудование удваиваются. компания «АВД Системы», поставщик средств
Flexibilis, приобретённой TTTech в 2016 году. Протокол «Бесшовного Резервирования Высо- разработки программного обеспечения, кри-
Оба протокола, и HSR, и PRP, основаны на кой готовности» HSR (стандарт МЭК 62439-3 тически важных для безопасности сертифици-
посылке источником информации двух копий Clause 5) использует кольцевую топологию руемых встраиваемых компьютерных систем.
пакета двумя физически независимыми путя- сети, в которой две копии пакета посылаются www.avdsys.ru
ми. В случае получения приёмником обеих ко- источником в двух противоположных направ- avdsys@aha.ru
пий информации вторая копия игнорируется, лениях кольца, т.е. резервирование происхо- +7 (916) 194-42-71

НОВОСТИ МИРА

В СОВФЕДЕ ПРЕДЛОЖИЛИ запрограммированных человеком действий, правительством РФ по представлению фе-


ОПРЕДЕЛЕНИЕ ПОНЯТИЯ «РОБОТ» так и к автономному решению задач. дерального органа исполнительной власти,
И КЛАССИФИКАЦИЮ РОБОТОВ В Совфеде, сообщает ТАСС, выделяют уполномоченного в сфере оборота робо-
Комплексный законопроект о правовом два вида роботов: гражданские и служеб- тов», – говорится в пояснительной записке
регулировании отношений в сфере оборота ные: «Гражданский робот – робот, деятель- к законопроекту, которую цитирует ТАСС.
роботов, который, в частности, даёт опреде- ность которого направлена на реализацию Роботов первого, второго и третьего клас-
ление понятию «робот», делит роботов на частных интересов, оборот которого регули- сов предлагается относить к источникам по-
классы, а также закрепляет принципы вза- руется гражданским законодательством. Слу- вышенной опасности. К таким роботам, если
имоотношений робота и человека, разрабо- жебный робот – робот, деятельность которого законом и иными нормативными правовы-
тан в Совете Федерации и направлен для направлена на реализацию интересов госу- ми актами не установлено иное, применя-
отзыва в Минэкономразвития и Минцифры, дарства и общества, вовлечённый в сферу ются общие положения гражданского зако-
сообщает ТАСС, обильно цитируя документ. деятельности институтов публичной власти». нодательства об ответственности за вред,
Гражданские роботы, в свою очередь, под- «причинённый деятельностью, создающей
разделяются на промышленных, сервисных, повышенную опасность для окружающих».
медицинских, образовательных, научных. Слу- Законопроект также выделяет два типа
жебные – на военных и правоохранительных. роботов в зависимости от характера и сте-
Как уточняется в документе, роботы, а пени участия человека в их функциониро-
также их составные части в зависимости от вании: управляемые и автономные.
степени опасности причинения вреда охра- «На территории Российской Федерации
няемым законом интересам личности, об- запрещается оборот роботов, обладающих
щества и государства подразделяются на возможностью самостоятельного принятия
четыре класса опасности: первый класс решений и способных действовать на осно-
(высокой опасности), второй класс (сред- вании самостоятельно сформулированного
Законопроект определяет робота как ней опасности), третий класс (низкой опас- поведенческого алгоритма, которым наме-
продукт достижений цифровых технологий ности), четвертый класс (неопасные). ренно приданы свойства для обеспечения их
(робототехническое устройство, комплекс, «Критерии отнесения роботов, их состав- применения с использованием оружия, его
система), состоящий из двух или более со- ных частей (модулей) к I–IV классам опас- основных частей, боеприпасов, взрывчатых
ставных частей, управляемый средствами ности по способности причинения вреда ох- веществ и взрывных устройств либо иного
заложенной в него компьютерной програм- раняемым законом интересам личности, вооружения», – говорится в законопроекте.
мы и способный как к выполнению заранее общества и государства устанавливаются d-russia.ru

6 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


РЫНОК

На правах рекламы

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

ПРИНЦИПЫ которые производятся в диапазоне напря- ● принцип технико-экономического балан-


ПРОЕКТИРОВАНИЯ КОМПАНИИ жений (UDRM/URRM) 1000…6500 В на мак- са;
«ПРОТОН-ЭЛЕКТРОТЕКС» симально допустимый средний прямой ток / ● принцип раннего анализа рисков;
НА ПРИМЕРЕ ЛИНЕЙКИ НОВЫХ средний ток в открытом состоянии (IFAV/ ● принцип обеспечения безопасности;
ОДНОПОЗИЦИОННЫХ МОДУЛЕЙ ITAV) до 700 А. ● принцип клиентоориентированности.
В 2021 году АО «Протон-Электротекс» Новые модули имеют следующие осо-
приступило к серийному производству од- бенности:
нопозиционных модулей с максимально до- ● высокая стойкость к циклическим меха-
пустимым средним током в открытом со- ническим, электрическим и температур-
стоянии до 700 А. В материале отражены ным нагрузкам;
основные принципы, применяемые в компа- ● корпус промышленного стандарта, упро-

нии при разработке новых продуктов. щающий применение приборов в суще-


На протяжении многих лет компания ствующем оборудовании и предоставля-
«Протон-Электротекс» занимается разра- ющий возможность замещения аналогов;
боткой и изготовлением силовых полупро- ● высокая прочность изоляции, номиналь- Современный рынок силовых полупровод-
водниковых приборов прижимной и паяной ное значение напряжения изоляции до никовых приборов разнообразен продукци-
конструкций, а также силовых сборок на их 7 кВ АС (50 Гц, 1 мин); ей от компаний из разных стран. В погоне за
основе. На предприятии организован пол- ● высокий уровень ударного тока в открытом низкой стоимостью производители и потре-
ный цикл производства, особое место в ко- состоянии ITSM и ударного прямого тока бители зачастую пренебрегают, на первый
тором занимает этап проектирования и раз- IFSM обеспечивает надёжную защиту от взгляд, незначительными деталями, кото-
работки. выхода из строя оборудования в критиче- рые в перспективе могут глобально повли-
Основной задачей данных подразделе- ских режимах работы преобразователей; ять на безопасность и надёжность оборудо-
ний является создание новой, качествен- ● низкое тепловое сопротивление интер- вания, что, в свою очередь, может повлечь
ной, надёжной и безопасной продукции. фейсов конструкции, что в совокупно- серьёзные финансовые потери и, главное,
В 2021 году отдел разработки приборов сти с улучшенными электрическими пара- опасные для жизни человека ситуации. Ком-
прижимной конструкции расширил номен- метрами позволяет обеспечить значение пания «Протон-Электротекс» выпускает
клатуру изделий, выпускаемых компанией, среднего прямого тока и среднего тока в продукцию с оптимальными показателями
линейкой однопозиционных диодных и ти- открытом состоянии IFAV/ITAV до 700 А. цены и качества, что подтверждается макси-
ристорных модулей с шириной основания Таким образом, приборы разработанной мальным комплексом испытаний, установ-
50 мм. Данному типу модулей был присво- линейки обладают высокой конкурентоспо- ленных отраслевыми стандартами.
ен внутренний суффикс В0. собностью и имеют ряд вышеуказанных пре- О компании АО «Протон-Электротекс»:
Модули предназначены для установки в имуществ перед аналогами, что стало воз- АО «Протон-Электротекс» – российский ли-
преобразователях энергии, а также в дру- можным благодаря принципам, заложенным дер проектирования и производства сило-
гих цепях постоянного и переменного то- в процесс разработки. вых полупроводниковых приборов, включая
ка различных силовых электротехнических За многолетнюю историю в компании диоды, тиристоры и IGBT-модули, а также
установок. Основные области применения устоялись традиционные принципы отече- охладителей, силовых сборок и измеритель-
модулей В0 – системы управления электро- ственного инжиниринга, которые заложены ного оборудования. Компания находится в
приводом и двигателем постоянного тока, в процессном подходе к проектированию и городе Орле и поставляет продукцию че-
выпрямительные мосты, регуляторы пере- разработке. К основным фундаментальным рез свою партнёрскую и дистрибьюторскую
менного тока, источники питания и другие принципам в компании относят: сеть по всему миру.
коммутационные установки. ● принцип выпуска качественной продукции; www.proton-electrotex.com
Линейка В0 представлена однопозицион- ● принцип проявления научно-исследова- +7 (4862) 44-04-56
ными тиристорными и диодными модулями, тельского подхода; marketing@proton-electrotex.com

АО «СКТБ РТ» ПРИГЛАШАЕТ Многолетний опыт в области проведения


К СОТРУДНИЧЕСТВУ опытно-конструкторских работ позволяет АО
АО «СКТБ РТ» является разработчиком «СКТБ РТ» разрабатывать новые электронные
и производителем современных электрон- изделия и модернизировать серийно произво-
ных изделий для перспективных образцов димые по техническим требованиям заказчика.
радиоэлектронной аппаратуры специально- По программе импортозамещения разра-
го и общепромышленного применения на ботан ряд изделий, которые являются ана-
базе отечественной комплектации. логами продукции таких иностранных про-
Шагая в ногу со временем, в рамках ре- изводителей, как: Interpoint (США), Vicor
ализации стратегии развития электронной Corporation (США), Epcos (Германия), Omron
промышленности РФ АО «СКТБ РТ» ве- (Япония), Tyco Electronics (США), Teledyne www.sktb-relay.ru
дёт активную работу по программам им- Relays (США), Panasonic (Япония), Micropac +7 (8162) 62-17-35
портозамещения и диверсификации про- Industries (США), International Rectifier (США), +7 (8162) 61-64-46
изводства. Mini-Circuits (США). office@sktbrt.ru

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 7


РЫНОК

Ведущие производители полупроводниковых


электронных компонентов и дефицит их продукции.
Часть 1. Контрактные производители
Виктор Алексеев (victor.alexeev@gmail.com)
в портах Европы и Америки, поскольку
Пандемия COVID-19 вызвала катастрофические проблемы с грузовыми в них просто нечего было везти обрат-
перевозками во всём мире. В начале 2020 года импорт упал на 5,8%, но в Азию (рис. 1).
а экспорт фактически вырос на 1,3%. Морские грузовые контейнеры Весной 2020 года из каждых 100 кон-
постепенно скапливались в портах Европы и Америки, поскольку в них тейнеров, доставленных в порты Север-
просто нечего было везти обратно в Азию. Цены на новые контейнеры и ной Америки, обратно отправляются
фрахт поднялись в несколько раз. Вслед за этим поползли вверх цены только 40. Как следствие, в Китае, Син-
на материалы и оборудование. В конце 2019 года резко упал спрос на гапуре, на Тайване и в других азиатских
новые автомобили, но вырос спрос на компьютеры и офисную технику. странах начали и продолжили расти в
Производители полупроводниковых электронных компонентов (ПЭК) 2020–2021 гг. цены на морские грузо-
из-за отсутствия спроса и многочисленных возвратов сократили выпуск вые контейнеры и их транспортировку.
комплектующих для автомобильной электроники, переключившись Если пригодные для перевозки контей-
на другие направления. В середине 2020 года спрос на автомобили неры можно было купить за 800…1200
возобновился, но одновременно образовался дефицит на электронные долларов до 2020 года, в 2021 году они
компоненты, необходимые для их оснащения. С одной стороны, это стоили уже от 2200 до 2800 долларов.
было связано с тем, что примерно 90% сложных ПЭК производится в Аналогично увеличились в среднем на
Азии, а проблема с морскими грузовыми перевозками только усилилась 50% цены на аренду контейнеров [3].
в 2020 году. С другой стороны, сказалась переориентация производства Стали возникать сбои в деятельности
ПЭК на компьютеры и смартфоны. сборочных предприятий, работающих
Постепенно нарастающая паника привела к дефициту комплектующих без складских запасов в режиме поста-
для всех отраслей электронной промышленности. По мнению экспертов, вок комплектующих на конвейер точно
последствия мирового логистического кризиса и дефицита ПЭК будут по времени. Все эти факторы привели к
ощущаться и в течение 2022 года. проблемам в мировой индустрии про-
изводства полупроводниковых элек-
тронных компонентов (ПЭК). Основные
Мировой кризис поставок чески все страны приняли специальные трудности возникли в Китае и других
полупроводниковых меры эпидемиологической безопасно- азиатских странах, где власти использо-
электронных компонентов сти при контроле грузов на сухопутных, вали крайне жёсткие ограничительные
в 2019–2020 гг. морских и авиационных таможенных меры. Полностью было закрыто доста-
Пандемия COVID-19, закрытие границ постах, что вызвало беспрецедентные точно большое число заводов и фабрик.
и локдаун в большинстве стран, падение задержки доставки грузов. Летом 2020 г. отчётливо обозначилась
акций крупнейших мировых корпора- В марте 2020 года нехватка рабочей проблема несбалансированности рас-
ций до минимума 1987 года, рухнувшие силы привела к длительным задерж- пределения по всему миру предприя-
цены на нефть, санкции США против кам и очередям на самых загруженных тий, производящих ПЭК. В конце 90-х
китайских производителей полупро- морских терминалах мира [1]. В пери- на долю США приходилось примерно
водниковых электронных компонен- од с марта по май 2020 года импорт из 37% мирового производства полупрово-
тов (ПЭК), запрет Японии на поставки стран азиатского региона упал пример- дников. В III квартале 2021 г. в Северной
ПЭК в Южную Корею – вот основные но на 6%, тогда как экспорт фактиче- Америке было произведено чуть боль-
характеристики состояния мировой ски вырос более чем на 2%. В результате ше 20% от мирового объёма полупро-
экономики весной 2020 года. Практи- контейнеры постепенно скапливались водниковых компонентов, а в Европе и
Японии – примерно по 7% [4]. При этом
более 65% производственных мощно-
стей переместилось в страны Азиатско-
Тихоокеанского региона (рис. 2).
Весной и летом 2020 года в пери-
од локдауна по всему миру продажи
автомобилей резко упали, и ведущие
автопроизводители стали отме-
нять свои заказы на специализиро-
ванные автомобильные ПЭК [6]. Как
следствие, фирмы, изготавливающие
подобного рода чипы, были вынужде-
Рис. 1. Весной 2020 года грузовые контейнеры постепенно скапливались в портах Европы и Америки [2] ны существенно сократить или даже

8 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


РЫНОК

прекратить производство этой про-


США Европа Япония Южная Корея
дукции [7].
После отмены жёстких локдаунов Тайвань Китай Прочие
летом 2020 года люди, отложившие 100
ранее запланированные покупки и
опасающиеся заразиться в городском 80
транспорте вирусом COVID-19, нача-
ли массово приобретать автомобили.
60
Немаловажную роль сыграли деньги,
сэкономленные на отдыхе и развлече-
40
ниях в период вынужденного домаш-
него заточения. Таким образом, в кон-
це 2020 г. спрос на автомобили не 20
только вернулся к своему докризис-
ному уровню, но даже заметно превы- 0
1990 2000 2010 2020* 2030*
сил его. Как следствие, возник острый
дефицит специализированных автомо- Рис. 2. Большинство заводов по производству ПЭК было сосредоточено в 2020 году в странах
бильных ПЭК, производство которых Азиатского региона [5]
было сокращено весной 2020 г.
Одновременно в середине 2020 года, управления питанием, микросхемы сказал, что возросшие сроки поставки
благодаря удалённому обучению памяти, логические ПЛИС, контролле- некоторых типов ПЭК вызовут задерж-
школьников и студентов, а также работе ры ЖКД, дискретные силовые транзи- ку отгрузки готовой продукции фирмы
в домашних офисах, начали расти про- сторы, управляющие микроконтролле- в некоторых случаях на 50 недель, а в
дажи компьютеров и другого офисного ры, чипы беспроводной связи и другие. других могут превысить 80 недель [17].
оборудования. Кроме того, возник бес- Проблемы с поставками возникли и в В 2021 году заметно ухудшилась ситу-
прецедентный спрос на игровые консо- самом начале производственной цепоч- ация с морскими контейнерными пере-
ли [8]. Поэтому потребление ПЭК это- ки, где в дефиците оказались 200 мм возками. На рейдах портов всего мира,
го класса превысило объёмы, которые кремниевые пластины, используемые по данным на начало октября 2021 года,
на тот момент могли предложить про- для дешёвых стандартных микросхем. в ожидании разгрузки простаивало боль-
изводители [9]. Cреднее время ожидания для поста- ше 350 контейнеровозов, на борту кото-
В середине 2020 года доминировало вок чипов на фабрики выросло пример- рых скопилось в общей сумме около двух
мнение, что ведущие производители и но с 12 недель до пандемии до 22 недель миллионов 20-футовых контейнеров [18].
дистрибьюторы ПЭК обладают доста- в среднем в октябре 2021 [13]. Стоимость перевозки грузов морски-
точными финансовыми средствами Продукция таких фирм, как Infineon ми контейнерами заметно увеличилась в
для того, чтобы быстро создать необ- Technologies AG, Microchip Technology Inc 2021 году. Общий рост стоимости таких
ходимое буферное количество запасов и других, всё ещё оставалась труднодо- перевозок за последние 12 месяцев пре-
на своих складах и обеспечить гибкую ступной. Осенью 2021 увеличилось чис- высил 500% [19]. По данным аналитиче-
логистику [10, 11]. Однако ситуация не ло фирм, которые предупредили о более ской компании Xeneta, стоимость кон-
только не улучшилась в конце 2020, но длительном, чем ожидалось, дефиците тейнерных перевозок через Тихий океан
и заметно ухудшилась в 2021 году. их продукции. В числе этих фирм можно в октябре 2021 года колебалась от 5500
В ответ на нарастающий дефицит назвать такие хорошо известные из них, до 20 000 долларов [20].
большинство крупных производите- как Continental AG, Innolux Corp, Renesas В связи с Рождеством ситуация в конце
лей электронного оборудования нача- Electronics Corp, Samsung и другие [14]. 2021 г. с морскими контейнерными пере-
ли формировать сверхнормативные По некоторым позициям приводятся возками ухудшилась настолько, что её, по
складские запасы и размещать много- совершенно обескураживающие дан- всей видимости, не удастся нормализо-
кратно увеличенные заказы, что, в свою ные. Так, например, CIQ сообщила, что вать в течение всего 2022 года [21, 22, 23].
очередь, только усугубило ситуацию. время поставки стандартных логических Пандемия, логистика, локальные
Уже в I квартале 2021 г. явно обо- устройств увеличилось в среднем на 75%. форс-мажорные обстоятельства, ошиб-
значился дефицит комплектующих, Согласно Supplyframe, сроки изготовле- ки планирования и общая паника
предназначенных для таких прило- ния диодов Vishay, полевых транзисто- вызвали дефицит не только электрон-
жений бытовой электроники, как IoT, ров Infineon MOSFET, полимерно-тан- ных компонентов, но также сырья и
компьютерная техника, офисное обо- таловых конденсаторов Kemet могут материалов. Согласно оценкам [24], в
рудование, игровые консоли и т.д. растянуться до 6–10 месяцев [15]. IV квартале 2021 г. выросли цены на
Например, сократился выбор дешёвых В конце ноября 2021 года Cisco преду- следующие материалы:
ноутбуков, а игровые приставки и вовсе предила покупателей и инвесторов, что ● медная фольга: 30–50%;

стало трудно найти в магазинах боль- проблемы с цепочкой поставок сохра- ● стекловолокно: 24–40%;

шинства стран мира. В конце 2020 года нятся в 2022 году, и сроки изготовления ● эпоксидная смола: 25–30%;

спрос на бытовое электронное обору- конечного оборудования, заказанного ● листовой металл: 10–20%;

дование увеличился в среднем по все- в 2021 году, могут составлять несколь- ● картон для упаковки: 15–30%.

му миру примерно на 8% [12]. ко месяцев [16]. Вслед за сроками поставок, транс-


В течение всего 2021 года в разряде Финансовый директор фирмы Juniper портными расходами, ценами на сырье
дефицитных оставались микросхемы на ежегодной встрече с инвесторами синхронно увеличивались цены на ПЭК.

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 9


РЫНОК

Как переживают
пандемию COVID-19
контрактные производители
полупроводниковых
электронных компонентов
Полупроводниковая промышлен-
ность, переживающая пандемию
COVID-19 много лучше, чем автомо-
бильная, получила в 2020 году выруч-
ку от реализованной продукции в сред-
нем по отрасли на 6,5% больше, чем в
2019 году. По промежуточным итогам
за IV квартал 2021 г. большинство веду-
щих производителей ПЭК увеличили
свою прибыль по сравнению с анало-
гичным периодом 2020 года [37].
Рис. 3. Проблему с выходом автомобильной электроники на нормальный режим работы удастся решить Всех производителей ПЭК можно
не раньше 2023 года [36] разделить на четыре основные группы:
● фирмы «Semiconductor Contract
Таблица 1 Manufacturing Company» изготавли-
Резисторы, конденсаторы и др. 20~25%
вают продукцию по контрактам и
Аккумуляторы 10~15% патентам других фирм на собствен-
Пассивные электронные
компоненты Кварцевые генераторы 10~20% ных предприятиях. (Другое назва-
Разъёмы и кабели 10~50% ние – «Pure-Play Foundry Business».)
МЭМС, встраиваемые Акселерометры, гироскопы, датчики давления и температуры 20~30% Основные представители: TSMC,
Микроконтроллеры 10~55% GlobalFoundries и UMC;
Контроллеры Контроллеры локальных сетей 25~45% ● фирмы «Chip Designers Fabless
Микросхемы управления питанием PMIC 10~50% Companies» (FLC), которые разраба-
Аудио Кодеки 25~60% тывают и патентуют технологии, а так-
Усилители мощности, ПУ 25~60% же другие элементы интеллектуальной
Стандартные ИС Логика, усилители, АЦП, ЦАП и т.д. 10~50% собственности, необходимые для ор-
Специальные ИС ПЛИС, сборки на кристалле 20~65%
ганизации массового производства на
Транзисторы МОП-транзисторы 20~40%
сторонних предприятиях, но не име-
Flash-память 20~55%
Память ют собственных производственных
DRAM-память 50~70%
мощностей. Эти фирмы изготавлива-
Платы Печатные платы 10~25%
ют ПЭК на контрактных заводах. Веду-
Промышленные ЖК-дисплеи 10~30%
щие мировые концерны этой группы:
Дисплеи ЖК-дисплеи для рекламы и торговли 40~75%
Сенсорные экраны 10~15%
Qualcomm, Nvidia, AMD, Broadcom, NXP;
● фирмы «Silicon Wafers Manufacturer»
Адаптеры напряжения 10~20%
Питание производят только сами кремниевые
Блоки питания ПК 10~15%
Вентиляторы для ПК и блоков питания 10~15% подложки для полупроводников. На-
Вентиляторы
Вентиляторы для микроконтроллеров 20~30% пример, Sumco, Shin-Etsu Chemical,
MEMC Electronic Materials, Soitec;
Холодильные агрегаты Микрохолодильники 10~15%
● фирмы «Integrated Device
Manufacturers» (IDM) разрабатыва-
Относительный рост цен на элек- в 2021 году. По-прежнему дефицитны- ют собственную полупроводнико-
тронные компоненты за период ми оставались многие позиции автомо- вую продукцию и изготавливают её
2020–2021 гг. показан в табл. 1 [25]. бильных ПЭК. на своих предприятиях. Мировые ли-
В исключительных случаях цена на Ведущие мировые автопроизводи- деры этой группы – Samsung, Intel,
некоторые электронные компоненты тели, такие, например, как Ford Motor, Texas Instruments.
увеличилась на 200% [26]. General Motors, Nissan, Volkswagen, Fiat, Эта схема не является строгой,
Как пример неконтролируемого роста, BMW, Daimler и другие, предупреди- поскольку существуют фирмы, исполь-
Sensors Converge приводит микросхему, ли своих акционеров о значительном зующие несколько различных направ-
которая в 2018 году стоила 7 долларов, а сокращении доходов в 2021 году из-за лений деятельности.
в 2021 году за неё просят уже 230 долла- нехватки чипов [31…35]. На сегодняшний день в мире лидируют
ров США [27]. О повышении цен на свою Руководители ведущих автопроиз- три крупнейшие фирмы, контролирую-
продукцию объявили практически все водителей осенью 2021 на автосалоне щие мировое контрактное производство
ведущие производители ПЭК [28, 29, 30]. IAA в Мюнхене в своих высказывани- современных процессоров, программи-
Вопреки первоначальным прогно- ях были солидарны в том, что пробле- руемых логических интегральных схем
зам и ожиданиям мировая автомо- му с выходом отрасли на нормальный ПЛИС (PLD), систем на кристалле (SoC)
бильная промышленность не восста- режим работы удастся решить не рань- и других сложных полупроводниковых
новилась до прогнозируемого уровня ше 2023 года (рис. 3) [36]. электронных компонентов (SEC):

10 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


РЫНОК

SMIC
UMC

GlobalFoundries

Прочие
TSMC

Samsung

Рис. 4. Торговая марка концерна Taiwan Semiconductor Manufacturing Рис. 5. Относительные доли объёмов доходов от продаж в IV квартале 2020 г.
Company [38] ведущих мировых производителей полупроводниковых микросхем [37]

● Taiwan Semiconductor Manufacturing I квартале 2021 г. ведущих мировых


Co (TSMC – 12,9 млрд USD, Q1 2021); производителей сложных полупрово- 0,15/0,18 мкм
8%
● United Microelectronics Corporation дниковых компонентов. 0,11/0,13 мкм
90 нм 0,25мкм и более
(UMC – 1,6 млрд USD, Q1 2021); На долю тайваньской фирмы TSMC 2% 2%
65 нм 3%
● Global Foundries Inc (GFS – 1,5 млрд приходится больше половины обще- 8%
USD, Q1 2021). мирового производства современных
В приведённом перечне в скобках микросхем. По результатам III кварта- 40/45 нм 7 нм
10% 27%
указаны доходы (revenue) от основной ла 2021 г. доход (revenue) TSMC соста-
28 нм
деятельности фирм за I квартал 2021 г. вил 14,88 млрд долл. США [39]. 16%
16 нм
Вообще говоря, вторым в мире по объ- На рис. 6 показано распределение 20%
ёмам выпускаемой продукции являет- объёмов производства TSMC по тех-
ся производственный концерн Samsung, нологиям. 10 нм
20 нм 3%
который работает в основном «сам на В I квартале 2021 г. на технологии 7 1%
себя». Иными словами, сам проектиру- и 5 нм вместе приходилось почти 50%
ет и сам изготавливает компоненты на доходов компании. На продукцию 7 нм Рис. 6. Распределение объёмов производства
своих собственных заводах. Доходы приходится примерно 35% всей выруч- TSMC по технологиям [40]
от основной деятельности Samsung за ки, а на 5 нм – около 14%. Эти данные
I квартал 2021 г. составили 4,08 млрд указывают на то, что у TSMC снизились для технологии «картинка в картинке»
долл. США. Во второй части этой ста- заказы на микросхемы с 5 нм и увеличи- (PiP) и другие аналогичные [41].
тьи Samsung отнесён к другой группе лись заказы для приложений, где преоб- Для упаковки (package) кристал-
универсальных производителей – IDM. ладают технологии 7 нм. Как следствие, лов TSMC использует как традицион-
Концерн Taiwan Semiconductor выросли за последние три квартала так- ные методы, так и новые технологии
Manufacturing Company (рис. 4), осно- же сроки поставок на микросхемы 7 нм. 3DFabric, которые позволяют интегри-
ванный в 1987 году правительством Целесообразно подчеркнуть, что инно- ровать вычислительные ядра с разно-
Китайской Республики Тайвань, на вационные чипы должны быть разра- родными мини-чипами в более плотных
сегодняшний день является безогово- ботаны исключительно с использова- конфигурациях многорядных конструк-
рочным лидером производства микро- нием технологий самых последних ций 2D, 2.5D или 3D [42]. В новых тех-
процессоров, ПЛИС и ПЭК. поколений – 3 и 5 нм. Примером тому нологиях типа InFO-PoP (упаковка на
Штаб-квартира TSMC находится в может служить последняя разработ- упаковке) и InFO-oS (сборка InFO на
г. Синьчжу (Тайвань). По данным на ка MediaTek и TSMC, представленная в подложке) применяется кристалл на
2019 год, в филиалах и офисах, распо- декабре 2021 года. Первая в мире систе- носителе, который впоследствии мон-
ложенных на Тайване, в Китае, Индии, ма на кристалле (SoC) цифрового ТВ тируется в восстановленную пластину
Японии, Южной Корее, Нидерландах и 8K, MediaTek Pentonic 2000, изготовле- из формовочного компаунда. После это-
США, работают около 50 тысяч человек. на на заводе TSMC с использованием го на пластине монтируются соедини-
Непосредственно на Тайване распо- технологии 7 нм. Новая SoC MediaTek тельные и выводные магистрали.
ложены четыре завода с технологией Pentonic 2000 обеспечивает наилуч- Упаковки TSMC, такие как CoWoS, обыч-
12 дюймов, четыре 8-дюймовых заво- шие на сегодняшний день параметры но используются в сочетании с 7 нм или
да, один 6-дюймовый завод. Кроме того, производительности и энергоэффек- 16 нм технологиями, в то время как InFO-
TSMC полностью контролирует на Тай- тивности, а также является идеальным oS в основном предназначены для 5 нм.
ване три завода Nanjing Company, Ltd, а решением для таких приложений, как Структура технологии корпусирова-
также заводы WaferTech в США и завод разветвлённые системы искусственного ния CoWoS – Multi-Die показана на рис. 7.
TSMC China Company, Ltd. в КНР. интеллекта, встраиваемые микросенсо- На сегодняшний день чипы по техно-
На рис. 5 показаны относительные ры для определения мгновенного пере- логии 5 нм изготавливают только TSMC
доли объёмов доходов от продаж в мещения; универсальные видеокодеры и Samsung.

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 11


РЫНОК

агентству Bloomberg подчеркнул, что,


Микросхемы несмотря на экстраординарные меры,
SOC SOC HBM
реализованные фирмой для преодоле-
Точки напайки ния кризисной ситуации, устранение
дефицита и сокращение сроков поста-
вок можно будет наблюдать не раньше
Межслойные переходы
I квартала 2022 г. [46].
Данные табл. 2 позволяют понять
C4 объёмы продаж TSMC основным сво-
им заказчикам: крупнейшим мировым
Основа платы Основа платы
производителям современных актив-
ных электронных компонентов.
BGA
В табл. 3 приведены аналогичные дан-
Рис. 7. Структура технологии корпусирования CoWoS – Multi-Die, используемая на линиях TSMC 7 нм [42] ные для «опосредованных заказчиков»
TSMC. В качестве опосредованных заказ-
В начале ноября 2021 г. TSMC присту- процентах от общего дохода TSMC за чиков TSMC взяты в качестве примера
пила к тестовому производству чипов этот период (revenue); сумма заказов в наиболее значимые покупатели прямых
с технологией 3 нм (3 nm N3 node). миллионах долларов США, истраченная клиентов TSMC. Например, прямой кли-
Серийное производство запланиро- на продукцию TSMC; процентная доля ент TSMC концерн Qualcomm поставля-
вано на IV квартал 2022 г. По предва- закупленных компонентов TSMC от рас- ет компоненты другим опосредованным
рительным данным, заявки на заказы ходов фирмы (expenditure). Например, заказчикам: Apple, Samsung, Xiaomi, LG,
чипов 3 нм разместили Apple, Intel, AMD для Qualcomm эти цифры соответствен- HP, Asustek Computer и другим.
и Qualcomm [43]. но равны 4,16%, 537 млн долл. США, Следует особо подчеркнуть, что в
В отличие от 3 нм технологии 15,4%. Таким образом, для Qualcomm в табл. 3 показаны данные не абсолют-
Samsung, в которой используются I квартале 2021 г. суммарные затраты на ных лидеров рынка по отдельным
транзисторные структуры GAA (gate- компоненты составляли около 3,5 млрд отраслям электроники, а приведены
all-around), TSMC использует транзи- долл. США, из которых 537 млн было только цепочки, в которых главным
сторы FinFET. истрачено на изготовление сложных поставщиком является TSMC.
По сравнению с линией N5 (5 нм), ПЭК на заводах TSMC. При этом общий Несмотря на то что Broadcom [47]
оборудование N3 позволит увеличить доход TSMC в I квартале 2021 г. составил и AMD [48] являются крупнейшими
производительность микросхем на примерно 12,9 млрд долл. США. поставщиками ПЭК для автомобильной
10…15% при тех же уровнях мощности. В начале 2020 года только 3% от всего электроники, данные по этим фирмам
Кроме того, технология 3 нм позволит объёма выпускаемой TSMC продукции в табл. 3 не выделены в отдельную кате-
TSMC увеличить плотность компоновки составляли ПЭК для автомобильной горию. Вероятно, эти данные в оценках
элементов на пластине в 1,7 раза [44]. промышленности. Основная часть про- [45] попали в разделы субподрядчиков,
В табл. 2, подготовленной с исполь- дукции TSMC предназначена для произ- с которыми Broadcom AMD имеют тес-
зованием данных статьи [45], приведе- водителей смартфонов (51%), компью- ные партнёрские отношения. Среди
ны данные о закупках продукции TSMC терной индустрии (31%) и IoT (<10%). этих фирм, поставляющих продукцию
через прямых заказчиков TSMC. Поэтому отказ от заказов на автомо- для автомобильной индустрии, можно
В качестве прямых заказчиков в бильные ПЭК незначительно повли- назвать, например, такие как LG, заку-
табл. 2 указаны восемь наиболее круп- ял на доходы фирмы на первом этапе пившая у Broadcom в I квартале 2021 г.
ных фирм, составляющих основное кризиса. Ограниченные производ- комплектующие для бытовой электро-
ядро покупателей TSMC по четырём ственные мощности и недостаточное ники на сумму более 40 млн долл. США,
приоритетным направлениям: «IoT количество сырья не позволили TSMC а также Sony, которая приобрела в этот
и бытовое оборудование», «Телеком- в разгар кризиса полностью удовлетво- период товаров данной категории у
муникации и связь», «Компьютеры и рить спрос на комплектующие для ком- AMD на сумму более 260 млн долл. США.
сопутствующее оборудование», «Авто- пьютеров, смартфонов, дата-центров и Для опосредованных заказчиков в
мобильная электроника». Для основных других областей телеиндустрии. Гене- табл. 3 также приведены данные доли
прямых покупателей в табл. 2 для I квар- ральный директор Qualcomm Кришти- их закупок в процентном отношении
тала 2021 г. указаны: доля их заказов в ану Амон (Cristiano Amon) в интервью к доходу прямого заказчика TSMC, сум-

Таблица 2

Прямые заказчики TSMC

Проценты от оборота TSMC, сумма затрат, процент от бюджета фирмы-заказчика, I квартал 2021 г.

*4,16% 3,9% 3,8% 3,4% 2,4% 1,9% 1,8% 1,4%


**537 млн 498 млн 479 млн 430 млн 299 млн Texas Instruments 235 млн STMicro-electronics 230 млн 181 млн
Qualcomm ***15,4% Broadcom 17,9% Nvidia 26,1% AMD 24% 20,9% 11,9% NXP 18,9% Renesas 19,7%

Примечания к таблице 2:
* – процент закупки прямого заказчика микросхем, изготовленных на заводах TSMC от общих объёмов продаж (revenue) TSMC за I квартал 2021.;
** – сумма закупки у TSMC его прямого заказчика за Iй квартал 2021 г.;
*** – доля закупок заказчика от общих объёмов его собственных продаж за I квартал 2021 г.

12 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


РЫНОК

Таблица 3
Опосредованные заказчики TSMC
Приложения «Телекоммуникации и связь»; % от оборота прямого заказчика TSMC, сумма затрат, % бюджета, I квартал 2021 г.
*Qualcomm Broadcom Nvidia AMD Texas Instruments STMicro-electronics NXP Renesas
**11,3% 25% 1,4% 2,2% 9,4% 23,9% 9,4% 1,3%
***572 млн 1700 млн 55 млн 71 млн 383 млн 611 млн 235 млн 23 млн
****Apple Apple Cisco Samsung Apple Apple Apple Apple
*****1% 2,5% 1,2 % 0,2% 0,6% 1,4% 0,4% 0,1%
10,2% 2,2% 0,8% 1,3% 1,5% 4,4% 2,9% 1,4%
517 млн 149 млн 30 млн 43 млн 57 млн 143 млн 72 млн 22 млн
Samsung Samsung Samsung Apple Samsung Samsung Samsung Samsung
1,5% 0,4% 0,1% 0,1% 0,2% 0,4% 0,2% 0,1%
10% 0,7% 0,1% 0,8% 0,1% 0,4% 2,5% 0,6%
509 млн 48 млн 4 млн 26 млн 3 млн 13 млн 63 млн 10 млн
Xiaomi Nokia Orange Cisco Cisco Xiaomi Ericsson Telef. Xiaomi
8,3% 1% 0,04% 0,6 % 0,1 % 0,2 % 1,3% 0,2 %
Приложения «Компьютеры разные»; % от оборота прямого заказчика TSMC, сумма затрат, % бюджета, I квартал 2021 г.
Qualcomm Broadcom Nvidia AMD Texas Instruments STMicro-electronics NXP Renesas
0,3% 0,3%
2,5% 11,0% 5,1% 1,2% 3,0%
21млн 6 млн
126 млн 459 млн 166 млн 47 млн 96 млн
Hewlett Asustec НД
HP Dell HP HP HP
Packard Int Computer
1,0% 2,8% 1,3% 0,4% 0,8%
0,5% 0,2%
1,1% 0,3% 0,2% 1,1%
4,4% 3,7%
55 млн 16 млн 8 млн 35 млн
169 млн 121 млн
Asustek Asustek Hewlett Seagate НД НД
Lenovo Dell
Computer Computer Packard Int Technology
1,5% 0,8%
2,2% 0,5% 0,2% 1,8%
0,2%
0,8% 3,1% 3,2% 0,3%
12 млн
40 млн 119 млн 102 млн 9 млн
Seagate НД НД
Acer HP Lenovo Western Digital
Technology
2,3% 1,0% 0,7% 0,3%
0,6%
Приложения «Потребительская электроника»; % от оборота прямого заказчика TSMC, сумма затрат, % бюджета, I квартал 2021 г.
Qualcomm Broadcom Nvidia AMD Texas Instruments STMicro-electronics NXP Renesas
0,4%
1,1% 0,5% 4,8% 8,0% 1,1% 1,7% 2,2%
14 млн
71 млн 35 млн 187 млн 261 млн 35 млн 143 млн 38 млн
Wuhan
LG LG-Inotek Nintendo Sony Nintendo LG Satori Electric
P&S
0,7% 1,2% 9,3 % 1,4% 2,2 % 0,4% 16,9%
3,6%
4,6%
0,7% 0,2% 0,4% 0,3% 0,6% 0,8% 2,1%
133 млн
36 млн 11 млн 12 млн 12 млн 20 млн 21 млн 36 млн
Gigabyte
LG-Inotek LG LG Shinko Shoji Sony Panasonic Shinko Shoji
Technology
2,5% 0,1% 0,1% 6,2% 0,1% 0,2% 18,7%
31,5%
0,1% 0,1%
0,7% 0,4% 0,02% 0,03% 0,4% 1,3%
5 млн 2 млн
35 млн 15 млн 0,7 млн 1 млн 11 млн 22 млн
Zhen Kinpo
Panasonic LG Maxell Nisshinbo LG Alpis Alpine
Ding Electonics
0,3% 0,2% 0,3% 0,2% 0,1% 1,7%
0,6% 0,2%
Приложения «Автомобильная электроника»; % от оборота прямого заказчика TSMC, сумма затрат, % бюджета, I квартал 2021 г.
Qualcomm Broadcom Nvidia AMD Texas Instruments STMicro-electronics NXP Renesas
1,2% 0,4% 5,1% 3,7% 6,6%
9,6%
61 млн 16 млн 171 млн 118 млн 111 млн
НД НД 241 млн
Denso Robert Bosh Magna Int Robert Bosh Toyota
Continental 2,7%
0,6% 0,1 % 2% 0,8% 0,2%
0,9% 0,2% 0,3% 3,3% 3,0% 2,2%
48 млн 8 млн 13 млн 107 млн 76 млн 37 млн
НД НД
Continental Denso F-Tech Tesla Robert Bosh Volkswagen
0,5% 0,1% 3,9% 1,2% 0,5% 0,1%
0,7% 0,1% 0.03% 3% 1,9%
2,3%
35 млн 4 млн 1 млн 76 млн 31 млн
НД НД 75 млн
Hyundai Aptiv Nisshinbo Aptiv Nissan
Continental 0,8%
0,5% 0,2% 0,2% 2,2% 0,2%
Примечания к таблице 3:
* – поставщик;
** – доля закупок заказчика от общих объёмов продаж поставщика за I квартал 2021 г.;
*** – сумма заказа в миллионах долларов США за I квартал 2021 г.;
**** – заказчик;
***** – процент закупки от общих затрат заказчика по этой статье за I квартал 2021 г.

ма заказов комплектующих для кон- Qualcomm за этот период. Следователь- материалам предварительных обзо-
кретного направления, проценты этих но можно оценить доход Qualcomm в ров Bloomberg [49]. Эти данные носят в
закупок в суммарных затратах фир- I квартале примерно равным 5 млрд основном иллюстративный характер и
мы (expenditure). Например, в I квар- долл. США. Таким образом, данные табл. не могут быть использованы для точных
тале 2021 г. фирма Apple закупила у 2 и 3 позволяют оценивать масштабы аналитических исследований финансо-
Qualcomm ПЭК только для приложений деятельности ведущих мировых произ- вой деятельности указанных фирм. Для
«Телекоммуникации и связь» комплек- водителей электронных компонентов. получения более точных значений реко-
тующих на сумму 572 млн долл. США, Следует особо подчеркнуть, что данные, мендуется воспользоваться, например,
что составляет 11,3% от дохода (revenue) приведённые в табл. 2 и 3, получены по сервисом Refinitiv [50].

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 13


РЫНОК

вящийся к производству процессор Apple Фирма UMC была основана в


M1 [51]. На 2021 год фирма Apple разме- 1980 году на базе государственной ком-
стила у TSMC заказы на чипы А14 и A15, пании Industrial Technology Research
которые будут изготавливаться на основе Institute. В настоящее время UMC имеет
технологий 5 нм и 4 нм. Чипы A14 будут в общей сложности 12 заводов с общей
производить по технологии 5 нм на производительностью около 800 000
новом заводе, который TSMC строит сей- пластин в месяц. Основная часть про-
час в Финиксе, штат Аризона, США [52]. изводственных мощностей UMC распо-
В октябре фирма Broadcom сообщила ложена в Тайване в городах Синьчжу и
Рис. 8. Логотип тайваньской фирмы United о заключении нового контракта поста- Тайнань. Заводы на Тайване серийно
Microelectronics Corporation вок комплектующих с Apple на сумму производят ПЭК с 2002 года.
15 млрд долл. США до середины 2023 года. Два современных завода находят-
В 2022 году планируется начать про- ся в Сингапуре. Заводы 8N (HeJian) и
изводство новейшего чипа Apple A16 на 12X (USCXM) работают в Китае. Завод
одном из заводов TSMC по технологии 12M (USJC) находится в Японии. Штаб-
3 нм с использованием архитектуры с квартира UMC находится в Синьчжу
транзисторами FinFET [53]. (Тайвань). Локальные офисы располо-
В октябре TSMC сообщила о рекорд- жены во многих станах: США, ЕС, Китае,
ной чистой прибыли (net profit) за Японии, Корее и Сингапуре. Общее
III квартал 2021 г., равной 5,56 млрд количество сотрудников UMC по все-
долл. США [54]. му миру превышает 20 тыс. человек.
Несмотря на финансовые успехи, Завод «Fab 12A» в Тайнане в настоящее
Рис. 9. Фирма USJC завершила установку фирма TSMC предупредила своих кли- время производит ПЭК, используя пол-
солнечных панелей на крышах завода Mie Plant и ентов о том, что в конце 2021 и в I квар- ный цикл технологий 14 и 28 нм и 300 мм
начала выпуск продукции в октябре 2020 года [60] тале 2022 г. могут возникнуть ограниче- пластины. Общая производственная мощ-
ния на поставку некоторых категорий ность Fab 12A в настоящее время состав-
В табл. 3 приведены данные только о микросборок на кристалле. Поэтому не ляет более 87 000 пластин в месяц. Второй
наиболее значимых прямых и опосре- исключено небольшое увеличение цен 300 мм завод UMC Fab 12i, расположенный
дованных клиентах TSMC. На самом деле на продукцию, которое позволит опе- в сингапурском Pasir Ris Wafer, серийно
заказчиков TSMC значительно больше. ративно решить часть проблем с дефи- выпускает 50 000 пластин в месяц на базе
Согласно оценкам Bloomberg, око- цитом за счёт снижения спроса. С дру- 300-миллиметровых линий второго поко-
ло четверти всех доходов TSMC за гой стороны, повышение цен поможет ления. Третий 300-миллиметровый завод
I квартал 2021 г. пришлось на зака- аккумулировать средства, необходимые UMC, United Semi, расположен в китайском
зы от Apple. Используя ресурсы для расширения производственных городе Сямынь и сейчас также работает с
Broadcom, Qualcomm, Nvidia, AMD, мощностей, включая создание нового производительностью 50 тысяч пластин в
Texas Instruments, STMicroelectronics, производственного центра в Аризоне месяц. В октябре 2019 года концерн UMC
NXP, Renesas, американский концерн США [55]. приобрёл завод USJC (Mie Plant) в Японии.
Apple изготавливает необходимые Кроме того, TSMC планирует постро- Этот завод, расположенный в префектуре
микросхемы на заводах TSMC. ить собственный завод микропроцес- японской провинции Мие, может произ-
В состав iPhone от Apple входят вспо- соров в Японии [56]. водить до 33 тысяч 300 мм пластин в месяц
могательные радичастотные чипы Нужно отметить ещё один важный по технологии 40 нм (рис. 9).
Broadcom для систем беспроводной момент, который, в принципе, может Распределённые практически равно-
связи Wi-Fi, Bluetooth, LTE. Базовые внести определённые изменения в мерно по всей Азии производственные
системы на кристалле для систем бес- структуру мирового производства полу- мощности UMC гарантируют беспере-
проводной связи Apple получает от проводниковых электронных компо- бойное обслуживание клиентов этого
Qualcomm. нентов. Попытки Китая развивать свою региона с поставками в точно назна-
В ближайшем будущем Apple плани- собственную передовую индустрию ченные сроки. Новые заводы UMC
рует выпускать собственные чипы 5G, производства чипов и торговая война оснащены современными системами
которые разработало бывшее подраз- за лидерство в этой области с США явля- автоматизации, включающими универ-
деление Intel (Infineon), приобретённое ются одной из причин крайне жёсткой сальные блоки с передним открывани-
Apple в 2019 году. Между Qualcomm и и агрессивной политики, проводимой ем (FOUP), автоматизированные систе-
Apple M1 отношения достаточно слож- КНР по отношению к Тайваню [57]. мы погрузочно-разгрузочных работ
ные. Конфликт связан с тем, что трое Тайваньский концерн United (AMH) и подвесные рельсовые транс-
ведущих разработчиков покинули Apple Microelectronics Corporation – UMC по портные средства (RGV). Все заводы
в 2019 году и создали свою собственную итогам 2021 года занял второе место отвечают строгим требованиям меж-
новую фирму по производству чипов по объёму доходов среди контрактных дународных стандартов [60].
Nuvia. В начале 2021 года эту фирму производителей ПЭК, которые толь- На своих контрактных предприятиях
Qualcomm купила за 1,4 млрд долл. США. ко производят продукцию по заказам UMS производит ПЭК для многих при-
Существует опасение, что новый про- фирм-разработчиков. Логотип фирмы ложений современной электроники,
цессорный чип Qualcomm не только показан на рис. 8 [58]. уделяя особое внимание системам бес-
воплотит в себе последние инноваци- В III квартале 2021 г. доход UMC проводной связи 5G, IoT, автомобиль-
онные решения, но и превзойдёт гото- составил 2,01 млрд долл. США [59]. ной электронике, медицинской техни-

14 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


РЫНОК

ке. Линейка продукции, выпускаемой памяти SRAM (poly/oxynitride – H-K


UMS, включает такие компоненты, как metal gate).
мобильные процессоры, графические Поскольку всё ещё пользуются попу-
процессоры, чипы Wi-Fi и Bluetooth, раз- лярностью многие модели бытовой
личные датчики и специальные автомо- электроники, разработанные в нача-
бильные чипы, логические микросхемы, ле 2000-х, у UMC остаются постоянные
ПЭК для систем электропитания, драй- клиенты, которые заказывают простые
веры дисплеев, энергонезависимые ПЭК на базе технологий 40 нм, 55 нм, Рис. 10. По оценкам аналитиков, в ближайшие
запоминающие устройства, RFSOI, BCD, 65 нм и даже 90 нм. В частности, такие годы панелями AMOLED с матрицами,
SRAM. Среди многочисленных клиентов комплектующие в настоящее время составленными из органических светодиодов
UMC наибольшие доли заказов прихо- с успехом применяются в недорогих OLED, будет оснащено большинство смартфонов
дятся на ведущих мировых разработ- автомобилях массового спроса. Так- ведущих мировых производителей [61]
чиков ПЭК: Qualcomm, AMD, MediaTek, же полупроводниковые компоненты
Novatek, Realtek, Infineon, Rockchip, с небольшой степенью интеграции
Allwinner Technology, Applied Materials, на кристалле используются в дешёвой
Lam Research. китайской бытовой электронике в про-
Кроме традиционных UMS работает стых системах управления питанием,
также с новыми направлениями вроде драйверах дисплеев, кухонном обору-
систем для преобразователей солнеч- довании и т.д. Поэтому продажи UMC в
ной энергии. этом сегменте рынка заметно выросли
В начале 2017 года UMC начал выпу- в период кризиса 2020–2021 годов [62].
скать на заводе Fab 12A в Тайнане В начале 2020 года, когда ведущие
микросхемы на базе FinFET с исполь- автомобильные производители начали Рис. 11. Расходомер Sensirion SFM3003 для
зованием технологии 14 нм, которая массово менять свои заказы на ПЭК, кон- измерения потока кислорода в ИВЛ [63]
включает такие современные элемен- церн UMC совместно с Sensirion нала-
ты, как Fin module, High-k/Metal Gate дил производство комплектующих для вать развитию новых микросхем. Сре-
stack, Low-k spacer, MoL, BEOL. медицинского оборудования, крайне ди перспективных направлений, предус-
Технология 22 нм используется для необходимого для борьбы с COVID-19. мотренных в совместных исследованиях
производства популярных микросхем с В кратчайшие сроки были запущены UMC и Chipbond, можно выделить такие
низким энергопотреблением. В основном линии, на которых начали выпускать как масштабирование микросхем на
на базе этой технологии на заводах UMC микросхемы для температурных датчи- уровне полупроводниковых пластин
выпускаются ПЭК для цифровых телеви- ков, предназначенных для контейнеров, (WLCSP) с использованием технологии
зоров, мониторов, беспроводных систем в которых перевозилась вакцина про- разветвлённой FOSiP и системы с пере-
Bluetooth/Wi-Fi и других аналогичных тив коронавируса. Также был органи- вёрнутым кристаллом FCSiP, модерниза-
приложений. Одной из наиболее попу- зован выпуск ПЭК для датчиков пото- ция структур управления питанием на
лярных позиций, которые выпускаются ка, используемых в ИВЛ (рис. 11). основе нитрида галлия (GaN) и арсенида
на заводах UMC, являются драйверы пане- В качестве ещё одного значимого галлия (GaAs), карбида кремния (SiC) [64].
лей AMOLED с матрицами, составленны- события можно упомянуть договор об Кроме перечисленных мероприятий
ми из органических светодиодов – OLED. обмене акциями между UMC и Chipbond. для выхода из состояния кризиса кон-
По оценкам аналитиков, такими пане- Согласно этому договору Chipbond церн UMC использовал ещё одно очень
лями в ближайшие годы будет оснаще- передаст концерну UMC 67 152 322 свои действенное средство. Концерн UMC
но большинство смартфонов ведущих новые обыкновенные акции в обмен на заключил контракты, согласно кото-
мировых производителей (рис. 10). 61 107 841 новую обыкновенную акцию, рым некоторые из его крупнейших
В настоящее время массово выпускать выпущенную UMC, и 16 078 737 обык- заказчиков разместили заказы на два
микросхемы драйверов AMOLED могут новенных акций UMC, принадлежащих года вперёд по фиксированным рас-
только Samsung, UMC и GlobalFoundries. её дочернему предприятию Fortune ценкам и внесли авансовые платежи на
Производственные линии UMC Venture Capital. Коэффициент обме- общую сумму около 2,3 млрд долл. США.
позволяют выпускать некоторые на акций составляет одну акцию UMC Однако эта сделка таит в себе ряд опас-
типы микросхем как по техноло- к 0,87 акции Chipbond. Таким образом, ностей. Если кризис и дефицит произ-
гии 22 нм, так и по технологии 28 нм после завершения сделки по обмену водства ПЭК затянутся еще на 1-2 года,
(28 nm HKMG). В условиях острого дефи- акциями UMC и её дочерняя компания то мировые цены на комплектующие
цита комплектующих в 2020–2021 гг. Fortune Venture Capital будут совмест- будут расти, и UMC вынужден будет
концерн UMC увеличил выпуск продук- но владеть примерно 9,09% капитала продавать свою продукцию по убы-
ции, полностью задействовав все ранее Chipbond, а Chipbond будет владеть при- точным фиксированным расценкам.
законсервированные линии. Тем самым мерно 0,62% капитала UMC [64]. Кроме того, 2,3 млрд инвестирован-
была увеличена производительность Фирма Chipbond Technology ных долларов примерно соответству-
линий 28 нм. Так, например, на заводе Corporation является одним из веду- ют квартальному обороту фирмы. Это
в Тайнане выпуск продукции был дове- щих мировых производителей драй- значит, что если UMC не сможет в сле-
дён до 20 тысяч пластин в месяц [61]. веров ЖК-дисплеев. Сотрудничество дующие годы значительно увеличить
Таким образом, удалось несколько с Chipbond позволит концерну UMC свои доходы, то ему каким-то образом
уменьшить сроки поставки традици- не только улучшить своё финансовое нужно будет покрывать эти авансовые
онной, широко используемой 28 нм положение, но также будет способство- платежи.

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 15


РЫНОК

Таблица 4

Максимальная
Название Подложка Расположение производительность, Технология, нм
шт/мес, 300 мм
Fab 1 300 мм Германия 80 000 55, 45, 40, 32, 28, 22, 12
Fab 7 300 мм Сингапур 50 000 130…40
Fab 8 300 мм Нью-Йорк, США 60 000 28, 20, 14
Fab 2 200 мм Сингапур НД 600…350

Рис. 12. Micron обвинил тайваньский концерн UMC Fab 3/5 200 мм Сингапур НД 350…180

в краже интеллектуальной собственности [66] Fab 9 200 мм Вермонт, США НД 350…90

В сентябре 2018 года Федеральное Американский концерн GlobalFoundries


жюри присяжных США (A Federal Grand (GFS) по объёмам реализованной про-
Jury) предъявило концерну UMC и госу- дукции в III квартале 2021 г. занял третье
дарственному предприятию КНР Fujian место в мире среди «чистых» контракт-
Jinhua (далее по тексту – Fujian Jinhua), ных производителей ПЭК. Этот кон-
а также трём физическим лицам обви- церн находится в частной собственно-
нение в сговоре с целью кражи, хране- сти, принадлежащей двум акционерам:
ния и последующей передачи фирме AMD и фонду Mubadala [73]. Торговая мар-
Рис. 13. Торговая марка GlobalFoundries Fujian Jinhua украденной коммерче- ка GlobalFoundries показана на рис. 13.
ской тайны у американской компании В 2008–2012 годах государственный
Тем не менее в результате предприня- (Micron Technology, Inc) [68]. суверенный фонд Абу-Даби Mubadala
тых действий в IV квартале 2020 г. дохо- При этом тайваньской и китайской финансировал продажу заводов AMD
ды UMC увеличились на 8,2% по сравне- компаниям грозил штраф в размере фирме GlobalFoundries. Затем Mubadala
нию с аналогичным периодом 2019 года более 20 млрд долл. США. заплатила 1,8 млрд долл. США за заво-
и составили 1,59 млрд долл. США [65]. Завод Fujian Jinhua стоимостью ды Chartered Semiconductor в Синга-
В конце ноября 2021 года United 5,6 млрд долл. США в китайском горо- пуре. Этот бизнес был объединён с
Microelectronics Corp и Micron Technology де Цзиньцзян, финансируемый пра- GlobalFoundries. Следующим приоб-
Inc урегулировали разногласия по граж- вительством КНР, должен был начать ретением стал бизнес IBM по произ-
данскому иску, в котором крупнейший производство памяти DRAM в 2019 году. водству микросхем.
американский производитель микро- Однако правительство Соединенных Производственные мощности Glo-
схем памяти Micron обвинил тайвань- Штатов через министерство торговли balFoundries размещены в Америке,
ский концерн UMC в краже интеллекту- запретило всем фирмам США любые Азии и Европе. Характеристики заво-
альной собственности и передаче этой экспортные операции с китайской ком- дов GlobalFoundries приведены в табл. 4.
информации китайской фирме (рис. 12). панией Fujian Jinhua Integrated Circuits Основной доход, примерно 70%, при-
Нужно подчеркнуть, что Micron, Ltd. Поэтому проект по производству носят заводы, расположенные в США.
Samsung и Hynix в совокупности кон- DRAM на заводе Fujian Jinhua был при- Европейские заводы дают около 10%
тролируют около 90% производства остановлен [69]. дохода. Остальные доходы GFS полу-
динамической памяти DRAM [67]. В октябре 2020 года концерн UMC чает из Сингапура.
Эта история является лишь одним пообещал оказать «существенную Производственные линии Glo-
из эпизодов торговой войны, которую помощь» правительству США в этом деле balFoundries имеют лицензию феде-
США ведёт против фирм КНР, незакон- и официально признал себя виновным рального правительства США «Trusted
но использующих в своей продукции в суде Сан-Франциско. Прокурор согла- Foundry», которая даёт фирме возмож-
интеллектуальную собственность, похи- сился снять с UMC наиболее серьёзные ность размещать на своих заводах Fab 9
щенную у американских компаний. обвинения в экономическом шпионаже и Fab 10 заказы министерства оборо-
В мае 2016 года UMC объявила о нача- и заговоре против американской ком- ны. Следует отметить, что завод Fab 10
ле технологического партнёрского про- пании Micron Technology Inc. Со своей в Ист-Фишкилле находится в процес-
екта с Fujian Jinhua, в рамках которого стороны, концерн UMC признал себя се продажи фирме ON Semiconductor.
должна была быть разработана специ- виновным в краже коммерческой тай- В октябре 2021 года GlobalFoundries
альная конструкция и технология про- ны и согласился выплатить штраф в раз- объявила о долгосрочном стратеги-
изводства DRAM. Руководителем проек- мере 60 млн долл. США [69…71]. ческом партнёрстве с Министерством
та был назначен Чен Чжэнкунь (Chen Согласно совместному заявлению обороны США (DOD) по производству
Zhengkun), который нанял для работ сторон, опубликованному в конце ноя- чипов на заводе Fab 8 в штате Нью-Йорк.
по этому проекту сотрудников тай- бря 2021 года, UMC выплатит компенса- Это означает, что Fab 8, самое передовое
ваньского филиала Micron. В результа- цию, необходимую для урегулирования производство GF, сможет производить
те нехитрых операций конфиденциаль- всех претензий между компаниями и их чипы с жёстким экспортным контролем.
ная информация о конструкции одной филиалами по всему миру. Размер ком- После того как Fab 10 будет аккредито-
из моделей DRAM памяти Micron была пенсации не раскрывается [72]. Учиты- ван, он сможет присоединиться к списку
передана концерну UMC. Руководитель вая предстоящее погашение авансовых предприятий США Trusted Foundry, кото-
проекта Чен Чжэнкунь стал президен- платежей и выплаты по иску Micron, рые могут гарантировать соответству-
том Fujian Jinhua и возглавил предпри- можно ожидать, что следующие годы ющее качество и конфиденциальность
ятие по производству памяти DRAM. не будут для UMC столь безоблачными. сделки во время производства (рис. 14).

16 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


РЫНОК

В своих маркетинговых материа-


лах GlobalFoundries подчёркивает, что
основные направления его деятельно-
сти связаны с узкоспециализированны-
ми областями электронной промыш-
ленности. В отличие от TSMC, концерн
GlobalFoundries не стремится разви-
вать новые 7 нм, 5 нм и 3 нм техноло-
гии, считая приоритетными направле-
ния, связанные с масштабированием
специализированных нишевых при-
ложений на базе 12-, 14-, 22-, 40-нано-
метровых технологий. Среди таких Рис. 14. Завод GlobalFoundries Fab 8 имеет лицензию «Trusted Foundry» [74]
направлений можно отметить трёх-
слойные подложки со структурой крем-
ний-диэлектрик-кремний (Silicon on
insulator – RF SOI); подложки на осно-
ве полностью обеднённого кремния на
изоляторе (FD-SOI/FDX); многофунк-
циональные КМОП-матрицы на основе
(Bipolar-CMOS-DMOS); кремниево-гер-
маниевые транзисторы SiGe BiCMOS и
GaN на Si; FinFET-транзисторы; устрой-
ства кремниевой фотоники (SiPh).
Такой подход позволяет удержи-
вать ключевых клиентов, таких как
AMD, Qualcomm, MediaTek, NXP, Qorvo,
Cirrus Logic, Skyworks, Murata, Samsung,
Broadcom и других. Например, боль- Рис. 15. GlobalFoundries расширит сотрудничество с Qualcomm в рамках создания интерфейсных
шинство чипов NFC производится на радиочастотных (RF) решений для телефонов 5G [75]
заводах GlobalFoundries на технологи-
ческой платформе многофункциональ- бители привыкли ожидать от смартфо- В контексте производства микросхем
ных КМОП-матриц. нов 4G LTE (рис. 15). специального назначения для аэрокос-
Технология полностью обеднённо- Одним из наиболее перспективных мических и военных приложений мож-
го кремния на изоляторе FDX является направлений GlobalFoundries считает но отметить контракты GlobalFoundries
оптимальным вариантом для микро- производство ПЛИС и ПЭК для опти- с американским лидером в этой обла-
схем, предназначенных для устройств ческой связи и LiDAR на базе кремни- сти: концерном Raytheon Technologies
со сверхнизким энергопотреблением евой фотоники (Silicon Photonics). Эта (рис. 16). В рамках совместных иссле-
(5G, автомобильная электроника, бата- технология позволяет создавать ПЛИС, дований разрабатываются новые полу-
рейные устройства IoT, спутниковая содержащие на одном кристалле крем- проводниковые структуры с исполь-
связь, ячейки памяти и др). Транзисторы ниевые электронные цепи, полупро- зованием нитрида галлия на кремнии
SiGe BiCMOS и GaN на Si широко исполь- водниковые лазеры и оптические эле- (GaN-Si), предназначенные для новых
зуются в ПЛИС, предназначенных для менты. Такие устройства позволят в приложений беспроводной связи 5G
усилителей мощности, высокочастот- будущем отказаться от медных прово- и 6G [77].
ных устройств, оптических и беспро- дов в сложных электронных системах, Концерн GlobalFoundries заметно
водных сетей, телекоммуникационных предназначенных для гражданской и пострадал на первом этапе кризиса,
устройств. В 2021 году GlobalFoundries военной авиации. В этом плане мож- поскольку многие его заказчики были
завершила модернизацию своего узла но отметить новую фирму Lightmatter, ориентированы на автомобильный
FDX-RF в технологической цепоч- специализирующуюся в области рынок. Так, один из его крупнейших
ке FD-SOI. Эта линия ориентирована кремниевой фотоники и искусствен- клиентов, фирма AMD, сократила объ-
на изготовление микросхем входных ного интеллекта, которая использу- ём своих заказов в 2020 году на 7% по
радиочастотных блоков микромощных ет GlobalFoundries для производства сравнению с 2019 годом. Производ-
беспроводных систем на кристалле мил- вычислительного ядра своей платфор- ственные мощности GlobalFoundries
лиметрового диапазона. В ближайшем мы. Эта платформа, представляющая работали последние годы с очень
будущем GlobalFoundries планирует зна- собой единый интегрированный стек низкой эффективностью. Коэффи-
чительно расширить сотрудничество для поддержки фотонных и логических циент технического использования
с Qualcomm в рамках создания интер- вычислений, позволила Lightmatter оборудования (utilization rate) в 2018,
фейсных радиочастотных (RF) решений добиться многократного преимуще- 2019, 2020 годах составлял соответ-
для телефонов 5G и других аналогич- ства в таких важнейших параметрах ственно 80%, 70%, 84%. Для сравне-
ных устройств, которые могут работать процессов матричных вычислений, как ния можно взять данный параметр у
со скоростью более 1 Гбит/с и монтиро- пропускная способность, потребляемая TSMC и UMC, который был в 2020 году
ваться в тонкие корпуса, которые потре- мощность и задержки [76] больше 95%.

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 17


РЫНОК

кой и реализацией процесса IPO зани-


маются Morgan Stanley, Bank of America
Corp, JPMorgan Chase & Co, Citigroup
Inc, Credit Suisse Group AG. Ожидает-
ся, что акции GlobalFoundries начнут
торговаться на Nasdaq под символом
GFS в начале декабря 2021 г. При этом
Mubadala должна будет контролиро-
вать более 89% акций GFS после завер-
шения первичной публичной продажи
акций (IPO) [84].
Согласно отчёту Bloomberg от
28 октября 2021 года, концерн
GlobalFoundries Inc и её основной
акционер Mubadala Investment Co
уже продали 55 млн акций, выручив
таким образом почти 2,6 млрд долл.
США в ходе первичного публично-
го размещения акций (IPO). Среди
Рис. 16. GlobalFoundries совместно с ведущим производителем электроники для аэрокосмических покупателей акций можно назвать
и военных приложений концерном Raytheon Technologies разрабатывает новые микросхемы для 5G такие фирмы, как Qualcomm Inc и
и 6G Silver Lake, а также управляющие
фонды: BlackRock Inc., Columbia
На втором этапе кризиса в 2021 году США, а убытки – 1,37 млрд долл. США. Management Investment Advisers,
GlobalFoundries испытывал заметные В 2020 году ситуация стала ещё хуже: Fidelity Management, дочерняя ком-
задержки с поставками подложек для продажи 4,85 млрд долл. и убытки пания Koch Industries Inc [85].
своих производственных линий с тех- 1,35 млрд долл. США [80]. После тщательных подсчётов 30 октя-
нологией SOI (кремний на изоляторе), Не улучшилась ситуация и в 2021 году, бря 2021 года GlobalFoundries проин-
поскольку в 2020–2021 годах заметно когда разница между ценой и себесто- формировал инвесторов о том, что в
выросли сроки поставки у японских имостью основного товара (margin) результате предпринятых мер, впер-
производителей подложек SOI. достигла отрицательных значений. вые за последние три года, концер-
Фирма GlobalFoundries вынужде- В качестве одного из средств выхода ну удалось покончить с убытками, и
на была увеличить закупки этой про- из кризиса фирма использует систему III квартал завершён с чистой при-
дукции у французской фирмы Soitec, предварительных заказов. Так, напри- былью в размере 299 млн долл. США.
которая является крупнейшим в мире мер, GlobalFoundries, воспользовавшись Доход GFS за III квартал 2021 г. составил
поставщиком пластин FD-SOI, FD-SOI, нехваткой микросхем, заключила кон- 1,7 млрд долл. США [86].
FinFET-SOI (200…300 мм) [78]. тракты на поставки в 2022–2023 годах Перспективу своего развития GFS
Доля GlobalFoundries в заказах Soitec и получила на эти цели около 10 млрд связывает прежде всего с расшире-
достигла в 2020 году 52% от общего объ- долларов авансовых платежей. Кроме нием производства на территории
ёма заказов этой фирмы. того, в 2021 году сократилось финан- США. Так, GlobalFoundries намере-
В это же время обострились взаимоот- сирование новых уже ведущихся раз- на построить новый завод в штате
ношения с двумя крупнейшими заказчи- работок [81, 82]. Нью-Йорк. Кроме того, в планах GFS
ками IBM и AMD, которые отказались от В 2019 и 2020 годах по результатам на 2022 год одними из наиболее при-
услуг GlobalFoundries и разместили свои III квартала убытки GlobalFoundries оритетных задач являются модер-
новые заказы на заводах TSMC и Samsung. составили соответственно 350 млн и низация предприятий в Германии и
Одновременно возобновились старин- 293 млн долл. США. реконструкция сингапурских фабрик
ные споры и взаимные обвинения, в В сложившейся ситуации руковод- [87]. Значительную часть финансиро-
результате чего концерн IBM подготовил ство GlobalFoundries объявило о про- вания руководство фирмы надеется
иск на 2,5 млрд долл. США. Выдвигаемые даже части активов. В 2019 году был получить в рамках новой националь-
претензии были связаны с нарушением инициирован процесс продажи фир- ной программы США по развитию
условий контракта с GlobalFoundries от ме ON Semiconductor за 430 млн долл. предприятий электронной про-
2015 года, когда компания приобрела у США завода Fab 10 в Ист-Фишкилле, мышленности на территории стра-
IBM подразделения микроэлектрони- штат Нью-Йорк, США, который рань- ны. Основная маркетинговая идея
ки International Business Machines Corp, ше был основным производством GlobalFoundries заключается в том,
а также производственные мощно- IBM Microelectronics. Сделка должна что для того, чтобы избежать повтор-
сти в Берлингтоне, Вирджиния, и Ист- быть полностью завершена к концу ного кризиса в будущем, необходи-
Фишкилле, Нью-Йорк [79]. 2022 года [83]. мо организовать мощные предпри-
Два года пандемии, и проблемы В начале октябре 2021 года ятия по производству ПЭК в США и
на автомобильном рынке стали для GlobalFoundries совместно с инвесто- ЕС. В 2019, 2020 и первой половине
GlobalFoundries источником серьёз- рами инициировала процесс формиро- 2021 года GlobalFoundries получила
ных финансовых проблем. Объём про- вания IPO с последующим выходом на 698 млн долл. США государственных
даж составил в 2019 году 5,81 млрд долл. биржу NASDAQ в Нью-Йорке. Подготов- субсидий на развитие производствен-

18 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


РЫНОК

ных мощностей, НИР и ОКР. Более боваться несколько лет. Кроме того, 10. URL: https://www.wsj.com/articles/
подробную информацию о финан- можно ожидать, что некоторые фирмы store-shelves-stripped-of-laptops-as-
совом положении GlobalFoundries категории Fabless Companies также зай- coronavirus-increases-working-from-
можно найти на сайте [88, 89]. мутся производством ПЭК. Например, home-11584534112.
Стоит обратить внимание на новый Qualcomm упоминал о таких планах. 11. URL: https://www.pcworld.com/
совместный проект с Ford. Ведущий Все фирмы, которые реально произ- article/3513952/the-pc-market-once-left-
автомобильный гигант Ford понёс водят полупроводниковые электрон- for-dead-actually-grew-during-2019.html.
значительные убытки из-за дефицита ные компоненты в виде полностью 12. URL: https://ru.reuters.com/article/gc07/
автомобильных ПЭК. Поскольку про- законченных деталей, делятся на два idUKKBN20D2AA.
блемы с этими комплектующими могут больших класса. 13. URL: https://www.sourcetoday.com/news/
продлиться ещё несколько лет, кон- 1. Фирмы «Semiconductor Contract article/21125528/impact-of-coronavirus-
церн Ford заключил стратегическое Manufacturing Company» изготавли- on-distributors-of-electronic-components.
соглашение с GlobalFoundries о разра- вают продукцию по контрактам и 14. URL: https://ipc2u.com/articles/
ботке автомобильных чипов. С одной патентам других фирм на собствен- knowledge-base/shortage-on-the-global-
стороны, это партнёрское сотрудни- ных предприятиях (другое название – market-of-electronic-components-who-
чество в краткосрочной перспекти- «Pure-Play Foundry Business»). Ведущие s-to-blame-and-what-to-do/.
ве поможет увеличить выпуск авто- мировые производители этого класса: 15. URL: https://finance.yahoo.com/news/chip-
мобильных чипов для Ford. С другой TSMC, GlobalFoundries и UMC. Основ- lead-times-begin-slow-155824956.html.
стороны, в перспективе может быть ные этапы деятельности этих фирм 16. URL: https://www.bloomberg.com/news/
организовано совместное производ- рассмотрены в первой части статьи. articles/2021-10-26/chip-lead-times-begin-
ство GlobalFoundries–Ford новейших 2. Фирмы «Integrated Вevice to-slow-suggesting-shortages-have-peaked.
чипов для автомобильной электро- Manufacturers» (IDM) разрабатыва- 17. URL: https://www.fierceelectronics.com/
ники непосредственно на террито- ют собственную полупроводнико- electronics/chip-crunch-lead-times-up-
рии США [90]. вую продукцию и изготавливают её to-52-weeks-stability-by-mid-2023.
Подводя итог первой части статьи, на принадлежащих им предприяти- 18. URL: https://www.theregister.
можно говорить о том, что практи- ях. Кроме электронных компонентов com/2021/11/18/cisco_q1_22/.
чески все цитированные источники эти фирмы производят также закон- 19. URL: https://www.theregister.
предполагают, что COVID-19 был тем ченные электронные устройства, в com/2021/11/04/arista_juniper_q3_price_
триггерным механизмом, который на которых используются также ком- rises/.
первом этапе вызвал кризис глобаль- плектующие других производите- 20. URL: https://www.investmentmonitor.ai/
ной мировой логистики. Проблемы лей. Мировые лидеры этой группы – analysis/covid-global-shipping-container-
доставки грузов привели к дефици- Samsung, Intel, Texas Instruments. shortage.
ту комплектующих, сырья и оборудо- О том, как эти фирмы пережива- 21. URL: https://www.freightos.com/.
вания практически во всех областях ют кризис, вызванный пандемией 22. URL: https://www.xeneta.com/blog/whats-
промышленности. В полном соответ- COVID-19, будет рассказано во вто- driving-container-rates-right-now.
ствии с классической теорией экономи- рой части статьи. 23. URL: https://www.abc.net.au/
ки пропорционально снижению степе- news/2021-10-29/what-is-the-great-
ни доступности дефицитных товаров Литература shipping-container-shortage-covid-
выросли и цены на них. 3. URL: https://www.nepia.com/industry- christmas/100550198.
Благодаря тому, что основная часть news/coronavirus-outbreak-impact-on- 24. URL: https://www.seatrade-maritime.com/
производства полупроводниковых shipping/. containers/survival-nvoccs-container-
электронных компонентов в насто- 4. URL: https://www.abc.net.au/ shortage-and-logistics-under-covid-19.
ящее время сосредоточена в странах news/2021-10-29/what-is-the-great- 25. URL: https://www.seatrade-maritime.com/
Азии, возник крайне острый дефицит shipping-container-shortage-covid- ports-logistics/record-79-containerships-
таких изделий в Европе и Америке. christmas/100550198. queued-lalong-beach-ports.
В результате значительные убытки 5. URL: https://www.investmentmonitor.ai/ 26. URL: https://ipc2u.com/articles/
понесли потребители этих комплек- analysis/covid-global-shipping-container- knowledge-base/shortage-on-the-global-
тующих. Производители ПЭК, нао- shortage. market-of-electronic-components-who-
борот, закончили 2021 год со значи- 6. URL: https://www.umc.com/upload/ s-to-blame-and-what-to-do/.
тельной прибылью. Как справедливо media/08_Investors/Financials/Quarterly_ 27. URL: https://ipc2u.com/articles/
утверждают многочисленные экспер- Results/Quarterly_2020-2029_English_ knowledge-base/shortage-on-the-global-
ты, эпидемия COVID-19 и проблемы pdf/2021/Q3_2021/UMC21Q3_report.pdf. market-of-electronic-components-who-
логистики вряд ли закончатся в насту- 7. URL: https://www.statista.com/ s-to-blame-and-what-to-do/.
пающем году. А это значит, что дефи- chart/25552/semiconductor- 28. URL: https://www.fool.com/earnings/call-
цит и рост цен будут продолжаться manufacturing-by-location/. transcripts/2021/11/01/arista-networks-
в течение времени, которое сейчас 8. URL: https://www.theguardian.com/ anet-q3-2021-earnings-call-transcr/.
никто точно предсказать не может. business/2020/mar/06/jaguar-land-rover- 29. URL: https://sensorsconverge2021.sched.
Ведущие контрактные производи- hit-by-85-sales-slump-in-china-due-to- com/list/descriptions/.
тели TSMC, UMC, GF, рассмотренные в coronavirus. 30. URL: https://www.wsj.com/articles/
этой части статьи, намерены значитель- 9. URL: https://www.cbsnews.com/news/ worlds-largest-chip-maker-to-
но увеличить свои производственные semiconductor-chip-shortage-60- raise-prices-threatening-costlier-
мощности. Однако на это может потре- minutes-2021-08-29/. electronics-11629978308.

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 19


РЫНОК

31. URL: https://www.zdnet.com/article/ 53. URL: https://www.theverge. 74. URL: https://www.bloomberg.com/news/


hunker-down-the-chip-shortage-and- com/2021/1/13/22229497/qualcomm- articles/2021-11-25/taiwan-s-umc-pays-
higher-prices-are-set-to-linger-for-a-while/. apple-nuvia-acquisition-cpu-chip-design- to-settle-tech-theft-litigation-with-micron.
32. URL: https://english.etnews. data-center. 75. URL: https://www.mubadala.com/en/who-
com/20211115200001. 54. URL: https://asia.nikkei.com/Business/ we-are/about-the-company.
33. URL: https://www.bloomberg.com/news/ Tech/Semiconductors/TSMC-confirms- 76. URL: https://www.forbes.com/sites/
articles/2021-11-01/nxp-gives-bullish- talks-to-build-Japan-chip-plant. willyshih/2021/02/15/globalfoundries-
outlook-on-efforts-to-increase-chip-supply. 55. URL: https://techtaiwan.com/20211104/ to-build-secure-chips-for-dod-in-upstate-
34. URL: https://www.ft.com/content/ tsmc-apple-a16/. new-york/?sh=41b6e6855726.
d9a0ff69-f032-4bcd-93cb-5c65c9269871. 56. URL: https://www.reuters.com/article/ 77. URL: https://www.notebookcheck.net/
35. URL: https://www.cnbc.com/2021/09/23/ tsmc-results-idCNL1N2RA0A4. Qualcomm-unveils-the-new-QTM527-
chip-shortage-expected-to-cost-auto- 57. URL: https://www.theverge. mmWave-antenna-module-for-the-
industry-210-billion-in-2021.html. com/2021/8/26/22642627/tsmc-chip- Snapdragon-X55-modem.434479.0.html.
36. URL: https://www.supplychainbrain.com/ price-increase-supply-shortages-apple- 78. URL: https://lightmatter.co/.
articles/33543-carmakers-chip-crisis-isnt- qualcomm. 79. URL: https://www.techpowerup.
getting-better-suppliers-say. 58. URL: https://asia.nikkei.com/Business/ com/282386/raytheon-technologies-and-
37. URL: https://www.sourcengine.com/ Tech/Semiconductors/TSMC-eyes-plans- globalfoundries-partner-to-accelerate-
blog/renesas-increases-production- for-first-chip-plant-in-Japan. 5g-wireless-connectivity-using-gallium-
raising-prices-due-automotive-chip- 59. URL: https://slate.com/news-and- nitride-on-silicon-gan-on-si.
crisis-2021-02-03. politics/2021/11/china-taiwan-invasion- 80. URL: https://www.soitec.com/en/.
38. URL: https://auto.economictimes. philip-davidson-military-threat.html. 81. URL: https://www.timesunion.com/
indiatimes.com/news/auto-components/ 60. URL: https://www.umc.com/en. business/article/Judge-tosses-IBM-s-fraud-
chip-shortage-to-cost-automakers- 61. URL: https://www.businesswire.com/news/ claims-against-16464321.php.
110-billion-in-revenues-in-2021- home/20211027005443/en/UMC-Reports- 82. URL: https://www.marketwatch.com/
alixpartners/82625724. Third-Quarter-2021-Results. story/globalfoundries-ipo-5-things-
39. URL: https://www.trendforce.com/ 62. URL: https://www.usjpc.com/en/news/ to-know-about-the-chip-company-
presscenter/news/20210224-10675.html. topics-e/20201001e. going-public-in-a-semiconductor-
40. URL: https://ru.depositphotos.com/stock- 63. URL: https://mob-mobile.ru/statya/9638- shortage-11634834944.
photos/tsmc-logo.html. chto-takoe-amoled-displey-v-smartfone. 83. URL: https://semianalysis.com/
41. URL: https://www.taiwannews.com.tw/ html. globalfoundries-is-a-leading-edge-foundry-
en/news/4315759#:~:text=TSMC’s%20 64. URL: https://www.umc.com/en/StaticPage/ despite-claims-otherwise/.
consolidated%20revenue%20for%20 about_overview. 84. URL: https://semianalysis.com/
the,increase%20year-over-year. 65. URL: https://www.umc.com/en/News/ globalfoundries-gfs-ipo-mubadala-lost-
42. URL: https://www.anandtech.com/ press_release/Content/technology_ over-22-4b-now-they-are-hoping-public-
show/15420/tsmc-boosts-capex-by-1- related/20210308. markets-bail-them-out/.
billion-expects-5n-node-to-be-major- 66. URL: https://www.eetasia.com/umc- 85. URL: https://www.globest.
success. and-chipbond-to-establish-strategic- com/2019/04/22/globalfoundries-to-sell-
43. URL: https://pr.tsmc.com/english/ cooperation/. east-fishkill-ny-semiconductor-plant-for-
news/2889. 67. URL: https://www.taipeitimes.com/News/ 430m/?slreturn=20211025080159.
44. URL: https://www.tsmc.com/english/news- biz/archives/2020/12/08/2003748296. 86. URL: https://www.marketwatch.com/
events/blog-article-20200803. 68. URL: https://asia.nikkei.com/Economy/ story/globalfoundries-ipo-5-things-
45. URL: https://techtaiwan.com/20211201/ Trade-war/Taiwan-s-UMC-to-pay-60m- to-know-about-the-chip-company-
tsmc-3nm-hetero. fine-to-settle-US-trade-secrets-case. going-public-in-a-semiconductor-
46. URL: https://www.anandtech.com/ 69. URL: https://www.bloomberg.com/news/ shortage-11634834944.
show/16024/tsmc-details-3nm-process- articles/2021-11-25/taiwan-s-umc-pays- 87. URL: https://www.bloomberg.com/news/
technology-details-full-node-scaling-for- to-settle-tech-theft-litigation-with-micron. articles/2021-10-27/globalfoundries-
2h22. 70. URL: https://www.justice.gov/opa/pr/ mubadala-raise-2-6-billion-in-top-of-
47. URL: .https://www.bloomberg.com/ taiwan-company-pleads-guilty-trade- range-ipo.
graphics/2021-semiconductors-chips- secret-theft-criminal-case-involving-prc- 88. URL: https://gf.com/press-release/
shortage/. state-owned. globalfoundries-reports-third-quarter-
48. URL: https://www.wsj.com/articles/ 71. URL: https://www.bloomberg.com/news/ 2021-financial-results.
qualcomm-sees-path-out-of-chip-shortage- articles/2020-10-28/taiwan-s-umc-to- 89. URL: https://gf.com/news-events/
stretching-into-2022-11627504790. help-u-s-prosecute-chinese-firm-over- globalfoundries-press-releases.
49. URL: https://www.broadcom.com/ secrets. 90. URL: https://semianalysis.com/
solutions/industrial-automotive/ 72. URL: https://www.techpowerup. globalfoundries.
automotive-solutions. com/249056/us-bans-exports-to-chinese- 91. URL: https://semianalysis.com/
50. URL: https://www.amd.com/en/products/ dram-maker-fujian-jinhua-citing-national- globalfoundries-gfs-ipo-mubadala-lost-
embedded-automotive-solutions. security-interests. over-22-4b-now-they-are-hoping-public-
51. URL: https://www.bloomberg.com/ 73. URL: https://npl971975.wordpress. markets-bail-them-out/.
graphics/2021-semiconductors-chips- com/2019/01/05/suu-tam-exclusive- 92. URL: https://www.washingtonpost.com/
shortage. taiwans-umc-to-scale-down-chip-project- business/2021/11/18/ford-computer-chip-
52. URL: https://www.refinitiv.ru/ru/about-us. with-chinese-partner. globalfoundries/.

20 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


Реклама
ЭЛЕМЕНТЫ И КОМПОНЕНТЫ

Новейшие достижения компании SV Microwave/


Amphenol в области радиочастотных соединений
Кива Джуринский (kbd.istok@mail.ru)
Разработаны следующие модифика-
В статье показаны результаты работ по совершенствованию ции соединителей SMPM [1]:
радиочастотных соединений, выполненных американской компанией ● прямые и угловые кабельные и при-
SV Microwave/Amphenol в 2017–2019 годах. Рассмотрены конструкция, борно-кабельные соединители, пред-
электрические параметры и номенклатура соединителей SMPM назначенные для работы с полужёст-
с предельной частотой 65 ГГц для работы в бортовой аппаратуре при ким кабелем 0,085" (RG-405) и 0,047",
повышенных вибрационных и ударных нагрузках. Показаны конструкция а также с гибким и формуемым вруч-
и параметры соединения печатных плат при расстоянии между ними ную кабелем;
3 мм, что стало возможным благодаря применению сверхминиатюрного ● приборные вилки, монтируемые в
адаптера розетка-розетка («bullet»). стенки корпусов изделий из алю-
миниевых и титановых сплавов:
впаиваемые, под лазерную сварку,
О компании SV Microwave/ Разработка соединителей резьбовые и запрессовываемые в
Amphenol SMPM с предельной частотой корпуса;
Радиочастотные соединители с 65 ГГц для работы ● вилки для установки в отверстия пе-
интерфейсом SMPM, рассмотренные при повышенных вибрационных чатных плат, для поверхностного
в данной статье, созданы компанией и ударных нагрузках монтажа на платы и концевые;
SV Microwave. Эта компания являет- Стандартные соединители SMPM ● адаптеры розетка-розетка, называ-
ся мировым лидером в области СВЧ- В 1990 году компания Corning Gilbert, емые «bullet», для соединения «всле-
микроэлектроники, имеющим более США, разработала микроминиатюрные, пую», обеспечивающие гибкую связь
чем 50-летний опыт работы по соз- соединяемые защёлкиванием, соеди- между вилками, установленными на
данию радиочастотных соедините- нители GPPO с предельной частотой разных платах, и позволяющие ком-
лей, кабельных сборок и различных 65 ГГц. Сегодня эти соединители выпу- пенсировать несоосность между вил-
пассивных компонентов, предназна- скают десятки компаний всего мира ками на соединяемых платах. Длина
ченных для военных систем, Интер- под собственными фирменными назва- выпускаемых адаптеров «bullet» зави-
нета вещей, 5G, спутниковых, высо- ниями: SMPM, MINI-SMP и др. (далее – сит от требуемого расстояния меж-
коскоростных, аэрокосмических, SMPM – «sub-miniature push-on, micro»). ду платами;
коммерческих и телекоммуникаци- Разработаны соединители SMPM с пол- ● междусерийные адаптеры для со-
онных приложений. В мае 2005 года ным и ограниченным защёлкивани- вместимости с соединителями дру-
SV Microwave была приобретена ем и скользящим соединением вилки гих типов: SMA, 3,5 мм, 2,92 мм,
крупной корпорацией Amphenol, и и розетки. Интерфейс соединителей 2,4 мм и 1,85 мм.
с тех пор её называют SV Microwave/ SMPM соответствует стандарту MIL- Внешний вид соединителей SMPM
Amphenol. STD-348A (см. рис. 1) [1]. основных модификаций показан на
рис. 2, а их основные параметры при-
ведены в табл. 1 [1, 2].
F F
Благодаря миниатюрности (вес
G
соединителя составляет десятые доли
E Вилка E Розетка грамма) и высокому уровню электри-
ческих параметров данные соедини-
тели нашли применение в сложных
∅C

∅B

многофункциональных модулях СВЧ


∅A

∅A
∅B

∅D
с высокой плотностью компоновки.
Однако, несмотря на высокий уро-
вень параметров соединителей SMPM,
Вилка Розетка разработчики ответственных бортовых
min max min max систем опасаются, что соединение защёл-
A 2,11 2,16 – 2,41 киванием может не выдержать высокие
B 2,82 2,92 – 2,79 вибрационные и ударные нагрузки. Что-
C 0,28 0,33 – – бы повысить надёжность соединителей,
D 2,18 2,24 – – ведущие зарубежные компании разрабо-
E 0,76 1,14 0 0,20 тали свои собственные изделия, в кото-
F 2,08 2,13 1,73 – рых сочленение вилки и розетки защёл-
G 0,53 0,58 – – киванием усилено другими способами
соединения (резьбовым, байонетным
Рис. 1. Интерфейс вилки (для полного защёлкивания) и розетки соединителей SMPM или вторым защёлкиванием) [3, 4].

22 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ЭЛЕМЕНТЫ И КОМПОНЕНТЫ

Компания SV Microwave/Amphenol
в 2019 году также разработала линей-
ку резьбовых соединителей SMPM для
работы при повышенной вибрации
с высоким уровнем электрических и
механических характеристик [5, 6]. 1 2 3 4 5 6

Резьбовые соединители SMPM


Так как при воздействии вибрацион-
ных и ударных нагрузок надёжность
7 8
соединения защёлкиванием уступает
резьбовому соединению, напрашива- Рис. 2. Соединители SMPM: 1 – прямая кабельная розетка для кабеля 0,047" и 0,085"; 2 – угловая
лось решение объединить оба эти сое- кабельная розетка для кабеля 0,047" и 0,085"; 3 – адаптер SMPM розетка – SMPM розетка («bullet»);
динения в конструкции соединителя 4 – вилка для монтажа в отверстия печатной платы; 5 – концевая вилка для монтажа на печатную плату;
SMPM. Впервые резьбовое соединение 6 – вилка для поверхностного монтажа на печатную плату; 7 – адаптер SMPM розетка – 2,92 мм розетка;
в дополнение к соединению защёлки- 8 – адаптер SMPM вилка – 2,92 мм вилка
ванием применила компания Astrolab,
разработавшая соединители SMPM-T Таблица 1. Параметры соединителей SMPM
[1, 3, 4]. В кабельном соединителе-розет- Параметр Значение параметра
ке SMPM-T стандартный интерфейс Волновое сопротивление, Ом 50
SMPM был дополнен резьбовой втул- Рабочий диапазон частот, ГГц DC…65 (прямые соединители),
кой, устанавливаемой на кабель, а при- (DC – direct current, постоянный ток) DC…40 (угловые соединители)
борный соединитель-вилка выполнен с Рабочее напряжение, В (на уровне моря) 170…325
внешней резьбой на корпусе (см. рис. 3). Напряжение пробоя, В (на уровне моря) 500

Соединители SMPM-T отличаются высо- 1,15 (0…10); 1,25 (10…26,5);


Максимальный КСВН (в диапазоне частот, ГГц)
1,35 (26,5…50); 1,50 (50…65)
кой стойкостью к ударам и вибрации,
Величина потерь на частоте f, ГГц 0,12
надёжной фиксацией и улучшенной экра-
Экранное затухание, дБ (в диапазоне частот f, ГГц) –80 (0...3)
нировкой (экранное затухание по сравне-
Минимальное сопротивление изоляции, МОм 5000
нию с обычными соединителями SMPM
Допустимая пропускаемая мощность, Вт
выше на 10 дБ). В случае необходимости 16 (1)
(на частоте, ГГц) при температуре 25°С
соединители SMPM-T и SMPM могут без Рабочий диапазон температур, °С –65…+165
ограничений соединяться друг с другом. Допустимые радиальное и аксиальное смещения между
0,25
Однако такое конструктивное решение осями вилки и розетки при соединении, мм
11/6,7 – скользящее соединение,
соединителя SMPM-T не универсально, Усилия соединения/рассоединения, Н
20/29 – полное защёлкивание
оно возможно только для прямых кабель- Допустимое количество циклов соединение – 100 (полное защёлкивание),
ных соединителей и неосуществимо для рассоединение 500–1000 (скользящее соединение)
угловых соединителей. Примечания: 1. Величины КСВН, потерь и экранного затухания, приведённые в data sheet разных произ-
Компания SV Microwave/Amphenol водителей соединителей SMPM, заметно отличаются. Более подробно электрические параметры соеди-
предложила установить резьбовую втул- нителей SMPM рассмотрены в работах [1, 2].
ку непосредственно на кабельный сое- 2. В спецификациях часто приводят значение напряжения соединителя без уточнения его вида. Имеют-
динитель-розетку, а приборную вилку, ся 3 вида напряжения соединителя: напряжение пробоя (Breakdown Voltage), испытательное напряжение
как и в предыдущем случае, выполнить (DWV – Dielectric Withstanding Voltage) и максимальное рабочее напряжение (Working Voltage). Напряже-
с наружной резьбой на корпусе. Такое ние пробоя – это максимальное напряжение, которое может выдержать соединитель без значительно-
решение универсально, так как при- го возрастания тока утечки и разрушения. Испытательное напряжение – это максимальное напряжение,
менимо как к прямым, так и к угловым при котором должен быть протестирован соединитель. Оно составляет 75% от напряжения пробоя сое-
соединителям. Резьбовая втулка, изго- динителя. Рабочее напряжение – максимальное напряжение, при котором соединитель должен работать
товленная из нержавеющей стали, име- с заданными параметрами в течение всего срока службы. Рабочее напряжение составляет 1/3 от испыта-
ет следующие размеры: наружный диа- тельного напряжения и зависит как от конструкции соединителя, так и от конкретных условий эксплуата-
метр 4,57 мм, длина приблизительно ции. В частности, при указании рабочего напряжения в спецификации должны быть приведены значения
6 мм, резьба 0.148-56 UNS (наружный диа- атмосферного давления на уровне моря или на высоте 70 000 футов (21,3 км) и температуры.
метр резьбы 3,76 мм, шаг резьбы 0,45 мм).
Были разработаны 8 модифика- скользящего и резьбового соедине- При этом наиболее заметно возрастает
ций резьбовых соединителей SMPM ний, имеют низкие усилия сочленения стоимость резьбовых кабельных сое-
(см. табл. 2). В таблице представле- и расчленения розетки и вилки. Сое- динителей, прямых и угловых.
ны вилки для скользящего соедине- динители испытывают по стандарту
ния с ответной кабельной розеткой MIL-STD-202, методами 204 и 213. Дан- Соединение печатных
(позиции 5 и 6). По заказу потребите- ные методы используются в испытани- плат с применением
ля такие вилки могут быть изготовле- ях на воздействие вибрации и ударов сверхминиатюрного адаптера
ны для соединения с ответной кабель- для изделий авиационного и космиче- «bullet» компании SV
ной розеткой полным защёлкиванием. ского назначения. Резьбовые соедини- Microwave/Amphenol
Резьбовые кабельные соединители, тели SMPM, естественно, стоят доро- Соединители SMPM привлекли вни-
в которых использовано сочетание же стандартных аналогов в 1,2...1,8 раз. мание разработчиков изделий СВЧ-

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 23


ЭЛЕМЕНТЫ И КОМПОНЕНТЫ

Адаптер «bullet» обеспечивает надёж-


ное соединение и компенсирует ради-
R 1,5 min ∅ 4,06
альную и осевую несоосность до 0,25 мм
соединителей SMPM вилка, установлен-
ных на печатных платах. Он является
ключевым элементом при создании
компактного соединения плат и модуль-
∅ 1,91 ных конструкций, так как его длина
определяет расстояние между соединяе-
0,28
8,76 мыми печатными платами. Поэтому для
уменьшения расстояния между соединя-
Рис. 3. Соединитель SMPM-T емыми платами зарубежные компании
стремятся максимально уменьшить дли-
Таблица 2. Резьбовые соединители SMPM компании SV Microwave/Amphenol ну адаптера «bullet» (см. табл. 3) [1, 7, 8].
№ Обозначение Рекордсменом в области миниатюри-
Тип соединителя Параметры Внешний вид
п/п соединителя зации адаптеров «bullet» является ком-
пания SV Microwave/Amphenol [7–9].
Прямая кабельная
В 2017 году эта компания сообщила о
1 3221-60016 розетка для кабеля
0,085" (RG-405) Рабочий диапазон частот: создании адаптера «bullet» с длиной кор-
0…40 ГГц.
КСВН: пуса всего 2,48 мм, обеспечивающего
1,25 (DC…26,5);1,3 (26,5...50). соединение плат, отстоящих друг от дру-
Потери на частоте, f, ГГц – 0,07
Прямая кабельная га на расстояние 3 мм (мин. 2,88 мм, макс.
2 3221-60017 розетка для кабеля
0,047" 3,0 мм), для устройств с высокой плотно-
стью компоновки. Однако, в отличие от
стандартных адаптеров «bullet» розетка-
розетка, разработанный компанией SV
Угловая кабельная
3 3222-40059 розетка для кабеля Microwave/Amphenol микроминиатюр-
0,085" (RG-405) ный «bullet» является адаптером вилка-
Рабочий диапазон частот: вилка. Это обусловлено конструктивной
0...26,5 ГГц.
КСВН:1,1 (DC…26,5)
и технологической сложностью созда-
ния цанговых контактов розетки при
Угловая кабельная
4 3222-40060 розетка для кабеля таких малых размерах адаптера.
0,047" Конструкция адаптера вилка-вил-
ка показана на рис. 5а [8]. Применение
Вилка для этого адаптера для соединения печат-
установки ных плат потребовало полностью изме-
в отверстия
5 3211-60298 печатной платы нить конструкцию и технологию сое-
для скользящего динения. Были разработаны корпуса
соединения с Рабочий диапазон частот:
розеткой 0...40 ГГц. вилок (без изоляторов и цанговых цен-
КСВН:
1,25 (DC…26,5);1,3 (26,5...40).
тральных проводников), имитирующие
Концевая вилка Потери на частоте, f, ГГц – 0,07 интерфейсы полного защёлкивания и
для скользящего скользящего соединения, называемые
6 3211-40121
соединения с
розеткой Shroud: Shroud FD (Full Detend – пол-
ное защёлкивание) и Shroud SB (Smooth
Bore – скользящее соединение). Корпуса
Shroud изготовлены из пассивирован-
Адаптер SMPM ной нержавеющей стали и имеют резь-
7 1132-6108 розетка – 2,92 мм
розетка. бовые отверстия для крепления винтами
Рабочий диапазон частот:
0...40 ГГц. на печатные платы (см. рис. 5б,в) [7–9].
КСВН: Shroud для скользящего соединения
1,25 (DC…26,5);1,3 (26,5...40).
Потери на частоте, f, ГГц – 0,07 устанавливают на одной печатной пла-
Адаптер SMPM те, а Shroud для полного защёлкивания –
8 1132-6109 вилка – 2,92 мм на противоположной. Процесс соедине-
розетка
ния плат иллюстрируют рис. 6а, 6б. Для
работы с адаптером «bullet» компания SV
Microwave/Amphenol разработала спе-
микроэлектроники ещё и потому, что они ют соединитель SMPM вилка, а соединение циальный инструмент № 500-80-014
обеспечивают быстрое соединение печат- плат производят при помощи адаптера (см. рис. 6в). При соединении плат цен-
ных плат без применения радиочастотных «bullet» с двумя цанговыми центральны- тральный проводник адаптера «bullet»
кабелей с помощью адаптеров розетка- ми проводниками, закреплёнными во фто- непосредственно контактирует с микро-
розетка «bullet». Для этого на каждой из ропластовом изоляторе, установленном в полосковыми линиями печатных плат.
соединяемых печатных плат устанавлива- корпусе адаптера (см. рис. 4) [1]. Скользящее соединение обеспечивает

24 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ЭЛЕМЕНТЫ И КОМПОНЕНТЫ

Таблица 3. Размеры адаптеров «bullet» зарубежных компаний


Bullet
Компании США
SV Microwave/ Corning
Micro Mode Cristek Delta
Amphenol Gilbert
Размеры «bullet», мм
L
№ 3290–4003
∅A А=2,74, L=8,3
№ 3290–4002
Рис. 4. Соединение печатных плат с помощью Адаптер «bullet» А=2,74, L=5,36
L=5,3…16,4 L=4,5…22, 9 L=4,1…16, 5 L=5,33…8,0
адаптера «bullet» и двух соединителей SMPM розетка-розетка № 1132–4021
вилка А=2,41, L=4,57
№ 1132–4010

лёгкое рассоединение плат без приме- А=2,31, L=4,22

нения специального инструмента. Поэ-


тому при рассоединении плат адаптер № 1180–4010
«bullet» остаётся на плате соединённым с – – – –
Shroud FD (для полного защёлкивания).
Соединение плат, расстояние меж- Адаптер «bullet» А=2,86, L=2,48
вилка-вилка
ду которыми 3 мм, при помощи адап-
тера «bullet» компании SV Microwave/
Amphenol имеет следующие электри-
ческие параметры: 3×∅2,86 2,48
● рабочий диапазон частот DC…40 ГГц;
● КСВН в диапазоне частот DC…18 ГГц –

1,10, в диапазоне частот 18...40 ГГц –


1,30;
● величина вносимых потерь 0,30 дБ
а б в
на частоте 18 ГГц и 0,60 дБ на часто-
те 40 ГГц. Рис. 5. Адаптер «bullet» № 1180-4010 компании SV Microwave/Amphenol (а), Shroud FD № 012-80-
Предложенное соединение обеспечи- 487/020 (б), Shroud SB № 012-80-488/020 (в)
вает указанные электрические параметры
при осевом смещении Shroud и адапте-
ра до 0,127 мм и радиальном смещении –
до 0,18 мм при минимальном межцентро-
вом расстоянии между соседними соеди-
нителями 3,81 мм. Допустимое количе-
ство соединений и рассоединений – 500.
Рабочий диапазон температур от –65°C
до +165°C. Такое соединение не требует
операции пайки, и поэтому не повреж-
дает платы и обеспечивает низкие уси-
лия соединения и рассоединения плат.
Соединение плат с минимальным
а б в
расстоянием между ними (3 мм) иде-
ально подходит для использования в Рис. 6. Скользящее соединение адаптера «bullet» с нижней печатной платой (а), полное
приложениях с высокой плотностью защёлкивание адаптера «bullet» с верхней печатной платой (б), инструмент для работы с
размещения печатных плат. адаптером «bullet» (в)

Заключение давляющие фильтры / под ред. д.т.н. 5. New Products | SV Microwave // URL:
Представленная в данной статье А.А. Борисова. СПб.: Изд-во ЗАО «Медиа https://www.svmicrowave.com.
информация о соединителях компании Группа Файнстрит», 2014. 426 с. 6. Threaded SMPM Connectors and Adapters
SV Microwave/Amphenol является приме- 2. Microwave Gilbert® Push-on Interconnects – SV Microwave // URL: https://www.
ром активной работы ведущих зарубеж- Corning // URL: https://www.corning.com. svmicrowave.com.
ных компаний по совершенствованию 3. Джуринский К.Б. Соединители SMP с повы- 7. SV’s New 3mm Board-to-Board
радиочастотных соединений. Основная шенной устойчивостью к вибрационным Interconnect // URL: https://www.
цель этой публикации – показать оте- и ударным нагрузкам // Электроника НТБ. svmicrowave.com.
чественным разработчикам одно из 2021. № 8. С. 88–90, 92, 94, 96, 98–100. 8. Amphenol/SV Microwave 3mm Board-to-
направлений работ в этой области. 4. Weirback A. High density coaxial Board Interconnects // URL: https://www.
interconnect solution for space applications mouser.com.
Литература requiring high electrical stability. 9. Board-to-Board Connectors Product
1. Джуринский К.Б. Современные радио- Huber+Suhner Astrolab, Inc. // URL: https:// Roundup // URL: https://connectorsupplier.
частотные соединители и помехопо- escies.org. com.

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 25


ЭЛЕМЕНТЫ И КОМПОНЕНТЫ

Мощные резистивные поглотители


(фиксированные аттенюаторы и эквиваленты
нагрузок) с воздушным охлаждением
Игорь Белков, к. т. н. (belkov@erkon-nn.ru),
Юрий Еремеев (belkov@erkon-nn.ru),
Илья Малышев, к. т. н. (min@erkon-nn.ru)

В статье описаны мощные резистивные поглотители (фиксированные


аттенюаторы и эквиваленты нагрузок) с воздушным охлаждением,
разрабатываемые АО «НПО «ЭРКОН», которые находят широкое
применение в телекоммуникационной, измерительной, навигационной
а
и лабораторной аппаратуре.

Мощные резистивные поглотители кации. Для обеспечения потребности


(фиксированные аттенюаторы и экви- отечественного рынка в данных изде-
валенты нагрузок) с воздушным охлаж- лиях АО «НПО «ЭРКОН» [1] разрабаты-
дением находят широкое применение вает серию мощных аттенюаторов и
в различных радиоэлектронных сред- эквивалентов нагрузок средней вход-
ствах (РЭС): от лабораторного исполь- ной мощностью от 30 Вт до 2000 Вт с б
зования при регулировке аппаратуры коаксиальными входами и широким
до стационарных телекоммуникацион- диапазоном номинального ослабле- Рис. 1. Внешний вид поглотителей ПР1-24 и ПР1-25:
ных систем связи и передвижных бор- ния от 3 дБ до 60 дБ в рабочем диапа- а) эквивалент нагрузки ПР1-24-50 (50 Вт);
товых систем в навигации и радиоло- зоне частот до 18 ГГц. б) фиксированный аттенюатор ПР1-25-500 (500 Вт)

а б

в г

д е

Рис. 2. Габаритные и установочные размеры поглотителей ПР1-24 и ПР1-25: а) эквивалент нагрузки ПР1-24-50 (50 Вт); б) фиксированный аттенюатор ПР1-25-50 (50 Вт);
в) фиксированный аттенюатор ПР1-25-100 (100 Вт); г) фиксированный аттенюатор ПР1-25-150 (150 Вт); д), е) фиксированный аттенюатор ПР1-25-500 (500 Вт)

26 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ЭЛЕМЕНТЫ И КОМПОНЕНТЫ

В базовую линейку разрабатывае- Таблица 1. Технические характеристики поглотителей ПР1-25 (фиксированных аттенюаторов)
мой АО «НПО «ЭРКОН» продукции, в
Тип поглотителя ПР1-25-50 ПР1-25-100 ПР1-25-150 ПР1-25-500
том числе под требования заказчика,
Средняя входная
входят аттенюаторы и эквиваленты 50 100 150 500
мощность, Рср.вх, Вт
нагрузок с входной средней мощно-
1000 3000 5000
Импульсная входная 500
стью 50, 100, 150 и 500 Вт. Внешний вид, (tимп = 1 мкс, (tимп = 5 мкс, (tимп = 5 мкс,
мощность, Pимп., Вт (tимп = 5 мкс, D = 0,05 %)
D = 5 %) D = 5 %) D = 5 %)
габаритные и установочные размеры
изделий представлены на рис. 1 (а…б) и Ослабление на
постоянном токе Аном, 3; 6; 10; 20; 30; 40 1…50 3; 6; 10; 20; 30 10; 20; 30; 40
2 (а...е), а основные технические дБ
характеристики – в табл. 1, табл. 2 и
±0,75 max (3; 6 дБ)
на рис. 3 (а…г). Допускаемое
±1,0 max (10; 20 дБ) ±0,5 (0…2 ГГц) ±0,75 (0…2,5)
отклонение ослабления ±0,3
Поглотители АО «НПО «ЭРКОН» явля- ΔА, дБ
±1,25 max (30 дБ) ±1 (2…6 ГГц) ±1,0 (2,5…5,0 ГГц)
±1,5 max (40 дБ)
ются конструктивно-функциональными
аналогами мощных фиксированных Температурный
коэффициент 0,0004 0,0006 0,0006 0,0004
аттенюаторов и эквивалентов нагру- ослабления, дБ/дБ/°С
зок Weinschel Associates, Pasternack, Аpi
Коэффициент
technologies [2…4] и других известных чувствительности
– 0,0005 0,0005 –
мировых брендов. Поглотители облада- ослабления к входной
мощности, дБ/дБ/Вт
ют рядом достоинств, таких как широко-
полосность, высокая входная мощность Рабочий диапазон
0…18,0 0…1,0 0…6,0 0…5,0
частот, ГГц
(средняя и импульсная), малая неравно-
мерность ослабления в полосе частот, 1,25 (0…6,0 ГГц)
1,2 (0…2,5)
КСВН, не более 1,35 (6,0…12,4 ГГц) 1,2 1,35
возможность каскадирования, высокая 1,35 (2,5…5,0)
1,45 (12,4…18,0 ГГц)
температурная стабильность, различ-
Сопротивление входа/
50; 50; 50; 50;
ные варианты внешних присоединений, выхода, Ом; тип
SMA N, III N, III N, III
подключения
для некоторых моделей – двунаправ-
ленность. Последнее свойство позволя- Рабочий диапазон От –60 до
От –60 до +125 °С От –60 до +125 °С От –60 до +125 °С
температур +125 °С
ет подключать аттенюатор к источни-
Направленность Односторонняя Двусторонняя Двусторонняя Односторонняя
ку сигнала любым входом и исключает

Реклама

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 27


ЭЛЕМЕНТЫ И КОМПОНЕНТЫ

Таблица 2. Технические характеристики поглотителей типа ПР1-24-50 (эквивалентов нагрузок) чениях ослабления (40…50 дБ и выше)
использование согласованной нагруз-
Средняя входная Импульсная Номинальное Рабочий Рабочий ки на выходе может не потребоваться
мощность, входная мощность, сопротивление, Ом; диапазон КСВН, не более диапазон
Pср.вх., Вт Pимп., Вт тип подключения частот, ГГц температур (зависит от индивидуальных требова-
ний согласования на входе).
500 1,15 (0…6,0 ГГц)
От –60 до Номенклатура поглотителей пред-
50 (tимп = 5 мкс, 50; SMA 0…18,0 1,25 (6,0…12,4 ГГц)
+125 °С
D = 0,05 %) 1,35 (12,4…18,0 ГГц) ставлена широким рядом значений
номинального ослабления. При необ-
ходимости каскадированием фикси-
рованных аттенюаторов возможно
получить требуемые промежуточные
значения ослабления. Учесть макси-
мальные отклонения параметров при
внешних воздействиях позволяют тем-
пературный коэффициент ослабления
и коэффициент чувствительности осла-
бления к входной мощности.

а б
Заключение
Резистивные поглотители с коакси-
альными входами (фиксированные
аттенюаторы и эквиваленты нагру-
зок) мощностью от 50 до 500 Вт про-
изводства АО «НПО «ЭРКОН» позволяют
полноценно заменить аналоги веду-
щих мировых производителей в зада-
чах измерений, настройки и эксплуата-
ции высокочастотного оборудования.
в г Характеристики поглотителей пред-
ставлены на официальном сайте
Рис. 3. Зависимость максимальной входной мощности от температуры окружающей среды для www.erkon-nn.ru. По вопросам разработ-
поглотителей: а) для эквивалента нагрузки ПР1-24-50 и фиксированного аттенюатора ПР1-25-50; ки и изготовления поглотителей, отли-
б) для фиксированного аттенюатора ПР1-25-100; в) для фиксированного аттенюатора ПР1-25-150; г) для чающихся от приведённых, обращать-
фиксированного аттенюатора ПР1-25-500 ся по приведённым на сайте контактам.

ошибку, при которой возможен выход опасных для измерительных приборов. Литература
устройства из строя из-за перегрузки. Во втором случае используют эквива- 1. Компания АО «НПО «ЭРКОН» // URL:
Поглотители используют для норми- ленты нагрузок. Но следует отметить, https://www.erkon-nn.ru/ (дата обраще-
рованного снижения мощности при что для данной цели возможно исполь- ния: 14.12.2021).
измерениях параметров сигналов в зование и аттенюаторов с высоки- 2. Weinschel Associates Company // URL:
приёмо-передающих трактах РЭС и ми значениями ослабления (более https://www.weinschelassociates.com/
при настройке передающей аппарату- 20…30 дБ), так как основная мощность (дата обращения: 14.12.2021).
ры в качестве пассивной нагрузки вме- рассеивается в устройстве, а на выходе 3. API Technologies Company // URL: https://
сто антенн. В первом случае использу- остаётся небольшая доля энергии, кото- www.apitech.com/ (дата обращения:
ют поглотители типа аттенюаторов рую возможно рассеять в достаточно 14.12.2021).
фиксированного ослабления, норми- маломощной нагрузке. Кроме того, при 4. Pasternack Company // URL: https://
рованно снижающие мощность высо- использовании аттенюатора в качестве www.pasternack.com/ (дата обращения:
кочастотного сигнала до уровней, без- эквивалента нагрузки при высоких зна- 14.12.2021).

НОВОСТИ МИРА

ERICSSON РАССМАТРИВАЕТ сматривает два варианта: сотрудничество в котором с российской стороны участву-
ВОЗМОЖНОСТЬ ВЫПУСКА с GS Group на производственной площад- ет производитель вычислительной техники
ОБОРУДОВАНИЯ ДЛЯ СЕТЕЙ 5G В ке в Калининграде и запуск собственного Yadro. СП построит в Дубне завод, который
РОССИИ завода. будет выпускать базовые станции 4G и 5G.
Источник сообщил, что компания Ericsson Ранее локализацию производства в Рос- Местное производство базовых станций
изучает возможность локализации произ- сии начал другой крупный производитель могли бы также развернуть китайские ком-
водства базовых станций 5G в России. Как телекоммуникационного оборудования, пании ZTE и Huawei, но конкретной инфор-
утверждается, шведский производитель те- финская компания Nokia. Она пошла по мации об их планах пока нет.
лекоммуникационного оборудования рас- пути создания совместного предприятия, ixbt.com

28 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ИНЖЕНЕРНЫЕ РЕШЕНИЯ

НЧ-генератор синусоидальных сигналов


с 12 фиксированными частотами
Алексей Кузьминов (compmicrosys@mail.ru)
источником питания (ИП) и, в связи с
В статье описан генератор гармонических колебаний на этим, в достаточно габаритных корпусах.
12 фиксированных частот в диапазоне 20 Гц…20 кГц с регулируемой В итоге стоимость подобных генера-
амплитудой до 1,5 В, что во многих случаях достаточно для проверки торов довольно высока (от 5000 руб. и
и диагностики аудиоаппаратуры. Генератор сконструирован на базе ОУ выше).
OPA2134 и миниатюрной лампы накаливания СМН6-20-1. Отличительная В то же время подобный генератор
особенность генератора – стабильность амплитуды и низкие искажения можно сконструировать и своими сила-
синусоидального сигнала. Для питания генератора использован также ми. Такой генератор не обладает выше-
описанный в статье миниатюрный двуполярный источник питания (ИП) указанной избыточностью, в связи с
со стабилизированными напряжениями ±3,5 В. чем он миниатюрен, а его стоимость
на порядок меньше заводского.
В [1] описан генератор синусоидаль-
Введение амплитуды стандартного входного аудио- ных сигналов трёх фиксированных
Для настройки аудиоустройств (пред- сигнала), то для настройки, например, частот (20 Гц, 1 кГц и 20 кГц), сконстру-
варительный усилитель, УМЗЧ и т.п.) УМЗЧ требуется входной сигнал, макси- ированный на базе ОУ TL072. Однако,
требуется генератор синусоидального мальное значение которого, как прави- как показала практика, этот генератор
сигнала, работающий в звуковом диа- ло, не превышает 1,5 В. НЧ-генераторы, не лишён некоторых недостатков.
пазоне частот (20 Гц…20 кГц) с возмож- выпускаемые промышленностью, если Во-первых, при настройке радио-
ностью плавного изменения амплиту- их применять для подобных целей, обла- аппаратуры, работающей в звуковом
ды от 0 до 1,5 В и имеющий достаточно дают существенной избыточностью: диапазоне частот (предварительный
низкие искажения. Если для настройки во-первых, их диапазон частот намно- усилитель, УМЗЧ и т.п.), часто требует-
устройств, работающих с входным аудио- го шире звукового, во-вторых, амплиту- ся проверить равномерность её АЧХ
сигналом (например, предваритель- да их выходного сигнала также намного во всем диапазоне, в связи с чем трёх
ный усилитель), требуются амплитуды превышает 1,5 В. Кроме того, эти гене- частот явно недостаточно, или, дру-
не более 0,3 В (максимальное значение раторы выпускаются с уже встроенным гими словами, может потребовать-
ся настройка и на промежуточных
частотах (между 20 Гц и 1 кГц и между
Плата генератора 1 кГц и 20 кГц). В описываемом в ста-
X4 X4'
RI RI 1 1 RI (1) тье генераторе количество фиксиро-
RO 2 2 RO (3) R7 ванных частот увеличено до 12, поэто-
R3 3 3 GND 22K
X3 68 SIP3 (2) му настройка тестируемых устройств
1 C13 +Uп DA1.2 PSLM3
6 X5 X5' XК может проводиться более адекватно.
2 4.7 вых. контр.
7 OUT 1 1 OUT 1
R1 R4 (гн. 3.5 моно) XГ Во-вторых, выходной каскад гене-
PSLM2 750 100 5 2 2 GND 2 1
8 DA1.1 JR-2314
0.1% 2 SIP2 2 ратора, описанного в [1], можно суще-
V+ 1 PSLM2
3 V- OPA2134 X6 X6' XП ственно улучшить (см. далее).
1 1 +U 1 2 П1 вых. Uген.
4 +Uп RS-104 В-третьих, как показала практика,
2 2 GND 2 3
3 3 -U 3 (гн. RCA)
C15 -Uп при использовании ОУ общего приме-
R2 L1 R5 1
SIP3
750 PSLM3 РС4ТВ(Р) нения TL072 (как в [1]) генератор устой-
C14 3K
0.1% 1.0 X7 X7' LD1 LD2 2 П2
4.7 жёл. 3 чиво работает в очень узком диапазоне
-Uп LD1 1 1 LD1 зел.
R6 П23 2 2 П23 напряжений питания (приблизительно
СМН6-20-1
3K 3 3 GND 1
SIP3 П1,П2 - sMTS202 ±8…±9 В). И даже в этом диапазоне требу-
PSLM3 ется достаточно тщательная регулиров-
ГП1 - ПГ2-6-12П2НВК
X1 X2 X1' ГП1 X2' ка его режима работы, чтобы искажения
RI C1 10.0 1 C1' 10.0 1 1 1 1 1
C2 4.7 2 C2' 4.7 2 2 2 2 2 были минимальны. Если же тестируе-
C3 2.2 3 C3' 2.2 3 3 3 3 3 мое устройство требует иные напряже-
C4 1.0 4 C4' 1.0 4 4 4 4 4
C5 0.68 5 C5' 0.68 5 5 5 5 5 ния питания, отличные от ±8…±9 В, то
C6 0.47 6 C6' 0.47 6 6 6 6 6
C7 0.22 7 C7' 0.22 7 7 7 7 7 для него необходим дополнительный
C8 0.1 8 C8' 0.1 8 8 8 8 8 ИП, что не всегда удобно. Применённый
C9 47н 9 C9' 47н 9 9 9 9 9
C10 22н10 C10' 22н10 10 10 10 10 в описываемом генераторе прецизион-
C11 15н 11 C11' 15н 11 11 11 11 11
C12 10н12 C12' 10н12 12 12 12 12 ный ОУ OPA2134, имеющий сверхнизкие
3' 3' искажения (Ultra Low Distortion), дости-
PSLM12 PSLM12 SIP12 SIP12
В ПЛ1 ПЛ2 Н гающие 0,00008% (правда, при некото-
1
2

X3' SIP2
рых условиях), существенно улучшает
качество выходного сигнала генерато-
Рис. 1. Принципиальная схема генератора ра. Кроме того, с этим ОУ генератор рабо-

30 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ИНЖЕНЕРНЫЕ РЕШЕНИЯ

тает в более широком диапазоне напря- C1′–C12′ своим одним концом подклю-
жений питания (см. далее). чены к разъёму X2, аналогичному разъё- DA1.2
6
И наконец, в-четвёртых, регулиру- му X1, а вторые их концы объединены и 8
2 DA1.1 7
емый ИП, использующийся в [1] для заземлены. К разъёмам X1 и X2 подклю- V+ 1 5
питания генератора, обладает избы- чаются кабели, на одном конце которых 3 V- 470 вых. контр.
точностью (например, его мощность расположены ответные цанговые гнёзда 4 10K
на порядок больше требуемой, и, кро- X1′ и X2′ (SIP12), а вторые их концы под-
ме того, регулировка выходного напря- ключены к галетному переключателю
жения ИП не требуется – см. далее). ГП1. Выходные сигналы ГП1 (выводы 3′ Рис. 2. Схема выходного каскада генератора [1]
Для питания генератора можно также платы 1 ГП1 и 3′ платы 2 ГП1) подключе-
использовать регулируемый ИП, опи- ны к кабелю, на втором конце которого ся вход тестируемого устройства. Таким
санный в [2], но и он также обладает расположен двухконтактный разъём X3′ образом, на вход тестируемого устрой-
вышеуказанной избыточностью. А эта (гнезда SIP2), подключаемый к разъёму X3 ства подаётся предварительно настроен-
избыточность приводит к относитель- (штыри PSLM2) платы. В первом положе- ный по осциллографу сигнал генерато-
но бо′ льшим габаритам (и весу) выше- нии ГП1, как видно из схемы, между верх- ра после включения переключателя П1.
указанных ИП, что не всегда удобно. ним по схеме выводом R1 и выходом ОУ Для индикации наличия питания и нали-
Миниатюрный ИП, описанный в DA1.1 (сигнал RI) оказывается подклю- чия сигнала на разъёме XГ служат два
настоящей статье и применённый для чён конденсатор C1 (10 мкФ), а конден- светодиода: соответственно зелёный
питания генератора, сконструирован на сатор C1′ (также 10 мкФ) оказывается под- и жёлтый (LD1 и LD2). Светодиод LD1
относительно новой элементной базе и, ключён между точкой соединения R1 и постоянно подключён к кабелю, на вто-
несмотря на свою миниатюрность, по R2 и «землёй». В этом случае генерирует- ром конце которого расположен разъём
мощности в 4 раза больше требуемой, ся сигнал самой низкой частоты – около SIP3 (X7′), а светодиод LD2 подключает-
т.е. имеет 4-кратный запас по мощно- 20 Гц. В 12-м положении ГП1 к контак- ся к X7′ через вторую группу контактов
сти. Кроме того, размах пульсации ста- там X3 подключаются конденсаторы C12 (П2) переключателя SMTS202 (тумблер
билизированных напряжений этого ИП и C12′ номиналом 10 нФ, и генерирует- на 2 положения с 2 группами контактов).
(не более 1 мВ) несколько ниже разма- ся самая высокая частота – около 20 кГц. Разъём X7′ подключается к разъёму X7
ха пульсаций ИП, описанных в [1] и [2]. Для регулировки амплитуды выход- платы. Резисторы R5 и R6 – токоограни-
Таким образом, дальнейшее изложение ного сигнала (от 0 до 1,5 В) служит пере- чивающие для соответствующих свето-
будет построено следующим образом. менный резистор R7 (СП5-35Б), постро- диодов. Питание на плату (+Uп, –Uп и
Вначале будут приведены принципи- енный по груботочной схеме: в нём «земля») поступает с разъёма X6 (PSLM3).
альные схемы генератора и ИП, затем – используются два соосных резистив- К этому разъёму подключается кабель,
разводка и внешний вид их плат. Далее ных элемента, что позволяет достаточ- на одном конце которого расположен
будут показаны их конструкции и но точно регулировать амплитуду. Этот ответный разъём X6′ (SIP3), на втором
общий вид в сборе. В конце статьи при- резистор подключён к одному концу конце – разъём XП (РС4ТВ(Р) – розет-
ведены результаты работы генератора. кабеля, на втором конце которого рас- ка), который подключается к ответному
положен разъём SIP3 (X4′). Этот разъ- разъёму ИП РС4ТВ(В) – вилка (см. далее).
Принципиальные схемы ём подключается к разъёму PSLM3 (X4) Все постоянные резисторы и конден-
Схема генератора (рис. 1) представля- платы. Таким образом, резистор R7 явля- саторы (керамические) – для поверх-
ет собой генератор Вина-Робинсона на ется нагрузкой ОУ DA1.1, а его движок ностного монтажа размером 0603.
сдвоенном ОУ OPA2134 (DA1) с миниа- (3-й вывод R7) подключён к неинвер- Резистор R3 – миниатюрный под-
тюрной лампой накаливания СМН6-20-1 тирующему входу ОУ DA1.2, на котором строечный многооборотный рези-
(L1), служащей для стабилизации ампли- собран повторитель напряжения. Выход стор марки 3266Y. ОУ DA1 – в корпусе
туды его выходного сигнала. С помо- DA1.2 (7-й вывод) обладает существен- DIP8. Вместо галетного переключате-
щью резисторов R3 и R4 лампа вводится но большей нагрузочной способностью, ля ПГ2-6-12П2НВК можно использовать
в оптимальный режим работы, при кото- чем выходной сигнал в схеме выходно- ПГ39-2В или импортный RCL371-2-2-12.
ром генератор имеет минимальные иска- го каскада генератора, описанного в [1] Они тоже на 12 положений и 2 направ-
жения синусоидального сигнала. Кон- (470 Ом – рис. 2). Выходной сигнал гене- ления, несколько дешевле, но больше
денсаторы C13–C15 – блокировочные; ратора (сигнал OUT) подключён к двух- по размеру (особенно RCL371-2-2-12).
они положены в штатном режиме рабо- контактному разъёму PSLM2 (X5 платы). Потребление тока генератора при его
ты ОУ DA1. Резисторы R1 и R2 совмест- К этому разъёму подключён ответный питании напряжениями ±3,5 В состав-
но с конденсаторами C1–C12 и C1′–C12′ разъём SIP2 (X5′) кабеля, ко 2-му кон- ляет не более 25 мА при всех включён-
соответственно образуют RC-цепочки, цу которого подключён разъём XK ных светодиодах. Это минимальное
определяющие часто′ ты работы гене- (JR-2314 – гнездо моно 3,5) и параллель- напряжение питания, при котором
ратора. Переключение частот осущест- но через переключатель П1 – разъём сигналы генератора синусоидальны
вляется галетным переключателем ПГ2- RS-104 (гнездо RCA). Разъём XK – кон- на всех без исключения частотах. Как
6-12П2НВК (ГП1) на 12 положений и трольный; к нему подключается осцил- видно из схемы рис. 1, она достаточ-
2 направления. Конденсаторы C1–C12 лограф для контроля выходного сигнала но проста, поэтому легко разводится
своим одним концом подключены к разъ- генератора, поскольку на этом разъёме и достаточно миниатюрна (см. далее).
ёму X1 (цанговые штыри с шагом 2,54 мм – сигнал генератора присутствует всегда. Для питания генератора использо-
PSLM12), а вторые их концы объедине- А вот на разъём XГ сигнал генератора ван миниатюрный стабилизирован-
ны и подключены к выходу ОУ DA1.1 подаётся только после включения пере- ный ИП (рис. 3) с выходными напря-
(1-й вывод) – сигнал RI. Конденсаторы ключателя П1. К разъёму XГ подключает- жениями ±3,5 В и максимальным током

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 31


ИНЖЕНЕРНЫЕ РЕШЕНИЯ

33×25 мм. Трансформатор подключается


Плата ИП +/-3,5В к сети ~220 В слаботочным двухжильным
П1 T1 T1 - 2х4,5В/0,1А
X1' X1 Vin+ DA1 сетевым кабелем ШВПТ-М сечением каж-
VD3 + C1 Vin- -3,5
1 1 VD1 дой жилы по 0,20 мм2, рассчитанным на
~4,5В

1 NC In- 5

3 Adj Out- 4
4700,0/10В C3
~220 В

SMTS-102 2 2 R1 C4
3 3 1,0 6,8К 10,0 напряжение до 300 В, с помощью тумбле-

2 GND
~4,5В

VD2 +
C2
VD4 R2 ра SMTS-102-2A2 (П1) с одной группой
SIP3 PSLM3 4700,0/10В
Vin- контактов на 2 положения, рассчитан-
X2" X2' X2 VD1-VD4 - PMEG2010 MIC5270BM5 5K
1 +3,5 1 1 -3,5 ного на напряжение 250 В и ток до 1,5 А.
2 GND 2 2 DA2
3 -3,5 3 3 1 VinVout 5
Выходные стабилизированные на-
+3,5 Vin+ +3,5
2 GND пряжения (± 3,5 В) подаются на разъ-
РС4ТВ(В) SIP3 PSLM3 C5 3 En Adj 4 R3 C6
LED1 X3' X3 1,0 7,5К 2,2 ём X2 (PSLM3) платы. К этому разъё-
1 1 R5
Син. -3,5 MIC5235BM5 му одним концом с ответным разъё-
2 2 1,5К R4
LED2 3 3 5K мом SIP3 (X2′) подключается кабель,
R6
+3,5 ко второму концу которого подключён
Жел. SIP3 PSLM3 1,5К
разъём РС4ТВ(В) – вилка (X2′′). К это-
му разъёму подключается кабель пита-
Рис. 3. Принципиальная схема ИП ±3,5 В ния генератора.
Для индикации наличия выходных
напряжений –3,5 В и +3,5 В использо-
ваны светодиоды соответственно сине-
го (LED1) и жёлтого (LED2) цвета све-
чения, которые подключены к кабелю,
ко второму концу которого подключён
разъём SIP3 (X3′). Этот разъём вставля-
ется в ответный разъём PSLM3 (X3 пла-
ты). Резисторы R5 и R6 – токоограни-
чивающие для светодиодов.
Постоянные резисторы и конденса-
торы C3–C6 (керамические) типораз-
а б мера 0603 для поверхностного монта-
жа. Резисторы R2, R4 – миниатюрные
подстроечные для поверхностного мон-
тажа 3223W размером 3×4 мм и высо-
той 4 мм. Микросхемы MIC5270BM5
(DA1) и MIC5235BM5 (DA2) в корпусе
SOT23-5. Это микросхемы производ-
ства компании MICREL, которая неко-
торое время назад вошла в состав ком-
пании MICROCHIP. Здесь необходимо
заметить, что если помимо регулируе-
в г
мого LDO стабилизатора положитель-
Рис. 4. Разводка и внешний вид платы генератора со стороны компонентов для поверхностного (а, в) ного напряжения MIC5235BM5 можно
и навесного (б, г) монтажа найти аналогичные или похожие дру-
гих (кроме MICREL) производителей,
100 мА, что в 4 раза превосходит потре- и с максимальным (по абсолютному зна- то регулируемого LDO стабилизатора
бление тока генератором (25 мА – см. чению) входным напряжением 16 В и отрицательного напряжения (кроме
выше). Мостовой выпрямитель со сред- 24 В соответственно. Настройка выход- MIC5270BM5) с входным напряжением
ней точкой выполнен на миниатюрных ных напряжений –3,5 и +3,5 В осущест- до 14 В и выходным током до 100 мА в
диодах Шоттки PMEG2010 (VD1–VD4) вляется подстроечными резисторами корпусе SOT23-5 других компаний авто-
в корпусе SOD323F (SC-90) размером R2 и R4 соответственно, входящими в ру найти не удалось.
1,8×1,3 мм с максимальным током 1 А, состав делителей напряжения R1R2 и Здесь необходимо добавить, что
максимальным обратным напряжени- R3R4. Конденсаторы C3–C6 положе- генератор работоспособен не толь-
ем 20 В и падением напряжения 0,3 В ны по штату работы соответствующих ко при напряжениях питания ±3,5 В.
при токе 100 мА (и 0,25 В при токе 25 мА). стабилизаторов. Входное переменное Это минимальные напряжения с неко-
Конденсаторы C1 и C2 – сглаживающие напряжение (2 × ~4,5 В) подаётся на пла- торым запасом (при напряжениях
электролитические. Для получения ста- ту с разъёма X1 (PSLM3). К этому разъёму ±3,2…±3,3 В начинают уже проявлять-
билизированных напряжений –3,5 и одним концом (разъёмом X1′ – SIP3) под- ся искажения синусоиды – в основном
+3,5 В использованы миниатюрные регу- ключён кабель, второй конец которого обрезаются её минимумы, а верхушки
лируемые стабилизаторы с низким паде- подключён к двум вторичным обмоткам остаются). Автор проверял работоспо-
нием напряжения (Low Drop Out – LDO) (2 × ~4,5 В под нагрузкой) миниатюрного собность генератора с помощью ИП,
MIC5270BM5 (DA1) и MIC5235BM5 (DA2) тороидального трансформатора с макси- описанного в [2], при напряжениях
с максимальным током 100 мА и 150 мА мальным током 100 мА размером (D/H) питания от ±3,5 до ±16 В. В этом диа-

32 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ИНЖЕНЕРНЫЕ РЕШЕНИЯ

диапазоне напряжений питания гене-


ратор идеально работает и никаких
искажений обнаружено не было. Это
позволяет использовать этот ИП, если
тестируемое устройство имеет напря-
жения питания, отличные от ±3,5 В.
В этом случае генератор подключается
к дублирующему напряжения разъёму
РС4ТВ(В), а тестируемое устройство –
к клеммам ИП (см. [2]).
а б

Настройка генератора
Настройка генератора в основном
сводится к подбору лампы накалива-
ния. Наилучшие результаты как по ста-
бильности генерации, так и по качеству
сигнала для всех частот показала мини-
атюрная лампа СМН6-20-1 (с номиналь-
ным напряжением 6 В и током 20 мА).
Здесь необходимо особо отметить, что
в г
эти лампы имеют очень большой раз-
брос по сопротивлению в холодном Рис. 5. Разводка и внешний вид платы ИП со стороны компонентов для поверхностного (а, в) и
состоянии: приблизительно от 28 до навесного (б, г) монтажа
45 Ом. Оптимальные результаты (как
по стабильности амплитуды, так и по части платы снизу от подстроечного
«идеальности» синусоиды) получены резистора голубого цвета). Как можно
при сопротивлениях ламп в диапазоне убедиться, лампа не занимает много места
от 30 до 35 Ом. Измерять сопротивле- на плате (размер колбы лампы (d/h) все-
ние ламп в холодном состоянии нужно го 3×6 мм). Тем не менее это настоящая
только цифровым тестером из-за малого лампа накаливания: у неё есть вольфрамо-
тока, который он пропускает через рези- вая спираль и даже специальный крючок,
стор для измерения его сопротивления. поддерживающий спираль, чтобы она не
Стрелочный тестер для таких измере- провисала при нагреве. Кроме того, как и
ний не годится. Например, если попы- у обычной лампы накаливания, из её кол-
таться измерить сопротивление лампы бы откачан воздух.
стрелочным тестером ТЛ-4 на диапазо-
не 1 Ом, то через лампу потечёт такой Конструкция и внешний вид
ток, что она загорится (это можно очень устройств
легко увидеть), и её сопротивление, есте- Генератор собран в пластиковом кор-
ственно, возрастёт до 100 Ом и более. пусе размером 95×48×38 мм (G1068B) –
В схеме рис. 1 измеренное сопротив- рис. 6. Плата генератора прикручена к
ление лампы L1 в холодном состоянии днищу корпуса двумя винтами М2 впо-
составило 32,6 Ом. Поскольку сейчас тай и гайками через пластиковые стой-
стоимость лампы СМН6-20-1 не пре- ки высотой 3 мм. Кабель питания распо- Рис. 6. Генератор в открытом корпусе
вышает 2–3 руб/шт, имеет смысл при- ложен на днище корпуса; он пропущен
обрести с десяток таких ламп и выбрать через резиновую втулку, прижимаемую к лентой или 2–3 полосками обычной
из них одну с необходимым сопротив- днищу крышкой корпуса, в которой сде- изоленты. 12-проводные кабели также
лением (автор в своё время приобрёл 50 лано специальное углубление. Светоди- необходимо закрепить в 2–3 местах изо-
таких ламп ещё по стоимости 1 руб/шт). оды в специальных оправках, галетный лентой. Если этого не сделать и оставить
Помимо выбора лампы, возможна переключатель частот, тумблер, подклю- всё так, как показано на рис. 6, то на неко-
некоторая подстройка генератора вра- чающий сигнал к разъёму RCA и зажига- торых частотах могут появиться иска-
щением резистора R3. ющий жёлтый светодиод, и переменный жения (в основном это может быть низ-
Разводка и внешний вид плат резистор, регулирующий амплитуду, кочастотная амплитудная модуляция).
Разводка плат генератора и ИП сде- расположены на верхней части крыш- В связи с простотой процедуры фотогра-
лана автором с помощью программы ки корпуса, а разъёмы (RCA и 3,5 моно) – фия со скрученными кабелями не приво-
SprintLayOut 6.0. Файл разводки в фор- на торце крышки. Обе половины корпу- дится. Таким образом, выполнение выше-
мате *.lay6 приведён в дополнитель- са скручены саморезами, входящими в указанной процедуры является строго
ных материалах к статье на сайте комплект поставки корпуса. обязательным. В этом случае все сигна-
журнала. Рисунки разводки плат и их Здесь необходимо добавить, что все лы генератора частотой, соответствую-
фотографии приведены на рис. 4 и 5. 2- и 3-проводные кабели необходимо щей положению галетного переключа-
На рис. 4г можно увидеть лампу скрутить и закрепить от раскручива- теля частот (ГП1, рис. 1), и амплитудой,
СМН6-20-1 (она расположена в левой ния, например, обмотав их тонкой фум- соответствующей положению регулято-

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 33


ИНЖЕНЕРНЫЕ РЕШЕНИЯ

тор прикручен к стойке винтом М3 через Осциллограммы приведены в обла-


специальную чашку. Между трансформа- сти низких (рис. 9), средних (рис. 10) и
тором и чашкой установлена резиновая высоких (рис. 11) частот. Разрешение по
прокладка чуть больше размера чашки, горизонтали у всех осциллограмм раз-
а между трансформатором и днищем ное, поскольку на них показаны сиг-
корпуса также установлена резино- налы 7 различных частот. Это разре-
вая прокладка по размеру трансфор- шение указано в нижней правой части
матора. Эти две прокладки вырезаны осциллограмм надписью светло-серо-
из резинового бинта толщиной 0,5 мм. го цвета «Time …». Например, разреше-
Сетевой кабель пропущен через резино- ние по горизонтали на рис. 9а составляет
вую втулку, которая прижимается крыш- 10 мс/деление (надпись «Time 10.00ms»).
кой с углублением к днищу корпуса. Пла- Также в правой нижней части осцил-
Рис. 7. ИП в открытом корпусе та ИП укреплена вертикально. Разъём с лограмм указана частота в Гц или кГц
выходными напряжениями и тумблер, – надпись жёлтого цвета «Freq(1) = …».
включающий питание, расположены на Например, частота на рис. 9а составля-
торце крышки, а светодиоды в специаль- ет 25,38 Гц (надпись «Freq(1) = 25.38Hz»).
ных оправках – на верхней части крыш- Действующее значение напряжения сиг-
ки. Обе половины корпуса скручиваются нала (VRMS) показано в левой нижней
саморезами, которые поставляются вме- части осциллограмм надписью жёлто-
сте с корпусом. го цвета «VRMS(1) = …». Например, действу-
После подключения кабеля питания ющее значение напряжения на рис. 9а
генератора к ИП и включения тумбле- равно 705 мВ («VRMS(1) = 705mV»). На всех
ра на ИП генератор включается в рабо- осциллограммах автором установлена
ту (рис. 8). такая развёртка, чтобы на экране уме-
Для определения переключателя стилось как минимум 2 периода сигнала.
определённым частотам, на бумаге был Для определения синусоидально-
распечатан «лимб», который был выре- сти сигнала используют отношение
зан и приклеен на верхнюю поверх- действующего значения напряжения
ность корпуса генератора (вокруг (VRMS) к среднему за полупериод (VСР),
клювика переключателя). В допол- называемое коэффициентом формы
нительных материалах к статье этот KФ. Для чистой синусоиды KФ = 1,11.
лимб приведён в масштабе 1:1 в фор- Иногда синусоидальность оценивают
мате *.pdf. отношением амплитудного значения
(VAMP) к действующему (VRMS). Коэффи-
Результаты работы генератора циент КА = VAMP/VRMS называют коэффи-
На рис. 9–11 приведены осцилло- циентом амплитуды. Для чистой сину-
Рис. 8. Общий вид подключённого к ИП граммы сигналов генератора (жёлтого соиды VAMP больше VRMS в 2 раз, т.е. KА =
генератора в сборе цвета) и их спектры, подсчитанные по = 2 (≈ 1,414).
БПФ (fast Fourier transform – FFT), сире- В таблице приведены значения
ра амплитуды (R7, рис. 1), воспроизводят- невого цвета. На всех осциллограммах VAMP и VRMS, взятые из осциллограмм
ся идеально без каких-либо искажений. амплитуда сигналов установлена рав- рис. 9–11. Там же подсчитаны коэф-
ИП собран в пластиковом корпу- ной 1 В, т.е. размах от пика до пика, рав- фициент амплитуды KА и его относи-
се размером 64×44×32 мм (G1031B) – ный двойной амплитуде, составляет 2 В тельная погрешность в % по сравнению
рис. 7. Трансформатор укреплён на (надпись внизу в середине жёлтого цве- с числом 2 . Как видно из табл. 1, мак-
днище корпуса. Для этого использова- та «Vpp(1) = 2.00V»). Разрешение по вер- симальная погрешность, или, другими
на металлическая стойка с внутренней тикали для всех осциллограмм состав- словами, «несинусоидальность» сигна-
резьбой М3, которая прикручена к днищу ляет 500 мВ/деление (надпись «500mV» лов генератора не превышает 1 %.
корпуса винтом М3 впотай. Трансформа- в левом нижнем углу жёлтого цвета). Здесь необходимо добавить, что сами
значения VAMP и VRMS подсчитаны (осцил-
лографом) также с некоторой ошибкой.
Эта ошибка определяется дискретно-
стью (ступенчатостью) воспроизведе-
ния сигнала цифровым осциллографом,
что легко увидеть на любой осцил-
лограмме (рис. 9–11). Однако если
посмотреть на осциллограмму сигна-
ла генератора на обычном аналоговом
осциллографе, то там синусоида абсо-
лютно гладкая.
Кроме того, если посмотреть на
а б
спектры сигналов (сиреневого цвета,
Рис. 9. Осциллограммы сигналов генератора амплитудой 1 В в НЧ-области частотой: а – 25 Гц, б – 50 Гц рис. 9–11), то можно обнаружить сле-

34 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ИНЖЕНЕРНЫЕ РЕШЕНИЯ

а б в

Рис. 10. Осциллограммы сигналов генератора амплитудой 1 В в СЧ-области частотой: а – 500 Гц, б – 1 кГц, в – 2,2 кГц

дующее. Во-первых, в спектрах име-


ется единственный пик на основной
частоте. Никаких других пиков в спек-
трах больше нет, а пик достаточно
узок. Во-вторых, в спектрах отсутству-
ют какие-либо боковые лепестки (как,
например, у спектра прямоугольного
меандра). Всё это показывает, что сигнал
достаточно близок к синусоидальному.

а б
Заключение
Описанный генератор, на взгляд автора,
Рис. 11. Осциллограммы сигналов генератора амплитудой 1 В в ВЧ-области частотой: а – 18 кГц, лёгок, компактен, достаточно удобен для
б – 22 кГц настройки аудиоустройств и несложен в
изготовлении своими силами. Автор реко-
Таблица. Относительные ошибки коэффициента амплитуды DKА[%] мендует его для использования.

Частота VAMP[мВ] VRMS[мВ] KA = VAMP/VRMS DKА = 100 × |KA – |/


Литература
25 Гц 1000 705 1,418 0,31
1. Кузьминов А. Ю. Электронные устройства.
50 Гц 1000 709 1,410 0,25
Современные аппаратные и программ-
500 Гц 1000 707 1,414 0,03
ные средства. М.: Перо, 2021.
1 кГц 1000 706 1,416 0,17
2. Кузьминов А. Применение мощного ОУ
2,2 кГц 1000 704 1,420 0,46
LM1875T в новых (не аудио) приложе-
19 кГц 1000 707 1,414 0,03
ниях // Современная электроника. 2021.
22 кГц 1000 704 1,420 0,46
№ 7. С. 40–44.

НОВОСТИ МИРА

ЕВРОПЕ НЕ ПОД СИЛУ ДОСТИЧЬ Речь идёт о сумме примерно в 150 млрд регнать их (да хотя бы даже поравняться)
МИКРОПРОЦЕССОРНОГО долл. США – примерно столько, по свежим чрезвычайно сложной.
СУВЕРЕНИТЕТА оценкам европейских экспертов, необхо- Если в 1990-х страны, составляющие ныне
Когда в начале 2021 г. Еврокомиссии был димо, чтобы от нынешнего уровня разви- Евросоюз, выпускали около 40% всех потре-
официально представлен план развития ев- тия полупроводниковой индустрии в ЕС пе- блявшихся в них полупроводников, то сегодня
ропейской полупроводниковой индустрии – рейти к полному самообеспечению всеми эта доля не превышает 10%. И наиболее реа-
2030 Digital Compass, авторы соответствую- необходимыми союзу чипами. Более то- листичная цель плана 2030 Digital Compass, не
щего доклада смотрели в будущее довольно го, даже если желающие вложить такие подразумевающая столь заоблачных по раз-
оптимистично. Теперь же, под конец года, деньги в развитие европейских чипмей- мерам инвестиций, – к 2030-му увеличить эту
один из этих авторов, ныне комиссар по во- керов и найдутся, не факт, что построен- долю хотя бы вдвое. Напомним, что в ЕС нет
просам состязательности Маргрет Вестагер ные в итоге фабрики сумеют выпускать предприятий, которые выпускали бы смарт-
(Margrethe Vestager), настроена значитель- конкурентоспособную продукцию. Миро- фоны или ПК. Но Евросоюз не надеется до-
но менее позитивно. В интервью корреспон- вые лидеры индустрии полупроводников стичь микропроцессорного суверенитета да-
денту CNBS комиссар заявила: «Сумма, о – Intel, Samsung, TSMC – не только имеют же в области СБИС для оснащения автомо-
которой я слышала, объём прямых инве- уже значительную фору, но и в совокуп- билей, умных станков, бытовой электроники
стиций, который обеспечил бы полный су- ности инвестируют в дальнейшее разви- и прочих товаров, традиционно не относив-
веренитет в этом плане, – она делает зада- тие своих технологий около 30 млрд долл. шихся к разряду ИТ.
чу нереальной». ежегодно, что делает задачу догнать и пе- itbestsellers.ru

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 35


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Работа с последовательным интерфейсом SPI


в программной среде Proteus 8.11.
Часть 2
Татьяна Колесникова (beluikluk@gmail.com)
код управления передачей данных.
В статье рассматривается проектирование схем микроэлектронных Необходимо отметить, что програм-
устройств с использованием интерфейса SPI в Proteus на примере ма инициализации пишется как для
его реализации в микроконтроллерах AVR (семейства Mega) и STM32 ведущего, так и для обоих ведомых
(семейства Cortex-M3). Описаны особенности написания программного микроконтроллеров.
кода для инициализации интерфейса и работы с ним, а также Задачей мастера будет послать
моделирования схем, в которых проводится передача данных через SPI управляющий сигнал (кодовую ком-
между двумя и тремя устройствами, сконфигурированными как master и бинацию) сначала первому ведомому
slave. Выполнено отображение принятых ведомым устройством данных устройству, а затем второму. Переклю-
на экране виртуального терминала. С помощью осциллографа проведён чение между ведомыми устройствами
контроль входных/выходных сигналов, присутствующих на выводах выполняется путём установки веду-
устройств схемы. щим микроконтроллером логической
единицы на линии NSS (РА4) ведомых
микроконтроллеров. При этом при
Передача данных через вим в рабочее поле три таких микро- передаче данных по интерфейсу SPI1
интерфейс SPI между тремя схемы, два светодиода, два резистора между тремя микроконтроллерами в
микроконтроллерами Cortex-M3 (100 Ом), два символа «земли». При нашем примере этот сигнал выдаёт-
Рассмотрим процесс передачи этом микросхема DD1 будет выпол- ся на линии PА0, PА1 порта PА ведуще-
данных через интерфейс SPI меж- нять роль ведущего микроконтролле- го микроконтроллера. Задача каждого
ду несколькими микроконтроллера- ра, а микросхемы DD2 и DD3 – роль ведомого устройства – принять кодо-
ми Cortex-M3 на примере микросхем ведомых. Соединим компоненты, как вую комбинацию, после чего запустить
STM32F103C4, для чего создадим в показано на рис. 14, и напишем на язы- цикл, в котором выполняется последо-
Proteus новый схемный проект и доба- ке программирования С программный вательное включение и выключение
светодиода.
Для удобства соединения в рабочей
области проекта отразим по горизон-
тали микросхему DD1. В окне настро-
ек Edit Component для каждого микро-
контроллера в поле Crystal Frequency
установим частоту работы 2 МГц. Кноп-
кой Hidden Pins для каждого микрокон-
троллера откроем окно Edit Hidden
Power Pins, где выполним согласова-
ние скрытых выводов питания и цепей
питания.
Напишем на языке программирова-
ния С следующий код программы ини-
циализации для ведущего микрокон-
троллера DD1:

#include <stm32f1xx.h> // подклю-


чение заголовочного файла

void delay (int dly) // подпро-


грамма формирования задержки
{ int i;
for(; dly>0; dly--)
for ( i=0; i<10000; i++); }

int main() // начало программы


{ RCC->APB2ENR |= RCC_APB2ENR_
Рис. 14. Моделирование передачи данных между тремя микроконтроллерами STM32F103C4 через SPI1EN; // включаем тактирование
интерфейс SPI в программной среде Proteus SPI1

36 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

// подсоединение линий порта РА // отсылаем кодовую комбинацию SPI1->CR1 = (1<<6) | (0<<2); //


к шине APB2 для второго ведомого микроконтрол- включаем SPI, режим работы Slave
RCC->APB2ENR |= RCC_APB2ENR_ лера (ведомый)
IOPAEN; SPI1->DR = 0b11111110; delay(10);
} while (1) // бесконечный цикл
// настройка линий РА5 (SCK), PA6 { while(!(SPI1->SR & SPI_SR_
(MISO), PA7 (MOSI), РА0-РА4 Для ведомого микроконтроллера DD2 RXNE)) { } // ждём данные в буфере
// порта РА ведущего микрокон- был написан следующий код програм- приёмника SPI
троллера мы инициализации: if (SPI1->DR !=0b11111110) //
// биты CNF5, CNF7 = 10 (цифро- если кодовая комбинация не получена
вой выход c альтернативной функ- #include <stm32f1xx.h> // подклю- GPIOB->ODR= (0<<0) ; // посылаем
цией), чение заголовочного файла на линию РВ0 порта PB лог.0
// биты MODE5, MODE7 = 11 (вывод else if (SPI1->DR==0b11111110)
данных с частотой переключения 50 void delay (int dly) // подпро- // если кодовая комбинация полу-
МГц), грамма формирования задержки чена
// биты CNF6 = 10 (вход с подтя- { int i; { while (1) // бесконечный цикл
гивающим резистором), for(; dly>0; dly--) {GPIOB->ODR=(1<<0); // включить
// биты MODE6 = 00 (приём данных), for ( i=0; i<10000; i++); } светодиод D1
// биты CNF0-CNF4 = 00 (цифро- delay(10); // задержка
вой выход), int main() { // начало программы GPIOB->ODR=(0<<0); // погасить
// биты MODE0-MODE4 = 11 (вывод RCC->APB2ENR |= RCC_APB2ENR_ светодиод D1
данных с частотой переключения SPI1EN; // включаем тактирование delay(10); }}}}
50 МГц) SPI1
GPIOA->CRL =0xb8b33333; // подсоединение линий порта РА Код программы инициализации для
к шине APB2 ведомого микроконтроллера DD3:
// конфигурация SPI1 RCC->APB2ENR |= RCC_APB2ENR_
SPI1->CR1 = (0<<11) // формат IOPAEN; #include <stm32f1xx.h> // подклю-
кадра данных 8 бит // подсоединение линий порта РB чение заголовочного файла
| (0<<7) // направление передачи к шине APB2
младшим разрядом вперёд RCC->APB2ENR |= RCC_APB2ENR_ void delay (int dly) // подпро-
| (1<<9) // включаем программное IOPBEN; грамма формирования задержки
управление сигналом NSS { int i;
| (1<<8) // NSS в высоком состо- // настройка линий PA4 (NSS), РА5 for(; dly>0; dly--)
янии (SCK), PA6 (MISO), PA7 (MOSI), РА0- for ( i=0; i<10000; i++); }
| (1<<5)|(0<<4)|(0<<3) // ско- РА3
рость передачи данных: F_PCLK/32 // порта РА первого ведомого int main() { // начало программы
| (1<<2) // режим работы Master микроконтроллера RCC->APB2ENR |= RCC_APB2ENR_
(ведущий) // биты CNF4, CNF5, CNF7 = 10 SPI1EN; // включаем тактирование
| (0<<1)|(0<<0) // полярность (0) (вход с подтягивающим резистором), SPI1
и фаза тактового сигнала (0) // биты MODE4, MODE5, MODE7 = 11 // подсоединение линий порта РА
| (1<<6); // включаем SPI (приём данных), к шине APB2
// биты CNF6 = 10 (цифровой выход RCC->APB2ENR |= RCC_APB2ENR_
// выбираем для передачи данных c альтернативной функцией), IOPAEN;
по SPI // биты MODE6 = 00 (вывод данных // подсоединение линий порта РB
// первый ведомый микроконтрол- с частотой переключения 50 МГц), к шине APB2
лер (лог. 1 на линии РА0) // биты CNF0-CNF3 = 00 (цифро- RCC->APB2ENR |= RCC_APB2ENR_
GPIOA->ODR=(1<<0)|(0<<1); вой выход), IOPBEN;
while(!(SPI1->SR & SPI_SR_TXE)) { // биты MODE0-MODE3 = 11 (вывод // настройка линий РА0-РА7 пор-
} // после установки в 1 флага TXE данных с частотой переключения 50 та РА второго ведомого микрокон-
регистра SPI1_SR МГц) троллера
// отсылаем кодовую комбинацию GPIOA->CRL =0x8b883333; GPIOA->CRL =0x8b883333;
для первого ведомого микроконтрол- // настройка линий РВ0-РВ7 пор- // настройка линий РВ0-РВ7 пор-
лера та РВ первого ведомого микрокон- та РВ второго ведомого микрокон-
SPI1->DR = 0b11111110; delay(10); троллера троллера
// выбираем для передачи данных // биты CNF0-CNF7 = 00 (цифро- GPIOB->CRL =0x33333333;
по SPI вой выход),
// второй ведомый микроконтрол- // биты MODE0-MODE7 = 11 (вывод // конфигурация SPI1
лер (лог.1. на линии РА1) данных с частотой переключения SPI1->CR1 = (1<<6) | (0<<2); //
GPIOA->ODR=(0<<0)|(1<<1); 50 МГц) включаем SPI, режим работы Slave
while(!(SPI1->SR & SPI_SR_TXE)) { GPIOB->CRL =0x33333333; (ведомый)
} // после установки в 1 флага TXE
регистра SPI1_SR // конфигурация SPI1 while (1) // бесконечный цикл

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 37


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

а б

Рис. 15. Передача данных между тремя устройствами через интерфейс


SPI. Вкладка Source Code, код программы инициализации: ведущего
микроконтроллера (а), первого ведомого микроконтроллера (б), второго Рис. 16. Осциллограмма работы светодиодов D1 и D2, подключённых к
ведомого микроконтроллера (в) ведомым микроконтроллерам STM32F103C4

{while(!(SPI1->SR & SPI_SR_RXNE)) граммным путём были даны указания микросхемы DD2 логический ноль, что
{ } // ждём данные в буфере при- ведущему микроконтроллеру через активизирует интерфейс SPI1 микро-
ёмника SPI интерфейс SPI1 отправить каждому схемы DD3 и делает неактивным интер-
if (SPI1->DR !=0b11111110) // ведомому микроконтроллеру кодовую фейс SPI1 микросхемы DD2.
если кодовая комбинация не получена комбинацию. Это действие выполня- Если ведомое устройство выбра-
GPIOB->ODR= (0<<0) ; // посылаем ется последовательно. Сначала веду- но ведущим, то программа ведомого
на линию РВ0 порта PB лог.0 щий микроконтроллер через линию микроконтроллера выводит на линию
else if (SPI1->DR==0b11111110) // PА0 своего порта PА подаёт на линию РВ0 порта PВ логический ноль, в резуль-
если кодовая комбинация получена NSS (РА4) микросхемы DD2 логиче- тате чего подключённый к порту све-
{while (1) // бесконечный цикл скую единицу, а через линию PА1 тодиод будет погашен. Как только по
{GPIOB->ODR=(1<<0); // включить на линию NSS (РА4) микросхемы интерфейсу SPI1 получена кодовая ком-
светодиод D2 DD3 логический ноль, что оповеща- бинация от ведущего микроконтролле-
delay(10); // задержка ет первое ведомое устройство о том, ра, запускается подпрограмма, дающая
GPIOB->ODR=(0<<0); // погасить что именно оно выбрано для обме- указания ведомому микроконтроллеру
светодиод D2 на данными с ведущим по интер- запустить цикл, в котором последова-
delay(10); }}}} фейсу SPI, активизирует интерфейс тельно выводятся на линию порта PВ0
SPI1 микросхемы DD2 и делает неак- значения логической 1 и 0. Эти значе-
Код программы инициализации вво- тивным интерфейс SPI1 микросхе- ния удерживаются при помощи коман-
дится на вкладке Source Code схемного мы DD3. ды задержки.
редактора на отдельной закладке для После задержки ведущий микрокон- После запуска моделирования при
каждого микроконтроллера (см. рис. 15). троллер через линию PА1 своего порта помощи двух светодиодов, подклю-
Проанализируем работу демонстра- PА подаёт на линию NSS (РА4) микро- чённых к линиям PВ0 порта PВ ведо-
ционной схемы, представленной на схемы DD3 логическую единицу, а мых микроконтроллеров DD2 и DD3,
рис. 14. На вкладке Source Code про- через линию PА0 на линию NSS (РА4) мы можем проверить правильность

38 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

работы программы – светодиоды под-


свечиваются и гаснут поочерёдно,
что наглядно демонстрирует осцил-
лограмма, представленная на рис. 16.
В момент времени, когда на выводе
PВ0 микроконтроллера DD2 едини-
ца, на выводе PВ0 микроконтроллера
DD3 – ноль.

Работа с SPI
в микроконтроллерах Mega
в Proteus
Передача данных через
интерфейс SPI между двумя Рис. 17. Демонстрационная схема с использованием двух микроконтроллеров ATmega16
микроконтроллерами AVR и светодиодов
Рассмотрим процесс передачи дан-
ных между двумя микроконтролле-
рами AVR на примере микросхемы
ATmega16, для чего создадим в редак-
торе Schematic Capture новый про-
ект и добавим в его рабочее поле две
микросхемы ATmega16, два светоди-
ода, два резистора (100 Ом), два сим-
вола «земли» и соединим компонен-
ты, как показано на рис. 17. Напишем
на языке программирования С про-
граммный код управления передачей
данных. Необходимо отметить, что
программа инициализации пишет-
ся как для ведущего, так и для ведо-
мого микроконтроллера. Определим
микроконтроллер DD1 как ведущий, а
микроконтроллер DD2 как ведомый.
При этом задачей ведущего контрол-
лера будет послать управляющий сиг-
нал (кодовую комбинацию), задачей
ведомого – принять его и последова-
тельно включить и выключить оба
светодиода. Для удобства соединения
можно отразить в рабочей области
микросхему DD1, для чего выделим Рис. 18. Настройка параметров микроконтроллера ATmega16 при передаче данных между двумя
её при помощи левой кнопки мыши, устройствами через интерфейс SPI
при помощи правой кнопки мыши
вызовем контекстное меню и выбе- ● поле Boot Loader Size – (00) 1024 регистра SPCR, пятый бит (DORD) зада-
рем в нём пункт X-Mirror. В резуль- words. Starts at 0x1C00; ёт порядок передачи данных, а четвёр-
тате микросхема будет отражена по ● поле SUT Fuses – (01); тый бит (MSTR) этого регистра опреде-
горизонтали в рабочем поле проекта. ● поле Advanced Properties – Clock ляет режим работы интерфейса.
В таком положении выводы PB4/SS, Frequency (Default). Перед выполнением передачи дан-
PB5/MOSI, PB6/MISO, PB7/SCK обеих Окно настроек можно открыть при ных необходимо, прежде всего, разре-
микросхем соединить намного про- помощи двойного щелчка левой кноп- шить работу модуля SPI. Для этого сле-
ще, при этом соединительные линии кой мыши по выбранному на схеме дует установить в единицу шестой бит
на схеме будут короче. микроконтроллеру. регистра SPCR. Режим работы опре-
В окне настроек Edit Component В модуле SPI имеется три регистра деляется состоянием четвёртого бита
для каждого микроконтроллера ввода/вывода: этого регистра: если бит установлен в 1,
установим следующие параметры ● SPDR – регистр данных, содержит по- микроконтроллер работает в режиме
(см. рис. 18): сылаемый или принятый байт данных; Master, если сброшен в 0 – в режиме
● поле CKOPT (Oscillator Options) – (1) ● SPCR – регистр управления, опреде- Slave. Программно (на языке програм-
Unprogrammed; ляет функционирование модуля SPI; мирования С) эти действия можно реа-
● поле BOOTRST (Select Reset Vector) – ● SPSR – регистр состояния, отобража- лизовать следующим образом:
(1) Unprogrammed; ет состояние модуля SPI. SPCR=0b01010000; // установка
● поле CKSEL Fuses – (0010) Int.RC Включение/выключение SPI выпол- битов регистра SPCR ведущего микро-
2MHz; няется установкой шестого бита (SPE) контроллера

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 39


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

PORTD=0b00000000; // посылаем на
все линии порта PD - 0
else if (SPDR==0b11111110) //
если кодовая комбинация получена
{PORTD=0b00000001; // включить
светодиод D1
_delay_ms(1000); // задержка в
1 секунду
PORTD=0b10000000; // погасить
светодиод D1 и включить светоди-
од D2
_delay_ms(1000); } // задержка в
1 секунду
Рис. 19. Процесс моделирования проекта передачи данных между двумя микроконтроллерами }}
ATmega16 через интерфейс SPI в программной среде Proteus
После того как в рабочей области
SPCR=0b01000000; // установка #include <avr/interrupt.h> проекта собрана схема, а на вкладке
битов регистра SPCR ведомого микро- #include <avr/sleep.h> Source Code для каждого микрокон-
контроллера #include <util/delay.h> троллера (ведомого и ведущего) вве-
Передача данных осуществляет- int main() дён код программы, кнопкой Run the
ся следующим образом. При записи в { simulation можно запускать модели-
регистр данных SPI ведущего микро- PORTB=0b00000000; // инициализа- рование (см. рис. 19). В результате, в
контроллера запускается генератор ция порта PB микросхемы DD1 том случае, если компилятор не обна-
тактового сигнала модуля SPI. Дан- DDRB=0b10110000; // указываем ружит ошибок в программе, на диске
ные начинают побитно выдаваться на направление передачи информации по компьютера в рабочей папке проек-
вывод MOSI устройства Master и, соот- линиям порта та будут созданы файлы *.elf , *.hex и
ветственно, поступать на вывод MOSI // линии SS, MOSI, SCK установ- *.c. Для компиляции кода программы,
устройства Slave. Порядок передачи лены как выходы написанного на языке программиро-
битов данных определяется состоя- SPCR=0b01010011; // инициализа- вания С, в Proteus применяется компи-
нием пятого бита регистра SPCR. Если ция SPI лятор WinAVR.
бит установлен в 1, первым передаётся SPSR=0b00000000; Проанализируем работу демонстра-
младший бит байта, если же сброшен SPDR=0b11111110; // отсылаем ционной схемы, представленной на
в 0 – старший бит. кодовую комбинацию для ведомого рис. 19. На вкладке Source Code про-
Частота тактового сигнала SCK и микроконтроллера граммным образом были даны ука-
соответственно скорость передачи return 0; зания ведущему микроконтролле-
данных по интерфейсу определя- } ру через интерфейс SPI отправить
ются состоянием первого и нулево- ведомому микроконтроллеру кодо-
го битов (SPR1:SPR0) регистра SPCR Для ведомого микроконтроллера был вую комбинацию. Программа ведо-
и нулевого бита (SPI2X) регистра SPSR написан следующий код программы мого микроконтроллера выводит на
ведущего микроконтроллера, так как инициализации: линии порта PD все нули, в результате
именно он является источником так- чего два подключённых к порту све-
тового сигнала. Для ведомого микро- #include <inttypes.h> тодиода будут погашены. Как только
контроллера состояние этих битов не #include <avr/io.h> по интерфейсу SPI получена кодовая
имеет значения. Программно частоту #include <avr/interrupt.h> комбинация от ведущего микрокон-
тактового сигнала ведущего микро- #include <avr/sleep.h> троллера, запускается подпрограм-
контроллера можно задать следую- #include <util/delay.h> ма, которая даёт команду ведомому
щим образом: int main() микроконтроллеру вывести на линии
SPCR=0b01010011; { PD0 и PD7 значения логической 1 и
SPSR=0b00000000; PORTB=0b00000000; // инициализа- 0 соответственно, которые удержи-
Здесь мы установили первый и нуле- ция порта PB микросхемы DD2 ваются на этих линиях при помощи
вой бит регистра SPCR в единицу и DDRB=0b00000000; // линии порта команды задержки. Затем на линии
нулевой бит регистра SPSR в ноль, что PB работают как входы PD0 и PD7 выводятся значения логи-
приведёт к установке следующей часто- PORTD=0b00000000; // инициализа- ческого 0 и 1 соответственно, после
ты сигнала SCK: fCLK/128, где fCLK – ция порта PD чего (после задержки) выполнение
тактовая частота микроконтроллера. DDRD=0b11111111; // линии порта этого фрагмента программы повто-
Напишем на языке программирова- PD работают как выходы ряется. После запуска моделирова-
ния С следующий код программы ини- SPCR=0b01000011; // инициализа- ния при помощи двух светодиодов,
циализации для ведущего микрокон- ция SPI подключённых к линиям PD0 и PD7,
троллера: while (1) // бесконечный цикл мы можем проверить правильность
{ работы программы – светодиоды под-
#include <inttypes.h> if (SPDR!=0b11111110) // если свечиваются и гаснут поочерёдно (см.
#include <avr/io.h> кодовая комбинация не получена рис. 19).

40 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Рис. 20. Процесс моделирования проекта передачи данных между тремя


микроконтроллерами ATmega16 через интерфейс SPI в программной Рис. 21. Настройка параметров микроконтроллера ATmega16 при
среде Proteus передаче данных между тремя устройствами через интерфейс SPI

Передача данных через горизонтали микросхему DD1. SPSR=0b00000000;


интерфейс SPI между тремя В окне настроек Edit Component PORTB=0b00000010; // выбираем для
микроконтроллерами AVR для каждого микроконтроллера передачи данных по SPI первый ведо-
Рассмотрим процесс передачи установим следующие параметры мый МК
данных через интерфейс SPI между (см. рис. 21): SPDR=0b11111110; // отсыла-
несколькими микроконтроллерами ● поле CKOPT (Oscillator Options) – (1) ем кодовую комбинацию для первого
ATmega16, для чего создадим новый Unprogrammed; ведомого МК
схемный проект и добавим в рабочее ● поле BOOTRST (Select Reset Vector) – _delay_ms(1000); // задержка
поле три таких микросхемы, два све- (1) Unprogrammed; PORTB=0b00000001; // выбираем для
тодиода, два резистора (100 Ом), два ● поле CKSEL Fuses – (0001) Int.RC передачи данных по SPI второй ведо-
символа «земли». При этом микросхе- 1MHz; мый МК
ма DD1 будет выполнять роль ведуще- ● поле Boot Loader Size – (00) 1024 SPDR=0b11111110; // отсыла-
го микроконтроллера, а микросхе- words. Starts at 0x1C00; ем кодовую комбинацию для второго
мы DD2 и DD3 – ведомых. Соединим ● поле SUT Fuses – (00); ведомого МК
компоненты, как показано на рис. 20, ● поле Advanced Properties – Clock _delay_ms(1000);
и напишем на языке программирова- Frequency (Default). return 0;
ния С программный код управления Окно настроек открывают двой- }
передачей данных. Программа ини- ным щелчком левой кнопки мыши по
циализации пишется как для ведуще- выбранному на схеме микроконтрол- Для ведомого микроконтроллера DD2
го, так и для обоих ведомых микро- леру. был написан следующий код програм-
контроллеров. Напишем на языке программирова- мы инициализации:
Задача ведущего контроллера – ния С следующий код программы ини-
послать управляющий сигнал (кодо- циализации для ведущего микрокон- #include <inttypes.h>
вую комбинацию) сначала первому троллера: #include <avr/io.h>
ведомому, а затем – второму. Переклю- #include <avr/interrupt.h>
чение между ведомыми выполняется #include <inttypes.h> #include <avr/sleep.h>
путём установки ведущим микрокон- #include <avr/io.h> #include <util/delay.h>
троллером логического нуля на линии #include <avr/interrupt.h> int main()
SS ведомых микроконтроллеров. При #include <avr/sleep.h> {
передаче данных по интерфейсу SPI #include <util/delay.h> PORTB=0b00000000; // инициализа-
между тремя микроконтроллерами в int main() ция порта PB микросхемы DD2
нашем примере этот сигнал выдаётся { DDRB=0b00000000; // линии порта
на линии PB0, PB1 порта PB ведуще- PORTB=0b00000000; // инициализа- PB работают как входы
го микроконтроллера. Задача каждо- ция порта PB микросхемы DD1 PORTD=0b00000000; // инициализа-
го ведомого – принять кодовую ком- DDRB=0b10100011; // указываем ция порта PD микросхемы DD2
бинацию, после чего запустить цикл, в направление передачи информации по DDRD=0b11111111; // линии порта
котором выполняется последователь- линиям порта PD работают как выходы
ное включение и выключение свето- // линии MOSI, SCK, PB0, PB1 уста- SPCR=0b01000011; // инициализа-
диода. новлены как выходы ция SPI
Для удобства соединения в рабо- SPCR=0b01010011; // инициализа- while (1) // бесконечный цикл
чей области проекта отразим по ция SPI {

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 41


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

данными с ведущим устройством по


интерфейсу SPI, активизирует интер-
фейс SPI микросхемы DD2 и делает
неактивным интерфейс SPI микро-
схемы DD3.
После задержки ведущий микрокон-
троллер через линию PB1 своего пор-
та PB подаёт на линию SS микросхемы
DD3 логический ноль, а через линию
PB0 на линию SS микросхемы DD2 –
логическую единицу, что активизирует
интерфейс SPI микросхемы DD3 и дела-
ет неактивным интерфейс SPI микро-
схемы DD2.
Если ведомое устройство выбра-
но ведущим, то программа ведомо-
го микроконтроллера выводит на
линии порта PD все нули, в резуль-
тате чего подключённый к пор-
Рис. 22. Осциллограмма работы светодиодов D1 и D2, подключённых к ведомым ту светодиод будет погашен. Как
микроконтроллерам ATmega16 только по интерфейсу SPI получе-
на кодовая комбинация от ведуще-
if (SPDR!=0b11111110) // если else if (SPDR==0b11111110) // го микроконтроллера, запускает-
кодовая комбинация не получена если кодовая комбинация получена ся подпрограмма, дающая указания
PORTD=0b00000000; // посылаем на {PORTD=0b00000001; // включить ведомому микроконтроллеру запу-
все линии порта PD - 0 светодиод D2 стить цикл, в котором последова-
else if (SPDR==0b11111110) // _delay_ms(1000); // задержка тельно выводятся на линию порта
если кодовая комбинация получена PORTD=0b10000000; // погасить PD0 значения логической 1 и 0. Эти
{PORTD=0b00000001; // включить светодиод D2 значения удерживаются при помо-
светодиод D1 _delay_ms(1000); } // задержка щи команды задержки.
_delay_ms(1000); // задержка }} После запуска моделирования при
PORTD=0b10000000; // погасить помощи двух светодиодов, подклю-
светодиод D1 Код программы инициализации вво- чённых к линиям PD0 порта PD ведо-
_delay_ms(1000); } // задержка дится на вкладке Source Code схемного мых микроконтроллеров DD2 и DD3,
}} редактора на отдельной закладке для мы можем проверить правильность
каждого микроконтроллера. После работы программы – светодиоды под-
Код программы инициализации для того как в рабочей области проекта свечиваются и гаснут поочерёдно,
ведомого микроконтроллера DD3: собрана схема, а на вкладке Source что наглядно демонстрирует осцил-
Code введён код программы, можно лограмма, представленная на рис. 22.
#include <inttypes.h> запускать моделирование. В резуль- В момент времени, когда на выводе
#include <avr/io.h> тате, если компилятор не обнаружит PD0 микроконтроллера DD2 едини-
#include <avr/interrupt.h> ошибки в программе, на диске ком- ца, на выводе PD0 микроконтролле-
#include <avr/sleep.h> пьютера в рабочей папке проекта ра DD3 – ноль.
#include <util/delay.h> будут созданы для каждого микрокон-
int main() троллера файлы *.elf , *.hex и *.c. Литература
{ Проанализируем работу демон- 1. STM32F103х4, STM32F103х6 MCU
PORTB=0b00000000; // инициализа- страционной схемы, представлен- Datasheet. STMicroelectronics. 2009.
ция порта PB микросхемы DD3 ной на рис. 20. На вкладке Source 2. Proteus VSM Help. Labcenter Electronics.
DDRB=0b00000000; // линии порта Code программно были даны ука- 2020.
PB работают как входы зания ведущему микроконтролле- 3. STM32F101xx, STM32F102xx, STM32F103xx,
PORTD=0b00000000; // инициализа- ру через интерфейс SPI отправить STM32F105xx and STM32F107xx advanced
ция порта PD микросхемы DD3 каждому ведомому микроконтрол- ARM-based 32-bit MCUs. Reference manual.
DDRD=0b11111111; // линии порта леру кодовую комбинацию. Это дей- STMicroelectronics. 2010.
PD работают как выходы ствие выполняется последовательно. 4. Колесникова Т. Работа с универсальным
SPCR=0b01000011; // инициализа- Сначала ведущий микроконтрол- синхронно/асинхронным приёмо-пере-
ция SPI лер через линию PB0 своего порта датчиком USART в программной среде
while (1) // бесконечный цикл PB подаёт на линию SS микросхемы Proteus 8.11 // Современная электрони-
{ DD2 логический ноль, а через линию ка. 2021. № 8. С. 34.
if (SPDR!=0b11111110) // если PB1 на линию SS микросхемы DD3 5. 8-bit AVR Microcontroller with 16K
кодовая комбинация не получена логическую единицу, что оповеща- Bytes In-System Programmable
PORTD=0b00000000; // посылаем на ет первое ведомое устройство о том, Flash. ATmega16, ATmega16L. Atmel
все линии порта PD - 0 что именно оно выбрано для обмена Corporation. 2010.

42 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Монтаж компонентов и связанные с ним технологии.


Подсистема ГРИФ-4 – Монтаж.
Часть 2
Юрий Ёлшин (elshin.juri@yandex.ru)
Каждая папка содержит файлы раз-
В статье приводится описание подсистемы формирования исходной личных представлений компонентов,
информации для реализации этапа подготовки данных для монтажа используемых в текущем проекте. Для
компонентов на печатной плате и собственно этапа монтажа примера рассмотрим папку, первую на
компонентов на основе этих данных. Программное обеспечение этих рис. 2 – 4229.132-3-F. В ней содержат-
этапов реализовано в рамках ГРИФ-4 – «Информационно-программного ся 3 файла.
комплекса расширения функционала САПР P-CAD 200X». Первый в списке файл 4229.132-3.pcb
содержит чертежи корпуса микросхе-
мы в разных проекциях: в верхней
Модуль FormHeap01.exe предназна- Эти виды содержат данные о контуре части чертежа – вид сбоку, в нижней
чен для формирования каталога фай- платы и размещении всех перечислен- части – вид сверху на компонент (ниж-
лов с чертежами установки (монтажа) ных на рис. 2 компонентов на этих сло- няя часть совмещена с видом посадоч-
компонентов, применённых в данном ях с их позиционными обозначениями. ного места компонента). Распечатка
проекте. Начальный диалог этой про- Сразу отметим, что общее число компо- этого файла показана на рис. 4. Фор-
граммы приведён на риc. 1. нентов различного типа (с точки зре-
Данный модуль формирует папку с име- ния конструкции посадочных типов)
нем, например, ВУИА.468172.045СБ_л.01, в данном примере 32, но фактически, Таблица 1
которая содержит папки и отдельные с точки зрения доработки их для мон- НР ПРОЧИЕ ИЗДЕЛИЯ
файлы, необходимые для выполнения тажа, всего 10. Остальные 22 монтиру- НЭ МИКРОСХЕМА
ПН 5600ВВ3Т
монтажных работ. Результат работы ются элементарной их установкой по ТУ АЕНВ.431290.084ТУ
программы FormHeap01 приведён ниже виду на сборочном чертеже платы. При КЛ 1
ПР 3
(cм. рис. 2). работе модуля их можно показать раз- ТТ 4229.132-3.pcb
Как следует из рисунка 2, в спроек- дельно. На рис. 3 продемонстрированы ПЧ
ВЫС 3.8
тированной печатной плате исполь- файлы, необходимые монтажнику для АБД Глухов А.Н.
зовано 32 разных типа компонентов, установки компонентов, представлен-
перечисленных в алфавитном поряд- ные в различных форматах.
ке, начиная с папки компонента с име- Таблица 2
нем 4229.132-3-F и заканчивая пап- НР1 ДЕТАЛИ
кой с компонентом с именем TRS-LS5. НЭ1 Радиатор
ДН1 ВУИА.752694.025-01
Далее содержатся два файла с деци- ФТ1 А3
мальными номерами сборочного чер- КЛ1 1
ПР1 1
тежа ячейки – лист 03 и лист 04, кото-
НР2 СТАНДАРТНЫЕ ИЗДЕЛИЯ
рые содержат чертежи расположения
НЭ2 Винт
компонентов на слоях TOP и BOTTOM ПР2 2
ТУ2 ГОСТ 17473-80
соответственно.
ПН2 M3-6gх10.36.016
КЛ2 1
НР3 СТАНДАРТНЫЕ ИЗДЕЛИЯ
НЭ3 Шайба
ПР3 2
ТУ3 ГОСТ 10450-78
ПН3 С 3.04.016
КЛ3 1
НР4 СТАНДАРТНЫЕ ИЗДЕЛИЯ
НЭ4 Шайба
ПР4 4
ТУ4 ГОСТ 6402-70
ПН4 3 65Г 016
КЛ4 1

Рис. 1. Начальный диалог программы Рис. 2. Результат работы программы НР5 СТАНДАРТНЫЕ ИЗДЕЛИЯ
НЭ5 Гайка шестигранная нормальная
FormHeap01 FormHeap01 ПР5 2
ТУ5 ГОСТ ISO-4032
ПН5 M3-6-А2А
КЛ5 1
НР6 Детали
НЭ6 Прокладка (для микросхем 1335ЕН5П и 1335ЕР1П)
ДН6 ВУИА.741124.985
ФТ6 А4
Рис. 3. Файлы, необходимые монтажнику для установки компонентов, представленные КЛ6 1
ПР6 1
в различных форматах

44 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

мат файла соответствует формату дан-


ных о плате в САПР P-CAD 2006.
Второй в списке файл
4229.132-3.nga содержит тексто-
вую информацию о компонен-
те 4229.132-3, распечатка которого
приведена ниже (см. табл. 1). Здесь
метка НР обозначает наименова-
ние раздела в спецификации ячей-
ки. Метка НЭ – наименование типа
элемента в БД ГРИФ-4. Метка ПН –
полное наименование компонента
в БД. Метка ТУ – наименование тех-
нических условий компонента. КЛ – Рис. 5. Трёхмерное представление компонента
количество, ПР – примечание, ТТ – код в пиксельном формате
посадочного места компонента, ВЫС –
высота компонента относительно
поверхности платы после её монта-
жа, АБД – фамилия сотрудника адми-
нистрации БД, который осуществил Рис. 4. Чертёж формовки выводов и установки
ввод данного компонента в БД. компонента на плате
Фактически здесь содержится
паспорт ячейки. При наличии в соста- Заключение
ве компонента дополнительных кре- Как и следовало ожидать, разработан-
пёжных или иных деталей этот спи- ная новая методика выполнения техно-
сок содержит продолжение с прочими логических этапов проектирования и
данными о деталях. Как видно из это- изготовления печатных плат, а именно
го файла, данный компонент не имеет этапов монтажа компонентов на печат-
дополнительных деталей. ной плате, вызывает активный протест
Однако в целях иллюстрации ниже предполагаемых участников его реали-
приведён пример распечатки фай- зации новым способом в значитель-
ла дополнительной неграфической ной мере из-за нарушения привычного Рис. 6. 3D-чертёж печатной платы после
атрибутики для микросхемы 1335ЕН5П метода работы, установленного уста- монтажа компонентов на печатной плате
(см. табл. 2). ревшими ГОСТ. В этом случае автор какой-либо информации об аналогич-
Третий в списке файл 4229.132-3. полностью согласен с требованиями ной разработке в рамках отечествен-
jpg содержит информацию о трёх- ГОСТ Р МЭК 61191-1-2017, пункт 4.5 ных и зарубежных САПР печатных
мерной модели компонента, а имен- «Требования к управлению технологи- плат. Возможно, это просто невезение!
но – картинку в пиксельном формате ческим процессом». Разумеется, практи- Хотелось бы услышать о подобных раз-
3DМ-компонента (см. рис. 5). Этот файл ка покажет, кто прав. Главное состоит работках и примерах их успешной экс-
выполняет вспомогательную функцию, в том, что, по мнению автора, предла- плуатации.
чисто иллюстративную. гаемый состав документов и сама тех-
Все перечисленные файлы могут нология работы позволяют повысить Литература:
быть распечатаны на любом принтере. производительность труда конструк- 1. Ёлшин Ю.М. Гриф-4. Информационно-
При подготовке всех проектных файлов тора ячейки и монтажника и практи- программный комплекс расширения
программа FormHeap01.exe дополни- чески не допускать ошибок при монта- функционала САПР P-CAD 200x: моно-
тельно формирует файл FormHeap01. же компонентов. Особый эффект будет графия. М.: ПАО «НПО «Алмаз», 2017.
err, который содержит протокол кон- получен при наличии на его рабочем 496 с.: ил.
троля корректности подготовленного месте компьютера, который позволит 2. Андреев Г.И., Созинов П.А., Тихомиров В.А.
документа. Этот файл заводу-изготови- получать все необходимые вспомога- Управленческие решения при проек-
телю не передаётся. Однако этот прото- тельные данные из файлов, поступаю- тировании радиотехнических систем:
кол необходим конструктору, который щих из отделов, прямо на его рабочем монография / под. ред. П.А. Созинова. М.:
формирует документы для монтажа. Его месте. При отсутствии компьютера воз- Радиотехника, 2018. 560 с. (научная серия
содержимое позволяет устранить про- можно получение всех необходимых «Принятие решений в управлении»).
белы в строках, что говорит об отсут- распечаток на специально установлен-
ствии отдельных необходимых ком- ном компьютере общего пользования Список рекомендуемых
понентов в базе данных подсистемы в монтажно-сборочном цехе. стандартов для монтажа
ГРИФ-4, и повторно запустить програм- Данный программный комплекс компонентов на печатной плате:
му FormHeap01.exe. пока не прошёл опытной эксплуата- 1. ГОСТ Р 53386-2009 «Платы печатные. Тер-
На рисунке ниже в качестве приме- ции. Однако представляется, что сама мины и определения».
ра представлен 3D-чертёж печатной идея будет доведена до рабочего состо- 2. ГОСТ IEC 61188-5-8-2013 «Печатные пла-
платы после монтажа компонентов на яния, а попытки её реализации окажут- ты и печатные узлы. Проектирование и
печатной плате (см. рис. 6). ся полезными. Автор не обнаружил применение. Часть 5–8. Общие требова-

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 45


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

ния. Анализ соединений (посадочные 5. ГОСТ IEC 61188-5-5-2013 «Печатные пла- места для монтажа компонентов). Дис-
места для монтажа компонентов). Ком- ты и печатные узлы. Проектирование и кретные компоненты».
поненты с матрицей контактов (BGA, применение. Часть 5-5. Общие требова- 8. ГОСТ Р МЭК 61191-2-2017 «Печатные
FBGA, CGA, LGA)». ния. Анализ соединений (посадочные узлы. Часть 2. Поверхностный монтаж.
3. ГОСТ IEC 61188-5-6-2013 «Печатные пла- места для монтажа компонентов). Ком- Технические требования».
ты и печатные узлы. Проектирование и поненты с выводами в виде "крыла чай- 9. ГОСТ Р МЭК 61188-7-2017 «Печатные пла-
применение. Часть 5-6. Общие требова- ки" с четырёх сторон». ты и печатные узлы. Часть 7. Нулевая ори-
ния. Анализ соединений (посадочные 6. ГОСТ IEC 61188-5-3-2013 «Печатные пла- ентация электронных компонентов для
места для монтажа компонентов). Ком- ты и печатные узлы. Проектирование и создания библиотек САПР».
поненты с J-образными выводами с четы- применение. Часть 5-3. Общие требова- 10. ГОСТ Р МЭК 611-1-2017 «Часть 1. Поверх-
рёх сторон». ния. Анализ соединений (посадочные ностный монтаж и связанные с ним техно-
4. ГОСТ IEC 61188-5-4-2013 «Печатные пла- места для монтажа компонентов). Ком- логии. Общие технические требования».
ты и печатные узлы. Проектирование и поненты с выводами в виде "крыла чай- 11. ГОСТ Р МЭК 61191-3-2019 «Часть 3. Мон-
применение. Часть 5-4. Общие требова- ки» с двух сторон». таж в сквозные отверстия. Технические
ния. Анализ соединений (посадочные 7. ГОСТ IEC 61188-5-2-2013 «Печатные пла- требования».
места для монтажа компонентов). Ком- ты и печатные узлы. Проектирование и 12. ГОСТ Р МЭК 61191-4-2019 «Часть 4. Мон-
поненты с J-образными выводами с двух применение. Часть 5-2. Общие требова- таж компонентов. Технические требова-
сторон». ния. Анализ соединений (посадочные ния».

НОВОСТИ МИРА

YADRO ПОСТРОИТ КРУПНЕЙШИЙ


В РОССИИ ЗАВОД ПОЛНОГО
ЦИКЛА ПО ПРОИЗВОДСТВУ
РАДИОЭЛЕКТРОНИКИ
Группа российских технологических ком-
паний Yadro приступает к основной фазе
строительства в Дубне крупнейшего в Рос-
сии завода полного цикла по производству
радиоэлектронной продукции, передаёт кор-
респондент ТАСС с церемонии старта ос-
новного этапа строительства завода.
Предприятие сможет выпускать до
1 млн единиц техники в год, производствен-
ные мощности будут также доступны дру- тах» – отметил министр цифрового разви- ля российских производителей на рынке.
гим отечественным производителям. Про- тия, связи и массовых коммуникаций РФ Только по многослойным крупным печат-
ект нацелен на создание производствен- Максут Шадаев. ным платам производственные мощности в
ных возможностей нового класса в стране. Завод будет производить отечественные России фактически утроятся – за счёт одно-
Предприятие Yadro Fab Dubna будет рас- персональные устройства, сообщил он. «Но- го этого предприятия. Мы считаем, что Мо-
полагаться на территории особой экономи- вая амбиция, новая цель – начать выпускать сковская область и Дубна – это лучшее и
ческой зоны (ОЭЗ) «Дубна» в 110 км от Мо- персональные устройства, компьютеры, план- самое комфортное место для инженеров,
сквы. Общая площадь завода составит око- шеты. Завод призван к тому, чтобы начать для IT-специалистов, это и другие передо-
ло 40 тыс. кв. м, он будет включать цеха по производить персональную электронику, ко- вые предприятия здесь оказались неслу-
производству многослойных печатных плат торая будет соответствовать всем междуна- чайно», – сказал заместитель председате-
с потенциальной производительностью до родным стандартам», – рассказал Шадаев. ля правительства Московской области Вя-
10 миллионов квадратных дециметров мно- В пресс-службе Yadro отметили, что тех- чеслав Духин.
гослойных печатных плат любой сложно- нологические возможности нового завода О компании Yadro
сти в год, линии поверхностного монтажа позволят обеспечить крупносерийное про- Yadro – группа российских технологиче-
и автоматизированные конвейерные линии изводство полного цикла как текущего, так ских компаний, объединяющая направления
сборки и тестирования готовой продукции, и перспективного продуктового портфеля разработки и производства вычислитель-
а также современный складской комплекс группы компаний Yadro: серверы, системы ных платформ, систем обработки и хране-
площадью более 3500 кв. м. хранения данных, сетевое и телекоммуни- ния данных, телекоммуникационного и се-
«Есть национальная цель – увеличить в кационное оборудование, продукты класса тевого оборудования, персональных и «ум-
четыре раза расходы на российские реше- клиентских систем. ных» устройств, микропроцессорных ядер
ния к 2030 году, и есть такие амбициозные «Когда предприятие Yadro Fab Dubna бу- и fabless-производство микропроцессоров.
проекты, как Yadro, пожалуй, один из самых дет запущено, а это случится через год, кар- R&D-центры расположены в Москве, Санкт-
ярких и успешных примеров отечественной тина с производством российского железа Петербурге, Екатеринбурге, Нижнем Новго-
разработки. Новый завод будет содейство- поменяется. Серверы, системы хранения роде. Компания входит в многопрофильную
вать реализации проектов цифровой транс- данных, производство печатных плат – ИТ-группу «ИКС Холдинг».
формации страны на отечественных продук- цифры поменяются радикально, как и до- tass.ru

46 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Работа с последовательным интерфейсом I2C


в программной среде Proteus 8.11
Татьяна Колесникова (beluikluk@gmail.com)

В статье рассматривается проектирование схем микроэлектронных


устройств с использованием интерфейса I2C в Proteus на примере го времени действия сигнала SCL (SCL = 1)
его реализации в устройстве измерения температуры, собранном на состояние линии SDA должно оставать-
основе микроконтроллера AVR (семейства АТ90) и датчиков LM75AD. ся неизменным. Изменение данных на
Описаны особенности написания программного кода на языке С линии SDA происходит при отсутствии
для инициализации интерфейса и работы с ним. Приведён пример тактового сигнала на линии SCL (SCL = 0).
моделирования схемы, в которой проводится передача данных через Исключение составляют стартовый и
I2C между датчиками температуры, сконфигурированными как ведомые стоповый биты, определяющие нача-
устройства, и ведущим микроконтроллером АТ90S8515, компиляция ло и конец обмена. Стартовый бит фор-
программы инициализации которого выполнена в CodeVisionAVR. мируется путём изменения уровня сиг-
Выполнено отображение принятых ведущим устройством данных на нала на линии SDA с 1 на 0 при SCL = 1,
экране буквенно-цифрового дисплея LM016L. С помощью осциллографа стоповый бит – при изменении сигна-
проведён контроль сигналов, присутствующих на линиях SDA и SCL ла SDA с 0 на 1 также при SCL = 1.
интерфейса I2C. Протокол обмена по шине предпо-
лагает передачу двух типов кадров: с
адресом и данными. Кадр 7-разрядно-
Введение выводы всех устройств находятся в тре- го адреса содержит:
Двухпроводный последовательный тьем (высокоимпедансном) состоянии. ● S – стартовый бит;
2
интерфейс I C (Integrated Circuit) обе- Устройство, подключённое к шине, ● А – 7-разрядный адрес ведомого

спечивает взаимодействие микрокон- может иметь статус ведущего (master) устройства, передаваемый ведущим,
троллера с множеством микросхем или ведомого (slave). Статус микрокон- начиная со старшего разряда;
(энергонезависимой памятью, кон- троллера устанавливается программно. ● R/W – управляющий бит, опреде-

троллерами параллельных портов, Протоколом работы шины предус- ляющий тип транзакции на шине
LCD-дисплеями, микроконтроллера- мотрены: (R/W = 0 – запись, R/W = 1 – чтение);
ми и различными специализированны- ● посылка ведущим устройством стар- ● АСК – бит подтверждения.

ми устройствами). Данный интерфейс тового бита начала обмена; Адрес, передаваемый ведущим устрой-
позволяет объединить до 128 устройств ● передача последовательности из семи ством после захвата шины, поступает ко
по схеме, приведённой на рис. 1. разрядов адреса ведомого устройства; всем устройствам, подключённым к ней.
Интерфейс представляет собой две ● транзакция чтения или записи 8-би- Каждое из устройств сравнивает посту-
линии: одна (SDA) используется для товых данных; пающий адрес с собственным. При рас-
передачи данных, другая (SCL) – для ● получение ведущим устройством би- познавании ведомым устройством свое-
тактовых сигналов. Через резисторы тов подтверждения передачи адреса го адреса оно возвращает на линию SDA
R1, R2 обе линии подключены к источ- и данных; сигнал подтверждения АСК низкого
нику питания VCC. Выходы устройств ● формирование бита подтверждения уровня во время 9-го тактового сигна-
выполнены по схеме с открытым кол- после приёма данных; ла SCL. Если по каким-либо причинам
лектором (стоком), что позволяет реа- ● посылка ведущим устройством сто- ведомое устройство не способно обслу-
лизовать функцию «монтажное И» для пового бита. жить запрос ведущего, оно удерживает
выходных сигналов. Низкий уровень Шина I2C является последователь- на линии SDA сигнал высокого уровня.
сигнала (логический 0) на выходе любо- ной: все данные и адреса передаются по Нулевой адрес используется для обще-
го из устройств устанавливает низкий линии SDA поразрядно. Каждый переда- го вызова всех устройств. Управляющий
уровень на всей линии. Высокий уро- ваемый бит сопровождается тактовым бит в этом случае устанавливается в 0,
вень на линии устанавливается, когда сигналом на линии SCL. В течение все- чтобы обеспечить передачу одного и
того же сообщения всем устройствам.
После передачи адреса начинается
передача данных. Кадр байта данных
содержит восемь битов данных и один бит
подтверждения, формируемый приёмни-
ком. Данные, так же как и адрес, передают-
ся последовательно бит за битом, начиная
со старшего разряда. После приёма каждо-
го байта данных приёмник вырабатывает
сигнал подтверждения АСК путём выда-
чи на линию SDA сигнала низкого уровня.
Рис. 1. Схема соединения устройств по интерфейсу I2C Высокий уровень сигнала свидетельству-

48 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

ет об ошибке или невозможности продол-


жить приём. Не получив подтверждения
от приёмника, ведущий может прекратить
передачу данных, сформировав сигналы
состояния Stop.
После завершения передачи байта
данных работа может быть продолже-
на либо для передачи следующего бай-
та в том же направлении без изменения
ведомого, либо выбором нового ведо-
мого и/или сменой направления обме-
на. При завершении обмена шина осво-
бождается ведущим устройством.

Создание в Proteus схемы


передачи данных по I2C между
датчиками LM75AD
и микроконтроллером AVR
В микроконтроллерах AVR протокол
2
обмена по шине I C выполняется про-
граммно или программно-аппаратно.
Программный способ осуществляет-
ся с использованием библиотеки функ-
ций и применяется в микроконтролле-
рах семейств ATtiny и АТ90, в которых Рис. 2. Стартовое окно программной среды Proteus
отсутствуют встроенные аппаратные
средства, реализующие протокол обме- Proteus мощным средством разработки компоненты в рабочую область редактора
на. Программная реализация протокола электронных устройств, позволяя реали- Schematic Capture и соединим их так, как
2
I C для разных случаев взаимодействия зовать на персональном компьютере вир- показано на рис. 3. Выбор компонентов
устройств представляет собой набор про- туальную лабораторию, в которой можно из базы данных для последующего их раз-
грамм, эмулирующих работу ведущего и максимально приближённо имитиро- мещения в рабочей области программы
ведомых устройств с учётом функцио- вать реальные лабораторные условия, выполняют в окне Pick Devices, которое
нальных требований. Наиболее сложным как с точки зрения элементной базы, так открывают командой контекстного меню
является случай, когда в качестве ведуще- и современных приборов. Proteus 8.11 Place/Component/From Libraries или нажа-
го и ведомых выступают микроконтрол- объединяет две основных программы: тием кнопки P на панели DEVICES (по
леры, которые могут быть как передат- Schematic Capture – средство разработ- умолчанию панель расположена в левой
чиками, так и приёмниками при обмене ки и отладки в режиме реального време- части программы и содержит список име-
данными и не имеют встроенных средств ни электронных схем и PCB Layout – ющихся в проекте компонентов). Откры-
обмена по I2C. Более простой случай, ког- средство разработки печатных плат. вают панель DEVICES нажатием кнопки
да одно из устройств (микроконтроллер) При проектировании устройства изме- Component Mode на левой панели инстру-
является ведущим, а ведомые устройства рения температуры, работающего под ментов схемного редактора.
(датчики, устройства памяти, часы реаль- управлением микроконтроллера AVR, Для добавления микросхемы микро-
ного времени и др.) содержат встроен- написание программы инициализации контроллера (рис. 4а) в рабочее поле
ный порт для обмена по I2C. и её компиляцию удобно выполнить с проекта в левой верхней части окна
Рассмотрим работу с шиной I2C в помощью CodeVisionAVR 3.12 (интегри- Pick Devices в поле Category щелчком
Proteus на примере схемы термометра, рованной среды разработки программ- левой кнопки мыши выбирают из спи-
реализованной на микросхемах LM75AD ного обеспечения для микроконтролле- ска библиотеку Microprocessor ICs. Пакет
(датчики температуры) и микрокон- ров семейства AVR фирмы Atmel, которая Microprocessor ICs позволяет включать
троллере AT90S8515. Измеренная тем- имеет в своём составе компилятор язы- в эмуляцию смешанной схемы опреде-
пература отображается на экране бук- ка С для AVR). В таком случае проект схе- лённые микроконтроллеры с возможно-
венно-цифрового дисплея LM016L. мы электрической принципиальной соз- стью написания и отладки программного
Библиотека программной сре- дают без использования мастера – при кода. В поле Sub-category таким же спосо-
ды Proteus содержит как аналоговые, помощи кнопки Schematic Capture верх- бом задают семейство микроконтрол-
так и цифровые компоненты, а так- ней панели инструментов стартового леров выбранной библиотеки (в нашем
же устройства вывода информации и окна Proteus (рис. 2). Нажатие кнопки примере AVR Family). Все компоненты
микроконтроллеры с возможностью открывает новую одноимённую вкладку, семейства отображаются в поле Showing
их программирования. Большой набор в рабочем поле которой и будет выпол- local results. В поле Manufacturer выбира-
инструментов и функций, среди кото- няться разработка схемы. ют производителя микроконтроллера.
рых вольтметр, амперметр, осциллограф, Соберём схему на основе микрокон- Если производитель не имеет значения –
генераторы сигналов, а также возмож- троллера AT90S8515, буквенно-цифрово- указывают значение All Manufacturers.
ность отлаживать программное обе- го дисплея LM016L и трёх датчиков тем- Для ускорения поиска компонентов мож-
спечение микроконтроллеров, делают пературы LM75AD, для чего добавим её но воспользоваться строкой фильтра

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 49


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

PCB Preview. Для размещения микрокон-


троллера на схеме нажимают на кноп-
ку ОК, после чего окно Pick Devices будет
закрыто, а символ компонента прикреплён
к курсору мыши, при помощи которого его
помещают в нужное место на схеме щелч-
ком левой кнопки мыши.
Аналогичным образом добавим в
рабочее поле проекта из раздела 0.6W
Metal Film библиотеки Resistors четыре
резистора MINRES11K (рис. 4б), а затем
микросхему буквенно-цифрового дис-
плея LM016L [3], которая находится в
разделе Alphanumeric LCDs библиоте-
ки Optoelectronics (рис. 4в).
Микросхема LM016L имеет 14 контак-
тов, назначение которых следующее:
● Vss – GND;

Рис. 3. Подключение к микроконтроллеру AT90S8515 устройства вывода информации и группы датчиков ● Vdd – напряжение питания +5 В;
2
LM75AD по интерфейсу I C в рабочей области редактора Schematic Capture программы Proteus ● Vee – напряжение контрастности от

0 до +5 В (настройка контрастности
Keywords, которая расположена в верхнем Посадочное место компонента будет пока- отображаемых на дисплее символов);
левом углу окна Pick Devices. После выбо- зано в поле PCB Preview. Если для микро- ● RS – выбор регистра данных DR

ра микроконтроллера (в нашем примере контроллера назначено несколько поса- (RS – 1) или команд IR (RS – 0);
это микросхема AT90S8515) его условное дочных мест, то все возможные варианты ● RW – выбор операции чтения

графическое обозначение отобразится в будут доступны для выбора из выпадающе- (RW – 1) или записи (RW – 0);
поле предварительного просмотра Preview. го меню, которое расположено под полем ● E – линия синхронизации;

г
в

Рис. 4. Раздел: (а) AVR Family библиотеки Microprocessor ICs, (б) 0.6W Metal Film библиотеки Resistors, (в) Alphanumeric LCDs библиотеки
Optoelectronics, (г) Peripherals библиотеки Microprocessor ICs

50 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

● D0…D7 – шина данных/команд. ляет плавно регулировать контрастность


Микросхема LM016L может работать отображения символов на дисплее.
в двух режимах: Символы «земли» и питания добавля-
● 8-разрядном (для обмена информа- ют в схему, выбрав на панели TERMINALS
цией используются выводы D0…D7); (рис. 5) строки GROUND и POWER. Панель
● 4-разрядном (для обмена информа- открывают нажатием кнопки Terminals
цией используются выводы D4…D7). Mode на левой панели схемного редак-
В представленном примере вывод тора. Выбор линий портов микрокон-
данных на экран дисплея разрешени- троллера для подключения к указанным
ем 16 символов на 2 строки выполнен выводам дисплея выполняется разработ-
в 4-разрядном режиме. чиком произвольно. В окне свойств дис-
Для подключения микросхемы плея (окно открывают двойным щелчком
LM016L к схеме управления использу- левой кнопки мыши после его выделе-
ется параллельная синхронная шина ния на схеме) в поле Advanced Properties
данных/команд (D0…D7), вывод выбора из выпадающего списка выбирают пункт
операции чтения/записи (RW), вывод Clock Frequency (тактовая частота) –
выбора регистра данных/команд (RS) рис. 6а, значение которой должно совпа-
и вывод синхронизации (Е). Подсоеди- дать с частотой работы микроконтроллера
ним выводы модуля дисплея D4…D7 к (в нашем примере 3,6864 МГц).
выводам PC4…PC7, а выводы RS, RW и E Из раздела Peripherals библиотеки
к выводам PC0…PC2 микроконтроллера Microprocessor ICs добавим в рабочую
AT90S8515 так, как показано на рис. 3. область проекта три датчика температу-
Выводы Vss и Vdd подключим к «зем- ры (рис. 4г), разместим их так, как пока-
ле» и напряжению +5 В соответственно. зано на рис. 3, и подсоединим их выводы
На вывод Vee подаётся напряжение кон- SCL и SDA к выводам РА0 и РА1 микрокон-
трастности (от 0 до +5 В). На практике троллера, а выводы OS к символу питания. Рис. 5. Открытие с помощью кнопки Terminals
этот вывод подключают к питанию через Для каждого датчика в окне его свойств Mode панели TERMINALS и выбор символа
подстроечный резистор, который позво- (окно открывают командой Edit Properties «земли»

а б

в г

Рис. 6. Окно свойств: (а) микросхемы LM016L, (б) датчика температуры LM75AD, (в) микроконтроллера AT90S8515, (г) резистора

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 51


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

ет восемь контактов, назначение кото- контроллера (поле Clock Frequency) –


рых следующее: 3,6864 МГц и частоты сторожевого тай-
● GND – «земля»; мера Watchdog Clock (поле Advanced
● А0, А1, А2 – линии, задающие адрес Properties) – 3,6864 МГц. В окне настроек
устройства; резисторов (рис. 6г) в поле Resistance ука-
● OS – сигнал превышения заданного жем их сопротивление (для R1 – 3,3 кОм,
порога температуры; для R2 – 4,7 кОм, для R3, R4 – 1 кОм).
2
● SDA, SCL – линии шины I C; Для исследования работы интерфей-
● VСС – напряжение питания +5 В. са I2C применим такой виртуальный
Рис. 7. Приближённый вид датчика Линии питания и «земли» на пикто- инструмент программы Proteus, как
температуры LM75AD на схеме грамме датчика в Proteus отсутствуют. четырёхканальный осциллограф, кана-
Микросхема LM75AD содержит лы А и В которого подключим к выво-
встроенную оперативную память и дам РА0, РА1 микроконтроллера так,
схему слежения для контроля выхода как показано на рис. 3, для чего выбе-
температуры за установленное пользо- рем строку OSCILLOSCOPE на панели
вателем пороговое значение. Взаимо- INSTRUMENTS (рис. 8) и разместим при-
действие микросхемы с микроконтрол- бор при помощи мыши в рабочем поле
лером обеспечивает двухпроводный программы. Чтобы открыть панель
последовательный интерфейс I2C, кото- INSTRUMENTS, на левой панели инстру-
рый представляет собой две линии: ментов схемного редактора нажмём на
одна (SDA) используется для переда- пиктограмму Virtual Instruments Mode.
чи данных, другая (SCL) – для такто- В Proteus осциллограф имеет четыре
вых сигналов. В нашем примере для сигнальных входа (каналы А, В, C и D) и
шины I2C используются линии РА0 и может отображать осциллограммы четы-
РА1 микроконтроллера. Через резисто- рёх сигналов одновременно. Осцилло-
ры R1 (3,3 кОм), R2 (4,7 кОм) обе линии граф заземлён по умолчанию, поэто-
подключены к источнику питания +5 В. му вывод заземления отсутствует. Также
Slave-адрес каждого устройства шины отсутствует и вывод внешней синхрони-
I2C представляет собой байт, где четыре зации. Лицевая панель прибора открыва-
старших бита являются идентификато- ется вследствие запуска симуляции схемы.
ром типа устройства. Значение следую- Пиктограмма используется для под-
щих трёх битов является адресом устрой- ключения прибора к схеме, в свою оче-
ства. Самый младший бит slave-адреса редь, лицевая панель предназначена для
определяется тем, что хочет делать настройки прибора и наблюдения фор-
основное устройство master – читать мы исследуемых сигналов. В левой части
или записывать. При чтении этот бит – 1, лицевой панели четырёхканального
при записи – 0. Часть slave-адреса А0…А2 осциллографа расположен графический
является адресом устройства, для опре- дисплей, который предназначен для гра-
деления которого его соответствующие фического отображения формы сигнала,
выводы А0, А1 и А2 подключают к «зем- а именно: для отображения напряжения
ле» или через подтягивающие резисто- по вертикальной оси и, соответственно,
Рис. 8. Открытие с помощью кнопки Virtual ры ёмкостью 1 кOм к напряжению +5 В. времени по горизонтальной оси. Также
Instruments Mode панели INSTRUMENTS и выбор В нашем примере к шине I2C подклю- прибор оснащён курсорами для прове-
четырёхканального осциллографа чено три ведомых устройства (датчики дения измерений во временной области,
температуры). Комбинация сигналов на которые при необходимости можно пере-
контекстного меню, которое вызывают выводах А0…А2 определяет номер дат- мещать при помощи левой кнопки мыши.
щелчком правой кнопки мыши по пик- чика на шине. Датчик DD1 определён Добавление курсоров становится возмож-
тограмме датчика на схеме) определим как ведомое устройство под номером 0 ным после нажатия на кнопку Cursors в
следующие параметры (рис. 6б): (все его адресные выводы подключены окне Trigger панели управления осцил-
● текущее значение температуры (по- к «земле»). Датчикам DD2 и DD3 присво- лографа, которая находится в правой
ле Temperature (°С)) – в нашем при- ены номера 1 и 7, что определено ком- части его лицевой панели и предназна-
мере 21,00; –6,00; –14,00 °С; бинацией сигналов 100 (вывод А0 под- чена для настройки отображения изме-
● точность измерения (поле Granularity) ключён к напряжению +5 В, а выводы ряемого сигнала. На панели управления
– 1 °С; А1 и А2 – к «земле») и 111 (все адресные размещено шесть окон настроек:
● количество знаков после запятой (по- выводы подключены к напряжению ● Trigger (Синхронизация);

ле Decimal Digits) – 2. +5 В) на выводах А0…А2. После переда- ● Channel A (Канал А);

С помощью переключателей «↑» и «↓», чи адреса начинается передача данных. ● Channel C (Канал С);

размещённых на пиктограмме (рис. 7), Для настройки параметров микро- ● Channel B (Канал В);

температуру датчика можно подстраи- контроллера откроем окно его свойств ● Channel D (Канал D);

вать на схеме. (рис. 6в) и укажем путь к файлу hex (или ● Horizontal (Развёртка).

Датчик LM75AD (цифровой темпера- cof) на диске компьютера (поле Program В нижней части окна Channel A распо-
турный датчик с интерфейсом I2C) име- File), значение тактовой частоты микро- ложена ручка, при помощи которой зада-

52 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

ётся величина деления по оси Y (количе- жаться сигналы каналов А, В, C, D, а ось Х условии отсутствия в коде ошибок) на
ство вольт на деление). Начальная точка будет осью времени. Режимы А, В, C, D – диске компьютера будет получен hex-
вывода сигнала на оси Y указывается в это режимы наблюдения фигур Лисса- файл, путь к которому указывают в окне
поле Position. Поле может принимать жу. Выбор такого режима может быть свойств микроконтроллера в Proteus.
как положительное, так и отрицатель- полезен для изучения фаз сигналов. Завершающим этапом работы в Proteus
ное значение. Выбор положительного В верхней левой части панели управ- является запуск процесса моделирования
значения в данном поле сдвигает началь- ления осциллографа размещено окно схемы в редакторе Schematic Capture,
ную точку вверх по оси Y, соответственно Trigger (Синхронизация). Выбор кана- который выполняют кнопкой Run the
выбор отрицательного значения сдвига- ла для запуска синхронизации произ- simulation, расположенной в левом ниж-
ет начальную точку вниз. Выбор режи- водится в поле Source посредством уста- нем углу окна редактора или командой
ма работы осуществляется посредством новки ползунка в одну из следующих основного меню Debug/Run Simulation.
установки ползунка в одну из четырёх позиций: А, В, С, D. Осуществить выбор Временную приостановку процесса
позиций: АС, DC, GND, OFF. В режиме АС запуска сигнала синхронизации – по симуляции выполняют кнопкой Pause the
отображается только переменная состав- фронту или по срезу – можно в соответ- simulation, or start up at time 0 if stopped
ляющая сигнала. В режиме DC отобража- ствующем поле посредством установки (кнопка находится в левом нижнем углу
ется сумма переменной и постоянной ползунка в одну из позиций. окна редактора). Останавливают модели-
составляющих сигнала. В случае выбора В правой части окна Trigger находят- рование кнопкой Stop the simulation.
позиции GND входной канал замыкается ся кнопки выбора режима синхрони-
на землю, а на экране графического дис- зации: Создание программного кода
плея отображается прямая линия в точ- ● One-Shot (Однократный) – режим в CodeVisionAVR
ке исходной установки оси Y. Установ- ожидания сигнала синхронизации Формирование программного кода в
ка ползунка в позицию OFF выключает (используется для регистрации од- CodeVisionAVR выполняют при помо-
отображение сигнала на дисплее. Также в нократного сигнала); щи автоматического генератора
окне Channel A расположено две кнопки: ● Auto (Автоматический) – запуск осцил- CodeWizardAVR (для быстрого получе-
● Invert – задаёт инверсный режим ра- лограммы производится автоматиче- ния кода, который требует редактиро-
боты осциллографа, в котором сиг- ски при подключении осциллографа к вания) или вручную с нуля, используя
нал инвертируется относительно по- схеме и включении эмуляции схемы. синтаксис языка программирования С
ложения нуля; Результаты работы четырёхканаль- и функции стандартных библиотек про-
● A+B – задаёт режим, в котором на ного осциллографа отображаются на граммы. Одна часть библиотек встроена
экране графического дисплея ото- экране графического дисплея, распо- в компилятор, другая (файлы с расши-
бражается суммарный сигнал кана- ложенном в левой части лицевой пане- рением .lib) располагается в поддирек-
лов А и В. ли данного прибора, в виде кривых, тории ..\LIB. Каждая библиотека пред-
Интерфейс окон Channel C, Channel B, которые представляют входные сиг- ставляет собой набор определённых
Channel D аналогичен уже рассмотрен- налы, полученные с входов А, В, C, D. функций, среди которых функции шины
ному окну Channel A за исключени- В нашем примере осциллограф приме- I2C для работы с температурными дат-
ем того, что в окне Channel C вместо нён для отображения сигналов SDA и чиками LM75AD (библиотека lm75.lib)
кнопки A+B присутствует кнопка С+D, SCL интерфейса I2C. и LCD-функции для работы с дисплеем
задающая режим, в котором на экра- После создания схемы, подключения (библиотека lcd.lib). Для использования
не графического дисплея отобража- всех приборов и настройки их пара- в программе библиотечных функций
ется суммарный сигнал каналов С и D. метров переходят к следующему этапу необходимо подключить с помощью
В окнах Channel B и Channel D такая разработки: написанию программно- директивы #include соответствующие
кнопка вообще отсутствует. го кода управления устройством с помо- заголовочные файлы (lm75.h – для рабо-
В нижней части окна Horizontal рас- щью CodeVisionAVR. Среда разработки ты с датчиком LM74AD, lcd.h – для рабо-
положена ручка, при помощи кото- поддерживает все базовые конструкции ты с буквенно-цифровым дисплеем).
рой задаётся величина деления по оси языка С, которые используются при напи- Функции протокола I2C рассматри-
Х. Начальная точка вывода сигнала на сании программ (алфавит, константы, вают микроконтроллер как master
оси Х указывается в поле Position. Поле идентификаторы, комментарии) и раз- (ведущий) шины, а периферийные
может принимать как положительное, решены архитектурой AVR, с некоторы- устройства – как slaves (ведомые). Их
так и отрицательное значение. Отобра- ми добавленными характеристиками, реа- применяют для:
2
жение сигнала на экране графическо- лизующими преимущество специфики ● инициализации шины I C (функция

го дисплея производится слева напра- архитектуры AVR. Используя специаль- void i2c_init(void));
во. Выбор положительного значения в ные директивы в любом месте програм- ● чтения байта из шины (функция

данном поле сдвигает начальную точку мы, можно включить ассемблерный код. unsigned char i2c_read (unsigned char
вывода сигнала вправо, соответственно В CodeVisionAVR имеется набор команд ack)). Параметр ack (подтверждение
выбор отрицательного значения сдвига- управления буквенно-цифровыми дис- прочтения байта) устанавливают в
ет начальную точку влево. Выбор режи- плеями и датчиками температуры, функ- 0, когда подтверждение не требует-
ма развёртки осуществляется в поле ции шины I2C и доступа к памяти. Про- ся, или в 1, когда должно быть выда-
Source посредством установки ползун- граммные средства позволяют напрямую но подтверждение после прочтения
ка в одну из следующих позиций: ∧, А, обращаться к регистрам микроконтролле- байта данных;
В, С, D. В случае выбора режима ∧ (сиг- ра и управлять состоянием линий портов. ● записи байта data в шину (функция

нал по оси Y/время) на экране графи- В результате компиляции программ- unsigned char i2c_write (unsigned char
ческого дисплея по оси Y будут отобра- ного кода управления устройством (при data)).

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 53


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Рис. 10. Выбор микроконтроллера AT90S8515


в окне New Project

те будет открыто окно выбора директо-


рии размещения нового проекта Create
New Project, где указывают имя проекта
Рис. 9. Создание нового проекта в CodeVisionAVR (поле «Имя файла») и его тип (поле «Тип
файла»). Кнопкой «Сохранить» открыва-
Прототипы этих функций размеща- пературы датчика с адресом chip. Темпе- ют окно New Project (рис. 10), где в поле
ются в файле i2c.h, расположенном в ратура выражена в °С и умножена на 10. Name выбирают микроконтроллер, под
поддиректории ..\INC. Перед их исполь- Прототипы этих функций разме- управлением которого работает собран-
зованием в коде программы инициали- щаются в файле lm75.h, который в ная схема (его описание отобразится в
зации микроконтроллера необходимо программе подключают директивой поле Device Info). Далее нажатием кноп-
объявить порт и биты микроконтрол- #include. До подключения файла lm75.h ки ОК открывают окно настройки пара-
2
лера для связи через шину I C, а дирек- необходимо объявить порт микрокон- метров проекта CodeVisionAVR (Configure
тивой #include подключить файл i2c.h. троллера и его биты для связи с датчи- Project), переходят на вкладку C Compiler,
Для работы с датчиком LM75AD при- ком LM75AD через шину I2C. на которой выбирают закладку Code
меняют следующие функции: Genereration (рис. 11), где указывают:
● void lm75_init (unsigned char chip, signed Создание нового проекта ● размер стека данных в байтах (поле

char thyst, signed char tos, unsigned char в CodeVisionAVR Data Stack Size) – для компиляции ко-
pol) – функция инициализации датчи- Новый проект в CodeVisionAVR созда- да в нашем примере значения 128 бу-
ка температуры, которую вызывают ют командой основного меню File/New/ дет достаточно;
для всех имеющихся в проекте датчи- Project. В процессе создания открывает- ● размер внутренней (поле Internal

ков, подключённых к шине I2C (их коли- ся диалоговое окно, где система пред- RAM Size) и внешней (поле External
чество не должно превышать 8). В про- лагает воспользоваться генератором RAM Size) оперативной памяти – 512
грамме адрес датчиков (от 0 до 7) задают кода CodeWizardAVR, с помощью кото- и 0 байт соответственно;
с помощью параметра chip, на схеме – рого задают параметры микрокон- ● тактовую частоту микроконтролле-

с помощью подачи комбинации нулей троллера, его внутренних ресурсов и ра (поле Clock) – 3,6864 МГц;
и единиц на выводы А0…А2. Например, используемых в схеме периферийных ● модель памяти (поле Memory Model) –

комбинацией сигналов 111 определяют устройств. Удобство применения гене- Small.


седьмой подключённый к шине датчик. ратора состоит в быстром получении Другие параметры оставим без изме-
Вывод OS становится активным, когда кода выполнения функций инициали- нений и нажмём на кнопку ОК. В резуль-
температура, выраженная в °С, превы- зации микроконтроллера и его портов тате этого будет создан новый проект
шает предел tos и выходит из активного ввода/вывода, аналогового компара- CodeVisionAVR, в окне кода которого и
состояния, когда температура падает ни- тора, таймеров/счётчиков, интерфей- будет вестись дальнейшее написание
же предела thyst. Параметр pol представ- са UART и SPI, буквенно-цифровых и программы измерения температуры.
ляет полярность выхода OS в активном графических дисплеев и др. Однако в
состоянии. Если pol – 0, активным явля- процессе работы мастера формируется Формирование и компиляция
ется низкий уровень, а если pol – 1, то достаточно объёмный код, который впо- программного кода передачи
высокий уровень. Прежде чем вызвать следствии приходится редактировать. по I2C измеренной датчиками
функцию инициализации датчика тем- В нашем примере все настрой- температуры
пературы, должна быть инициализиро- ки будут выполнены вручную про- Для измерения с помощью датчи-
вана шина I2C; граммным способом, поэтому от запу- ков LM75AD температуры и её отобра-
● int lm75_temperature_10 (unsigned ска CodeWizardAVR можно отказаться, жения на экране буквенно-цифрового
char chip) – функция определения тем- нажав на кнопку No (рис. 9). В результа- дисплея напишем программу инициа-

54 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Рис. 11. Закладка Code Generation окна Рис. 12. Программа передачи по I2C измеренной датчиками LM75AD температуры в окне кода
настройки параметров проекта CodeVisionAVR CodeVisionAVR и результат её компиляции

лизации микроконтроллера на языке С i2c_init(); // инициализация шины delay_ms(2000); // задержка 2000 мс


с применением стандартных функций I2C } } }.
CodeVisionAVR. // инициализация датчиков LM75AD Введём текст программы в окне кода
Текст программы: с адресом 0, 1 и 7 CodeVisionAVR и запустим компиля-
#asm // OS выход активный – высокий цию (рис. 12). После чего перейдём в
.equ __i2c_port=0x1b // для связи уровень Proteus и в окне свойств микросхемы
через шину I2C используем // нижний предел температуры 0 AT90S8515 укажем путь к файлу про-
.equ __scl_bit=0 // 0 бит и датчика 20°C шивки на диске компьютера. Запу-
.equ __sda_bit=1 // 1 бит порта // верхний предел температуры 0 стим моделирование собранной схе-
PA микроконтроллера AT90S8515 датчика 25°C мы, результат которого представлен
#endasm lm75_init(0,20,25,1); на рис. 13, и проанализируем её работу.
// нижний предел температуры 1 и После запуска программа инициали-
#asm 7 датчиков –20°C зации определяет биты порта микро-
.equ __lcd_port=0x15 // для под- // верхний предел температуры 1 контроллера для связи через шину I2C.
ключения LCD-дисплея используем и 7 датчиков 22°C Для этого в программу включен ассем-
порт РС lm75_init(1,-20,22,1); блерный код:
#endasm lm75_init(7,-20,22,1); #asm
// бесконечный цикл передачи тем- .equ __i2c_port=0x1b
#include <lm75.h> // подключение пературы .equ __scl_bit=0
заголовочных файлов while (1) .equ __sda_bit=1
#include <stdio.h> // в которых { for (j=0;j<3;j++) { #endasm,
содержатся // определяем температуру очеред- в котором директива ассемблера .equ
#include <90s8515.h> // прототи- ного датчика LM75AD присваивает идентификатору __i2c_
пы функций temp=lm75_temperature_10(i[j]); port значение, соответствующее адре-
#include <delay.h> sign='+'; су регистра PORTA порта РА микрокон-
#include <lcd.h> if (temp<0) троллера. Этот адрес прописан в строке
{ sfrb PORTA=0x1b; находящегося в
char lcd_buffer[33]; // объяв- sign='-'; поддиректории \INC файла 90s8515.h.
ление массива данных для вывода temp=-temp; Идентификаторам __scl_bit и __sda_bit
на экран дисплея } директивы .equ присваивают значения
// запись полученного значения номеров соответствующих битов, кото-
void main(void) // основная функ- // и дополнительного текста в мас- рые будут использованы для передачи
ция программы сив lcd_buffer данных через линии SCL, SDA шины I2C.
{ int i[3]={0,1,7}; // массив, в sprintf(lcd_buffer,"t=%c%i.%u C", Директива #asm оповещает компилятор
котором хранятся адреса датчиков sign,temp/10,temp%10); о начале ассемблерного кода, а дирек-
char sign; lcd_clear(); // очистка дисплея тива #endasm – о его завершении.
int temp, j; lcd_puts(lcd_buffer); // вывод В следующем блоке кода объявлен
lcd_init(16); // инициализация данных из массива lcd_buffer на порт микроконтроллера для подклю-
дисплея экран дисплея чения LCD-дисплея:

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 55


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Рис. 13. Результат работы схемы измерения температуры с помощью датчиков LM75AD

#asm связи через шину I2C, а также другого


.equ __lcd_port=0x15 порта для подключения LCD-дисплея,
#endasm. достаточно будет лишь заменить зна-
в
Во второй строке кода директива чения в указанных директивах .equ, не
ассемблера .equ присваивает иденти- изменяя остального текста программы. Рис. 14. Приближённый вид LCD-дисплея,
фикатору __lcd_port значение, соответ- Директивами #include подключаются на котором отображается температура,
ствующее адресу регистра PORTС порта LCD-функции, функции температурно- измеренная датчиком №: (а) 0, (б) 1, (в) 7
РС, которое при необходимости мож- го датчика LM75AD, микроконтролле-
но найти в строке sfrb PORTС=0x15; ра AT90S8515, ввода/вывода, задерж- лера. Все его элементы автоматически
файла 90s8515.h. ки. Перед компиляцией препроцессор инициализируются со значением 0.
При трансляции ассемблерного компилятора вставит вместо этих строк Далее выполняется основная функ-
кода, полученного при компиляции текст соответствующих файлов. ция программы void main(void), из
данного проекта компилятором С В строке char lcd_buffer[33]; объявля- которой осуществляется последова-
CodeVisionAVR, ассемблер вместо иден- ется глобальный символьный массив lcd_ тельный вызов:
тификаторов __scl_bit, __sda_bit, __lcd_ buffer, состоящий из 33 элементов, для ● функции lcd_init(16) с параметром 16
port подставит их значения. В случае хранения предназначенной для вывода (количество столбцов в LCD-модуле)
модификации программы при исполь- на LCD-дисплей информации. Массив для инициализации LCD-модуля,
зовании другого порта или битов для будет расположен в SRAM микроконтрол- очистки дисплея и установки позиции
для вывода символов в 0 ряд 0 столбца;
● функции i2c_init() для инициализа-

ции шины I2C;


● функции lm75_init(0,20,25,1) с па-

раметрами 0 (адрес датчика), 20


(нижний предел температуры), 25
(верхний предел температуры), 1 (ак-
тивным уровнем OS является высо-
кий), которая инициализирует дат-
чик температуры с адресом 0;
● функции lm75_init(1,–20,22,1) с па-

раметрами 1 (адрес датчика), –20


(нижний предел температуры), 22
(верхний предел температуры), 1 (ак-
тивным уровнем OS является высо-
кий), которая инициализирует дат-
чик температуры с адресом 1;
● функции lm75_init(7,–20,22,1) с па-

раметрами 7 (адрес датчика), –20


(нижний предел температуры), 22
(верхний предел температуры), 1 (ак-
тивным уровнем OS является высо-
кий), которая инициализирует дат-
чик температуры с адресом 7.
После этого программа переходит в бес-
Рис. 15. Открытие окна AVR Variables конечный цикл отображения измеренной

56 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

а б в

Рис. 16. Проверка значений массива lcd_buffer после отображения на экране дисплея измеренной датчиками LM75AD температуры: (а) +21 °C, (б) –14 °C, (в) –6 °C

а б в

Рис. 17. Временны′ е диаграммы сигналов SCL и SDA после передачи по интерфейсу I C температуры, измеренной датчиком №: (а) 0, (б) 1, (в) 7
2

температуры while (1). При этом пооче- lcd_clear. Рядом со значением отрицатель- 5…8 ячейках, сдвинутся на место ячеек
редно через каждые 2000 мс считывается ной температуры выводится знак «–», если 4…7, т.е. на одну позицию влево в мас-
и выводится на экран дисплея значение температура выше нуля, то знак «+». После сиве.
температуры, измеренное каждым датчи- этого происходит задержка в 2000 мс, и На рис. 17а…17в показаны временны′ е
ком (рис. 14а…14в). Дойдя до последне- цикл повторяется. диаграммы сигналов SCL и SDA интер-
2
го датчика, измерение снова начинается Приостановим моделирование кноп- фейса I C, полученные с помощью вир-
с первого и т.д. Адреса датчиков хранят- кой Pause the simulation, or start up at туального осциллографа. Отображение
ся в массиве int i[3]={0,1,7}. Для дат- time 0 if stopped и проверим значения диаграмм на лицевой панели Digital
чика с адресом 0 с помощью функции элементов массива lcd_buffer. Для чего, Oscilloscope отрегулируем с помощью
lm75_temperature_10(0) будет выпол- используя команду основного меню ручек управления. Установим в окнах
няться измерение температуры, запись Debug/AVR/Variables, откроем окно AVR Channel A, Channel B маленькую ручку в
полученного значения и дополнительно- Variables (рис. 15) и щелчком левой кноп- позицию 3 mV, большую ручку в пози-
го текста в массив lcd_buffer с помощью ки мыши по значку «+» раскроем список цию 2 V. Установим маленькую ручку в
функции sprintf(lcd_buffer,"t=%c%i.%u lcd_buffer. Как видно на рис. 16а, в ячейки позицию 5 μs, а большую ручку в пози-
C", sign,temp/10,temp%10) и вывод 0…8 массива lcd_buffer записаны симво- цию 50 μs в окне Horizontal. Установим
содержимого массива на экран дисплея с лы, которые совпадают с отображённы- режим работы осциллографа: DC. Жёл-
помощью функции lcd_puts(lcd_buffer). ми на экране дисплея «t=+21.0 C»: тая диаграмма соответствует сигналу
Эти же действия будут выполнены для дат- lcd_buffer[0] 't' синхронизации SCL, который посту-
чиков с адресом 1 и 7. lcd_buffer[1] '=' пает на вход А осциллографа. Голубая
Вызов функции main происходит после lcd_buffer[2] '+' диаграмма получена с входа В осцил-
подачи питания или аппаратного сброса lcd_buffer[3] '2' лографа и отображает данные, которые
на выводе RESET микроконтроллера. Затем lcd_buffer[4] '1' передаются через линию SDA.
последовательно выполняются функ- lcd_buffer[5] '.'
ции инициализации дисплея (lcd_init), lcd_buffer[6] '0' Литература
шины I2C (i2c_init) и датчика температуры lcd_buffer[7] ' ' 1. ISIS Help, Labcenter Electronics, 2014.
LM75AD (lm75_init). После этого програм- lcd_buffer[8] 'C'. 2. CodeVisionAVR Help, HP InfoTech, 2014.
ма переходит к выполнению бесконечно- После получения нового значения 3. HD44780U (LCD-II) (Dot Matrix Liquid Crystal
го цикла, в котором значение температуры температуры, измеренной очередным Display Controller/Driver). Hitachi, Ltd. 1998.
считывается с датчика с помощью функ- датчиком, изменится значение сим- 4. Евстифеев А.В. Микроконтроллеры AVR
ции lm75_temperature_10. Далее выпол- волов в третьей и четвёртой ячейках семейства Mega. Руководство пользователя.
няется запись полученной информа- массива lcd_buffer в окне AVR Variables М.: Издательский дом «Додэка-XXI», 2007.
ции и дополнительного текста в массив (рис. 16б), а если температура ниже 5. Proteus VSM Help, Labcenter Electronics,
lcd_buffer с помощью функции sprintf и нуля, то и символ во второй ячейке 2020.
его отображение с помощью функции массива. Если двузначное значение 6. Хартов В.Я. Микроконтроллеры AVR.
lcd_puts на экране дисплея, предвари- температуры сменится однозначным Практикум для начинающих. М.: Издатель-
тельно очищенного с помощью функции (рис. 16в), то символы, записанные в ство МГТУ им. Н.Э. Баумана, 2007.

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 57


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Delta Design Simtera. Новости первой российской


САПР проектирования, моделирования, а также
синтеза RTL для конфигурирования ПЛИС
Никита Малышев, Алексей Ерёмин, Артем Варганов, Сергей Ярцев
работе с последним довольно важ-
Сегодня отечественный рынок ПЛИС может предложить аналоги ным является процесс взаимодействия
западных микросхем и даже наметилась тенденция по созданию своих системы и разработчика. Современный
продуктов, касательно систем разработки – всё намного сложнее. разработчик привык к интерактивным
Российским разработчикам ПЛИС приходится использовать либо системам, дающим информацию по
системы проектирования западных вендоров, либо системы с открытым ошибкам, «узким местам» разрабаты-
исходным кодом. Такая ситуация сохранялась довольно долго, пока ваемого кода, а также показывает их и
компания ЭРЕМЕКС не выпустила программный пакет проектирования даёт рекомендации. Тем самым процесс
конфигурации ПЛИС Delta Design Simtera. В статье рассмотрим создания HDL-кода становится быстрее
последние изменения за год, которые должны появиться в ближайшем и, как правило, лишён грубых ошибок.
релизе продукта. В системе Delta Design Simtera реализо-
вано несколько инструментов в помощь
программистам ПЛИС – это визуаль-
Введение реалиях довольно сложно спроектиро- ные и интерактивные инструменты.
На сегодняшний день разработка вать конфигурацию логической схемы, К визуальным можно отнести редак-
проектов для конфигурации ПЛИС состоящей из десятков и сотен милли- тор настройки подсветки синтакси-
(программируемых логических инте- онов логических элементов в ручном са, отвечающий за настройку цвета,
гральных схем) является достаточно режиме. А в приемлемо короткий про- шрифта, размера и других параме-
востребованной тематикой. За счёт ско- межуток времени – нереально. тров ключевых слов для HDL-языков,
рости работы, возможности многократ- использующихся в проекте. Присут-
ной реконфигурации и простоты раз- Маршрут проектирования. ствует в системе и настройка цвето-
работки ПЛИС находят применение в Разработка высокоуровневого вых схем редакторов. К интерактивным
различных сферах – в телекоммуни- описания инструментам относятся автоподсказ-
кации, космонавтике, а также в других Маршрут проектирования конфигу- ки, автодополнения кода, система рас-
устройствах военного и гражданского рации программируемых логических познавания лексических, синтаксиче-
применения. интегральных схем всем знаком. Он ских и смысловых (семантических)
Важнейшее значение при разработ- представляет из себя итерационный ошибок кода.
ке интегральных схем занимает про- процес и его схему можно предста- Технология умного ведения кода про-
цесс высокоуровневого описания схе- вить следующим образом – см. рис. 1. екта предлагает варианты при написа-
мы на языках разработки аппаратуры В статье разберём шаги высокоуров- нии кода, уменьшает время написания
(HDL), логическая верификация проек- невого описания, логической верифи- кода и возможность совершения син-
та и «кремниевая компиляция» (синтез). кации, синтеза схем, реализованных в таксических ошибок (рис. 2). В зави-
К сожалению, ввиду отставания отече- Delta Design Simtera. симости от контекста система предла-
ственной микроэлектроники от запад- Начнём с первого этапа – разработ- гает варианты дальнейшего описания
ной наметился значительный разрыв ки высокоуровневого описания. Вести по стандартам на языки IEEE 1800-2005
и в области систем автоматизирован- разработку можно в схемотехниче- (SystemVerilog), 1364-2005 (Verilog),
ного проектирования. В современных ском, а также в текстовом виде. При IEEE 1076-2008 (VHDL) (рис. 3).

Моделирование и верификация HDL


Верификация и моделирование
являются одними из этапов проекти-
рования конфигурации ПЛИС. Моде-
лирование осуществляется на основе
скомпилированного HDL-проекта и
только в том случае, если он не содер-
жит ошибок. Моделирование происхо-
дит при нажатии на кнопку «Собрать
проект и запустить симуляцию», пока-
занную на рис. 4. В панель «Журналы»
выводится информация об этапах и
шагах моделирования, а также выво-
дится отладочная информация разра-
Рис. 1. Маршрут разработки проекта ПЛИС ботчика ПЛИС (рис. 5).

58 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Рис. 2. Автодополнение Verilog-кода в зависимости от контекста Рис. 3. Дополнение кода в зависимости от введённых символов

Отрисовка поведенческого модели-


рования во временно′ й области доступ-
на в осциллографе и в списке наблю-
дения. Данные могут быть отображены
в цифровом и аналоговом видах. Во Рис. 4. Запуск моделирования HDL-проекта
временно′ й области доступна расста-
новка курсоров, в нижней части курсо-
ра отображается дельта времени меж-
ду текущим и «нулевым» курсорами, а в
верхней части – абсолютное значение
времени (рис. 6).

Поведенческий синтез.
Проверка на синтезируемость Рис. 5. Панель «Журналы» с отладочной информацией
HDL-язык, и язык Verilog в частно-
сти, используется для описания пове-
дения схемы во времени и запуска соот-
ветствующего моделирования. Однако
воплощение реализующей такое пове-
дение цифровой аппаратуры (т.е. логи-
ческих элементов и соединяющих их
проводников) возможно не всегда.
Логический синтез — перевод поведен-
ческой модели в набор цифровых ком-
понентов — может быть выполнен при
использовании некоторого подмноже-
ства языка Verilog, который называется
Synthesizable Verilog (синтезируемый).
Вполне корректный с точки зре-
ния моделирования код может содер-
жать несинтезируемые конструкции, и
сообщение об этом должно быть выда-
но пользователю только при запуске
модуля синтеза, а не при общей про-
верке кода на отсутствие синтакси-
ческих или семантических ошибок. Рис. 6. Осциллограф Delta Design Simtera
При этом обнаружение несинтезиру-
емых конструкций следует выполнять В качестве примера работы системы always@(posedge(clk))
раньше, чем будет запущен трудоём- на проверку синтеза рассмотрим Verilog- begin
кий процесс логического синтеза. Это код, в котором используется несинтези- if(clk)->e_data;
выполняется путём добавления к про- руемая конструкция event. Verilog-код: end
верке семантики дополнительных пра- module dff(clk,r_data,q);
вил, следование которым проверяется input clk; always @(e_data)
сразу же при запуске синтеза, и в слу- input r_data; q = r_data;
чае их нарушения выдаётся ошибка, а output reg q;
процесс синтеза не стартует. event e_data; endmodule

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 59


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

ванной схемы и не участвуют в опти-


мизации.
Синтезатор Synplify, как наиболее
Рис. 7. Предупреждение системы проверки на синтезируемость известный по оптимизации ресурсов
«кремниевый компилятор», осущест-
вляет довольно глубокую оптимиза-
цию уже на уровне создания принци-
пиальной схемы. И, зачастую, даже в
представлении схемы с высокоуровне-
выми компонентами проведена опти-
мизация. В ней явно видимые из кода
компоненты заменены другими — обе-
спечивающими более оптимальное
представление всей схемы на уровне
И/ИЛИ/НЕ (соответственно и в RTL).
Delta Design Simtera по функциональ-
ным возможностям можно сравнить
Рис. 8. Результаты поведенческого синтеза в Yosys с инструментом синтеза с открытым
исходным кодом Yosys. Ниже приве-
дены сравнительные результаты рабо-
ты поведенческого синтеза систем
Delta Design Simtera, Yosys и Synopsys
Synplify.

Примеры работы
Булева функция
Простые логические операции над
булевыми переменными:
module top(input logic a, b, c,
Рис. 9. Результаты поведенческого синтеза в Delta Design Simtera output logic y);
assign y = (~a & ~b & ~c)
| (a & ~b & ~c)
| (a & ~b & c);
endmodule
Результат работы Yosys представля-
ет собой все операции в графической
форме. Можно увидеть, что все три бло-
ка 2, 6 и 11 представляют собой отри-
цание одной и той же переменной b,
4 и 8 — отрицание c, а 7 и 12 каждый
соответствуют (a & ~b) (рис. 8). В Delta
Design Simtera на настоящий момент
реализована процедура повторного
Рис. 10. Результаты поведенческого синтеза в Synopsys Synplify использования уже созданных бло-
ков, что приводит лишь к однократному
В нём присутствует несинтезируемая ков выполнения унарных, бинарных появлению отрицаний каждой из пере-
конструкция событие. и имеющих большее число аргумен- менных. Кроме того, блоки И и ИЛИ на
В Delta Design Simtera компиляция тов операций; блоков осуществления схеме изображаются от трёх операн-
проходит без ошибок, но при провер- комбинационной и синтезируемой дов, как и записано в коде (рис. 9). Ника-
ке синтезируемости кода также выда- последовательностной логики (защё- кой минимизации здесь не проводит-
ётся ошибка – рис. 7. лок, мультиплексоров, D-триггеров и ся: лишь повторное использование уже
их разновидностей, шифраторов/ созданных блоков. Для примера пока-
Поведенческий синтез в Delta дешифраторов), а также, если перемен- жем работу Synplify, который путём
Design Simtera ная представляет собой массив, обе- минимизации записанной функции
Синтез RTL (Register transfer level) спечение работы с его частями. При снизил число операторов до 5 (отри-
по коду Verilog реализован в наибо- этом оптимизация выполняется толь- цание a, например, вовсе не понадоби-
лее простом варианте. В первом при- ко над используемыми высокоуровне- лось) (рис. 10).
ближении для реализации синтеза выми элементами, то есть, например,
в системе присутствует построение сумматор в текущем варианте пред- Защёлки и мультиплексоры
схем связей высокоуровневых элемен- ставляет собой «чёрный ящик», и его Комбинационная логика, описывае-
тов: переменных (портов, локальных внутренние элементы И/ИЛИ/НЕ не мая условными операторами, реализу-
переменных, чисел, параметров); бло- являются компонентами синтезиро- ется с помощью мультиплексоров (осу-

60 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

ществляющих выбор одного из двух


входящих сигналов в зависимости от
контрольного сигнала) и защёлок (про-
пускающих или нет входящий сигнал
в зависимости от контрольного сигна-
ла). В примере ниже сигнал clk отвеча-
ет за выбор сигнала d0 или d1, переда-
ваемого в биты [1: 0] выходного порта q.
Обратите внимание, что в части else
присвоение происходит во все четыре
бита q[3:0], а в части if — только в первые Рис. 11. Yosys. Поведенческий синтез
два q[1:0]. Вследствие этого для битов
q[1:0] создаётся мультиплексор, кото-
рый по значению clk==0 пропускает
d0[1:0] (выбор двух нижних битов d0
производится автоматически в соот-
ветствии с тем, что именно попадает
в q[1:0] в части else), а по clk==1 – d1. Для
битов q[1:0] создаётся защёлка, работа-
ющая при clk==0, то есть при ~clk==1.
module latch_range2(input logic clk,
input logic [3:0] d0,
input logic [1:0] d1, Рис. 12. Delta Design Simtera. Поведенческий синтез
output logic [3:0] q);

always_latch
if (clk) q[1:0] <= d1;
else q <= d0;

endmodule
Yosys выдаёт в качестве результа-
та ссылку на некую процедуру, кото-
рую он не может представить в виде
цифровых компонентов (рис. 11), на
рис. 12 Simtera реализует описанную Рис. 13. Synopsys Synplify. Поведенческий синтез
выше логику, такой же результат выда-
ёт Synplify – рис. 13. ется хорошей альтернативой западным денческий синтез. При этом система
системам по проектированию конфи- постоянно модернизируется и допол-
Заключение гурации ПЛИС и может закрыть потреб- няется новым функционалом, предо-
На текущий момент на российском ности разработчиков на таких этапах, ставляется техническая поддержка и
рынке САПР Delta Design Simtera явля- как проектирование, симуляция и пове- обучение.

НОВОСТИ МИРА

ТЕХПРОЦЕСС TSMC N4X тельности, можно узнать по букве «X» в


ПРЕДНАЗНАЧЕН ДЛЯ ВЫПУСКА обозначении.
МИКРОСХЕМ, ОТ КОТОРЫХ Оптимизация затрагивает не только транзи-
ТРЕБУЕТСЯ МАКСИМАЛЬНАЯ сторы, которые рассчитаны на повышенные то-
ПРОИЗВОДИТЕЛЬНОСТЬ ки и частоты, но и внутренний металлический
Компания TSMC представила техпроцесс стек. Кроме того, новый техпроцесс позволяет
N4X, оптимизированный по критерию про- формировать конденсаторы сверхвысокой ём-
изводительности изготавливаемых микро- кости со структурой «металл-изолятор-металл».
схем. Это первый техпроцесс такого рода Как утверждается, всё это позволяет по-
среди техпроцессов TSMC 5-нанометро- лучить выигрыш по производительности до работку микросхем для N4X, воспользовав-
вого поколения. Изготовленные с его при- 15% по сравнению с N5 или до 4% по срав- шись тем, что для этого техпроцесса под-
менением микросхемы рассчитаны на наи- нению с N4P при напряжении питания 1,2 В. ходят общие правила проектирования для
большие тактовые частоты среди микро- Дополнительный потенциал увеличения про- техпроцесса N5. В TSMC ожидают, что ри-
схем, изготавливаемых по техпроцессам изводительности обеспечен возможностью сковое производство с применением N4X
этого поколения. Техпроцессы TSMC, оп- использования управляющих напряжений начнётся в первой половине 2023 года.
тимизированные по критерию производи- более 1,2 В. Заказчики могут ускорить раз- ixbt.com

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 61


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Цифровые двойники в промышленности: истоки


концепции, современный уровень развития
и примеры внедрения
Денис Хитрых (АО «КАДФЕМ Си-Ай-Эс»)
также обнаруживать любые несовме-
В статье описывается концепция цифровых двойников стимости, которые могут потребовать
в промышленности. Рассматривается их современный уровень изменений на ранних стадиях разра-
развития и приводятся различные примеры успешного внедрения таких ботки. Кроме того, воздействия и после-
цифровых двойников. дующее устранение неисправностей в
системе могут быть подробно изучены и
записаны для последующего анализа [1].
В 2022 году грядёт новый этап раз- да были построены как минимум два В связи с ростом мощности вычисли-
вития цифровых технологий. Он обе- идентичных космических корабля, что тельных средств, технологий модели-
щает нам создание интерфейсов, обла- позволило инженерам во время полё- рования и, следовательно, повышения
дающих эмоциональным интеллектом та отражать эксплуатационные усло- точности моделей физических ком-
и когнитивными способностями. Это, вия корабля, находившегося в космо- понентов и процессов сегодня детали
несомненно, повлияет на бизнес, се. Космический корабль, оставшийся испытательного стенда заменяются
только пока неизвестно, как. Тренды на земле, назвали «двойником». В этом виртуальными моделями. Это позво-
меняются непредсказуемым образом. смысле каждый вид прототипа, кото- ляет разработчикам систем использо-
И зачастую самые захватывающие рый используется для воспроизведения вать концепцию испытательного стен-
возможности лежат на пересечении реальных условий работы и для модели- да на ранних этапах разработки, даже
нескольких трендов. Например, цифро- рования поведения в реальном време- тогда, когда некоторые физические
вые двойники представляют собой выс- ни, может рассматриваться как двойник. компоненты ещё недоступны. Даль-
шую степень развития вычислительных Другим хорошо известным приме- нейшее распространение этой идеи
ресурсов, когнитивных моделей, встро- ром «аппаратного» двойника являет- на все фазы жизненного цикла при-
енных датчиков и т.д. То есть цифро- ся наземная испытательная установка водит к созданию полной цифровой
вые двойники – это тренд развития тех- «Iron Bird» (рис. 1), разработанная ком- модели физической системы – циф-
нологий, в основе которого лежит сразу панией Airbus для оптимизации и про- рового двойника.
несколько самостоятельных передовых верки жизненно важных авиационных Концепция цифрового двойни-
и всё ещё развивающихся технологий. систем [1, 4]. Это механическая интегра- ка впервые была введена в оборот в
ция электрических и гидравлических 2002 году Майклом Гривзом (M. Grieves)
Концепция цифровых систем, а также средств управления в рамках презентации Мичиганского
двойников полётом, каждая из которых выстрое- университета для представителей про-
Впервые концепция «цифрового на в соответствии с фактической кон- мышленности [2]. Данная концепция в
двойника» была отработана на «про- фигурацией самолета, и все компонен- то время рассматривалась Гривзом как
мышленном» уровне в начале 60-х годов ты установлены в том же месте, в каком прототип «идеальной» PLM-системы для
прошлого века в рамках программы они были бы на реальном объекте. разработки инновационных продуктов
NASA (Национальное управление по Испытательный стенд позволяет в разрезе так называемого бережливо-
аэронавтике и исследованию косми- инженерам подтверждать характери- го производства. Однако привычный
ческого пространства) «Аполлон», ког- стики всех компонентов системы, а ныне всем термин «цифровой двойник»
появился только в 2010 году, и M. Гривз
приписывает его авторство своему кол-
леге Джону Викерсу (John Vickers) из
NASA. Он фигурировал в 11-й дорож-
ной карте NASA в области технологий:
моделирование, симуляция, информа-
ционные технологии и обработка [5].
В этом отчёте специалисты NASA обо-
значили будущее направление разви-
тия моделирования.
В 2002–2003 гг. идея цифрового двой-
ника не получила широкой поддержки
в первую очередь из-за технического
несовершенства технологий того пери-
ода: отсутствовало необходимое аппа-
ратное и программное обеспечение, а
Рис. 1. Наземный авиационный испытательный стенд «Iron Bird» («Железная птица») [1] получение, обработка и хранение раз-

62 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

личных данных о продукте в режиме


реального времени были практически
нереализуемы.
С появлением Интернета вещей (IoT),
а позднее и промышленного Интернета
вещей (IIoT), данная концепция эволю-
ционировала. Сегодня под цифровым
двойником мы понимаем виртуальную
непрерывно адаптируемую модель тех-
нической системы или технологиче-
ского процесса.
Для облегчения анализа, решения
проблем и планирования мероприятий
по усовершенствованию конструкции
концепция цифрового двойника требу-
ет дополнительно включения в вирту- Рис. 2. Энергетическая капсула с унифицированной реакторной установкой «Шельф»
альную модель системы финансовых и
контекстных данных, а также данных с ший интерес к цифровым двойникам в неопределённой, частично наблю-
реальных датчиков, установленных на в последние несколько лет. даемой среде.
оборудовании. В своём развитии концепция циф- На 3 и 4 уровнях ключевой техно-
Интегрируя виртуальные и физиче- рового двойника прошла несколько логией, используемой для построе-
ские данные, цифровой двойник позво- стадий. В самом начале это был тра- ния цифрового двойника, является
ляет осуществлять в реальном времени диционный виртуальный прототип, технология компьютерного инженер-
мониторинг систем и процессов, а так- создаваемый в ходе предварительно- ного анализа (CAE). Во многом имен-
же своевременно предотвращать про- го проектирования. Он использовал- но интенсивное развитие технологий
блемы, планировать превентивный ся для принятия решений на стадии математического и имитационного
ремонт с целью сокращения и предот- эскизного проекта. моделирования и инструментов для
вращения вынужденного простоя обо- На следующем этапе развития циф- сквозной интеграции CAD/CAE-систем
рудования, и открывает новые возмож- ровой двойник выполнял функцию с PDM/PLM и SCADA позволило перей-
ности для бизнеса, например, переход виртуальной среды для моделирова- ти к практической реализации кон-
на сервисную бизнес-модель. ния поведения продукта. Симуляция цепций адаптивного и умного циф-
С появлением Интернета вещей (IoT) поведения продукта осуществлялась с рового двойника на промышленном
внедрение цифровых двойников стало помощью технологий имитационного уровне. И сегодня работы по внедре-
экономически выгодным, и технология моделирования. Вся необходимая для нию цифровых двойников своей про-
стала получать всё большее признание работы модели информация о произ- дукции активно ведут такие компании,
в сообществе промышленного Интер- водительности, работоспособности и как госкорпорация «Росатом», АО «Вер-
нета вещей (IIoT), делающем упор на обслуживании реального физическо- толёты России», АО «ОДК-Климов», ПАО
сложное и капиталоёмкое оборудова- го объекта поступала в модель с дат- «ОДК-Сатурн» и другие представители
ние [16, 17]. чиков, установленных на объекте, и российской промышленности.
В 2017 году эксперты Gartner про- дополнялась показаниями виртуаль-
гнозировали, что к 2021 году почти ных датчиков. Примеры использования ЦД
половина крупных промышленных Адаптивный цифровой двойник – в разных отраслях
компаний в мире будут использовать это третья ступень эволюции кон- В феврале 2021 года в АО «ВНИИАЭС»
технологию цифровых двойников с цепции цифрового двойника. В нём (входит в «Росатом») стартовали прак-
целью упрощения оценки произво- используются алгоритмы машинно- тические работы по созданию перво-
дительности системы и технических го обучения на основе технологии го в России и мире так называемого
рисков, достигая при этом повышения нейронных сетей для планирования цифрового двойника АЭС малой мощ-
эффективности системы примерно на процессов в режиме реального вре- ности (АСММ) с реакторной установ-
10–12% [3]. Однако пандемия корона- мени и принятие решений в процес- кой РИТМ-200Н и «Шельф-М» (рис. 2).
вируса внесла серьёзные коррективы се эксплуатации и технического обслу- Проект осуществляется при участии
в этот прогноз. живания объекта. Наконец, четвёртый АО «ОКБМ Африкантов», АО «НИКИ-
В настоящее время мы собираем и уровень – это так называемый умный ЭТ», АО «Гринатом» и НИУ ВШЭ, биз-
обрабатываем намного больше дан- цифровой двойник. На этом уровне нес-заказчиком является АО «Русатом
ных, чем это было возможно в начале цифровой двойник обладает высо- Овервиз». Цифровой двойник АСММ
2000-х годов. Сейчас в автоматическом кой степенью автономии. Он может будет включать в себя расчётные коды,
режиме, используя проводные сети и анализировать более детальные дан- моделирующие физические процессы
высокоскоростные сети 4G и 5G, мы ные о производительности, обслужи- в АСММ (теплогидравлические, ней-
способны получать информацию с вании и работоспособности обору- тронно-физические, электротехниче-
датчиков, расположенных на промыш- дования и поддерживает обучение и ские) в различных режимах эксплуа-
ленном оборудовании, и обрабатывать распознавание состояний системы и тации, средства моделирования, базы
эту информацию в режиме реального окружающей среды с подкреплением данных и сервисное программное обе-
времени. Этим и объясняется возрос- сигналами от среды взаимодействия спечение [6].

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 63


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

режиме проработать различные сцена-


рии процесса прокатки, чтобы опти-
мально настроить оборудование для
выпуска трубы с заданными характери-
стиками. По итогам текущего года ТМК
получила около полумиллиарда рублей
дополнительной прибыли от внедре-
ния цифровых двойников прокатных
станов на Волжском (ВТЗ) и Северском
(СТЗ) трубных заводах. Экономический
эффект был достигнут за счёт повыше-
ния качества трубной продукции, выпу-
ска труб из новых марок стали и сни-
а б
жения издержек [15].
Рис. 3. Траектории движения материала в мельнице с профилем «Индустрия сервис» в новом В сентябре 2021 года ПАО «ЛУКОЙЛ»
состоянии (а) и в изношенном (б) запустило в эксплуатацию комплекс-
ную интегрированную цифровую
Таблица 1 Сравнение технологии цифровых двойников, построенных на основе законов физики и модель Ватьеганского месторождения,
машинного обучения которая является частью корпоратив-
На основе законов физики (гибридный цифровой На основе машинного обучения и глубокого анализа ного проекта «Интеллектуальное место-
двойник) данных рождение». Беспрецедентный по мас-
Модели отражают глубокие знания, основанные на Модель строится исключительно на основе данных – штабу и сложности проект включает в
физике процессов. нет необходимости обладать знаниями в предметной
Новая информация получается за счёт построения области. себя создание цифровых двойников
причинно-следственных связей. Универсальная и гибкая модель – обрабатывает потоки более чем 3000 скважин и 12 объектов
Неопределённость контролируется входными данными неоднородных данных.
разработки и охватывает всю произ-
Преимущества

и точностью моделирования. С течением времени модель совершенствуется


Модель имеет универсальное свойство – (обучение с подкреплением сигналами от среды водственную цепочку добычи – от пла-
предсказывать события в любой точке, находящейся в взаимодействия).
рамках модели Хорошо подходит для обнаружения сложных ста до входа в центральный пункт сбо-
взаимосвязей и паттернов ра и подготовки нефти [12].
Требует обширных знаний в области физики. Потребность в обучающих данных, необходимых для Компания «КАДФЕМ Си-Ай-Эс» пла-
Большая вычислительная нагрузка; сложно разработки модели.
осуществить в режиме реального времени. Корреляции, а не причинность. Чёрный ящик, без нирует в начале 2022 года завершить
Предположения о характере входящих и исходящих объяснений (в частности, технология глубокого проект по созданию так называемого
данных должны быть сделаны заранее обучения).
Методы приближения, нет точной математики. гибридного цифрового двойника мель-
Недостатки

Предиктивные возможности быстро ухудшаются за ницы измельчения руды для одного из


пределами области обучения.
Трудно предсказать экстремальные/критические крупнейших производителей золота в
условия (мало наблюдений) России.
В основе общепринятой концепции
АО «НЦВ Миль и Камов» (входит в в 2022 году. В результате «ОДК-Климов» цифровых двойников промышленно-
холдинг «Вертолёты России» госкор- получит цифровой двойник, интегри- го оборудования лежит компьютерный
порации «Ростех») в настоящее время рованный в производственный контур. анализ данных, поступающих с датчи-
проводит цифровую трансформацию Он будет хранить и отражать детальную ков, установленных на оборудовании,
производства для ускорения создания информацию о создании, существую- и последующее обучение нейронной
новых машин. Уже на первом этапе щих параметрах и эксплуатации каж- сети на размеченных или неразме-
реализации программы «НЦВ Миль и дого изготовленного двигателя. Резуль- ченных данных, или обучение с под-
Камов» сможет сократить сроки разра- таты исследований будут внедряться на креплением. Однако, как показывает
ботки конструкторской документации предприятии ММП им. В.В. Черныше- практика, для решения таких важных
для новых вертолётов на 5–10%, а сро- ва ОДК [8]. задач цифрового двойника, как оцен-
ки технологической подготовки произ- В 2018 году специалисты Трубной ка текущего состояния оборудования
водства – до 20%. Цифровизация про- металлургической компании (ТМК) и всей системы, в которой это обору-
изводства позволит усовершенствовать разработали первый в России цифро- дование эксплуатируется, определение
применение цифровых двойников для вой двойник трубопрокатного агре- оптимальных условий работы и про-
оптимизации конструкции, подготов- гата, установленного на Северском гнозирование остаточного ресурса
ки производства и эксплуатации вер- трубном заводе. В его основу заложе- данных, полученных от физических
толётов [7]. на комплексная математическая модель датчиков, часто бывает недостаточ-
В 2019 году АО «ОДК-Климов» процесса прокатки труб, базирующа- но. Концепция гибридного цифрово-
совместно с Центром НТИ СПбПУ яся на авторском подходе в реализа- го двойника предполагает, что кроме
завершили первый этап проекта по ции энергетической теории обработ- общепринятых физических датчиков
разработке цифрового двойника дви- ки металлов давлением. Цифровой применяются так называемые вирту-
гателя ТВ7-117СТ-01, представляющего двойник с высокой точностью моде- альные датчики, которые предостав-
из себя виртуальную модель двигателя, лирует процессы производства труб ляют дополнительные данные об
созданную по эталонным параметрам на непрерывных раскатных, извлека- измеряемом параметре в любой точ-
чертежей. Второй этап создания циф- тельно-калибровочных и редукцион- ке оборудования на основе компью-
рового двойника должен завершиться ных станах и позволяет в виртуальном терного инженерного анализа (CAE)

64 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

с использованием системной или ими-


тационной модели.
Соответствующий цифровой двой-
ник мельницы (рис. 3) измельчения
использует технологии компьютер-
ного инженерного анализа и систем-
ного моделирования для определения
степени износа футеровки и прогнози-
рования износа футеровки во времени
с учётом текущего состояния, планиру-
емых режимов работы и загрузки [9, 10].

Моделирование и машинное
обучение – дополняют или
взаимозаменяют друг друга?
Поскольку гибридный цифровой
двойник основан на законах физики и Рис. 4. Система ПРАНА: схема маслоснабжения и вибротермоконтроля газового дожимного
механики конструкций, можно оценить компрессора
такие параметры, как уровень нагрузки
или накопленное усталостное напря- живании, операционные показатели и класс систем контроля предназначен
жение в конструкции, независимо от пр. Однако трактовка термина «циф- для диагностики технического состо-
того, какие данные были получены от ровой двойник» постоянно расширя- яния. Задачей диагностики является
оборудования. Кроме того, такие пара- ется и в разных отраслях приобретает обнаружение дефектов оборудования
метры могут быть рассчитаны в любой свою специфику. И сегодня к экосисте- и его узлов, а также прогнозирование
точке конструкции. ме цифрового двойника можно отнести обслуживания.
Таким образом, будет справедливо и современные системы вибромонито- Среди отечественных решений для
сказать, что даже если цифровой двой- ринга и вибродиагностики, которые в интеллектуальной вибродиагностики
ник, в основе которого лежат законы рамках концепции промышленного и удалённого мониторинга техническо-
физики, и двойник, функционирую- Интернета вещей (IIoT) поставляются го состояния оборудования стоит обра-
щий за счёт глубокой аналитики дан- клиентам в виде так называемых интел- тить внимание на системы прогности-
ных, являются в некоторой степени лектуальных сервисов и выступают в ки ПРАНА и КОМПАКС.
конкурирующими подходами, всё же роли оперативных виртуальных асси- Система прогностики ПРАНА вобра-
они в значительной степени дополня- стентов для сотрудников, занимаю- ла в себя более чем 7-летний опыт ком-
ют друг друга. Поэтому можно говорить щихся техническим обслуживанием и пании РОТЕК в области производства
о том, что будущее цифровых двойни- ремонтом производственного оборудо- и обслуживания основного энергети-
ков лежит в точке пересечения этих вания. Данные мониторинга техниче- ческого оборудования и сегодня вос-
двух подходов, объединяя сильные сто- ского состояния формируются на осно- требована в самых разных отраслях
роны каждого из них. ве постоянных измерений с датчиков, промышленности (рис. 4). Система
Гибридные цифровые двойники не установленных на оборудовании (дат- находится в коммерческой эксплуа-
только накапливают информацию о чики виброскорости, давления, темпе- тации с 2015 года как независимое от
том, как условия эксплуатации влия- ратуры и др.). По этим данным выпол- OEM «коробочное» решение для про-
ют на работу оборудования, но способ- няется анализ работы оборудования и гнозирования состояния промышлен-
ны прогнозировать реакцию системы его узлов. Результат анализа выступает ного оборудования, управления надёж-
на предполагаемые будущие сцена- сервисом, информируя сотрудников о ностью и мониторинга.
рии. Благодаря этому мы можем лучше фактическом состоянии оборудования Система мониторинга ПРАНА полу-
подготовиться к предстоящим критиче- и предлагая рекомендации по проведе- чает необходимые данные из АСУ ТП
ским событиям, а также иметь возмож- нию обслуживающих или ремонтных объекта мониторинга. Мониторинг осу-
ность настраивать параметры АСУ ТП работ. Применение таких интеллек- ществляется по многим параметрам:
для оптимизации эксплуатационных туальных сервисов позволяет устано- виброускорение, виброскорость, тем-
характеристик. В табл. 1 представлены вить эффективный режим работы обо- пература и др. Для выявления аномалий
основные преимущества и недостатки рудования и технического персонала, в данных измерений ПРАНА использует
этих двух подходов. например, минимизировать простой. эмпирические модели эталонного тех-
Системы такого типа делятся на два нического состояния диагностируе-
Интеллектуальный вибромониторинг класса: первые предназначены для мого объекта. Формирование диагно-
и вибродиагностика как часть мониторинга технического состоя- стических признаков технического
экосистемы «Цифровой двойник» ния оборудования. Они позволяют состояния критически важных элемен-
До сих пор мы рассматривали при- обнаруживать происходящие измене- тов оборудования позволяет выделить
меры цифровых двойников, которые ния в системе и их тенденции/тренды, такие характеристики измеряемых сиг-
описывают конкретный физический сравнивают показания с пороговыми налов, которые обладают требуемыми
объект и содержат такие элементы, значениями и предлагают графиче- избирательными свойствами к задан-
как: 3D CAD-модель, спецификации на ский анализ результатов измерений ному классу дефектов, подлежащих рас-
материалы, записи о сервисном обслу- в режиме реального времени. Второй познаванию. На основании машинно-

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 65


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

го обучения диагностической системы времени окупаемости новых техноло- тов вместе с услугами или в качестве
для каждого класса технических состо- гий в будущем. услуг, первыми исследуют новые воз-
яний формируются эталоны. С помо- Даже в тех случаях, когда цифровые можности использования цифровых
щью передовых алгоритмов ПРАНА в двойники создаются для моделирования двойников. Подключение цифрового
реальном времени сравнивает состо- совершенно новых процессов, систем двойника к встроенным датчикам и
яние оборудования с эталонной моде- или устройств, не всегда возможно в использование получаемых с помощью
лью и определяет различия между нужных местах разместить все необхо- него данных для финансового анали-
ними. При обнаружении какой-либо димые контрольно-измерительные при- за и прогнозирования открывают воз-
аномалии система ПРАНА автомати- боры и датчики. В случае с химически- можности для дополнительных продаж,
чески идентифицирует её в дефект с ми и биологическими реакциями или получения более точных и оптимизи-
помощью модели нейронной сети, обу- в экстремальных условиях (например, рованных прогнозов, а также оптими-
ченной на таблице дефектов [12]. высокие температуры и давление) изме- зации ценообразования. Например, так
КОМПАКС – это комплекс программ- рить характеристики непосредственно компании могут отследить повышен-
но-аппаратных средств для автомати- самого процесса может оказаться невоз- ный износ оборудования и предло-
ческой вибродиагностики и непре- можно. В результате приходится полу- жить дополнительные варианты гаран-
рывного мониторинга состояния чать данные опосредованно или опи- тии или технического обслуживания.
оборудования. Разрабатывается НПЦ раться на те характеристики, которые В таких отраслях, как сельское хозяй-
«Динамика» (г. Омск) с 1992 года и можно измерить. Учитывая, что стои- ство, транспорт, аренда интеллектуаль-
включает в себя следующие средства мость датчиков снижается и приобре- ных коммерческих зданий, компании
[14]: переносная вибродиагностическая сти их уже не проблема, какое их чис- могут продавать как услугу объём про-
система Compacs-micro; комплекс стен- ло можно считать достаточным? Анализ изводства / объём перевозки / моточа-
довых систем для повышения качества издержек и потенциальной выгоды сы и т.п. По мере роста возможностей
динамического оборудования; диагно- будет иметь критически важное значе- и усложнения технологий всё больше
стическая сеть Compacs-Net для пере- ние в будущем. Так, современные ави- компаний будут искать новые страте-
дачи и визуализации информации о ационные двигатели можно оснастить гии монетизации продуктов и услуг по
текущем техническом состоянии обо- тысячами и даже десятками тысяч дат- образцу цифровых двойников.
рудования. Система вибродиагностики чиков, генерирующих терабайты дан- В перспективе для полной реализа-
КОМПАКС обеспечивает в автоматиче- ных каждую секунду. Однако в большин- ции потенциала цифровых двойни-
ском режиме диагностику, мониторинг стве случаев при наличии детальной и ков может потребоваться интеграция
и прогноз технического состояния точной системной модели, воспроизво- систем и данных всех производствен-
агрегатов (расчёт остаточного ресурса) дящей работу электрических и гидрав- ных экосистем. Создание цифровой
с выдачей рекомендаций обслуживаю- лических систем самолета, требуется модели полного жизненного цикла
щему персоналу по неотложным дей- лишь небольшое количество правиль- клиента или цепочки поставок, которая
ствиям для предотвращения развития но расположенных датчиков для полу- включала бы не только поставщиков
аварийных ситуаций, отказа и остано- чения ключевых входных и выходных первого уровня, но и их поставщиков,
ва оборудования. данных. Следовательно, в ближайшие могло бы позволить компаниям видеть
годы будет продолжаться активное раз- процессы на макроуровне. Однако вме-
Будущее цифровых двойников витие средств математического и имита- сте с тем такой подход потребует вклю-
Цифровые двойники базируются ционного моделирования, а также рост чения внешних субъектов в цифровые
на целом ряде технологий, которые доступных вычислительных ресурсов экосистемы внутренних процессов.
постоянно эволюционируют. К таким для моделирования в режиме реально- Долгое время развитию и промыш-
технологиям относятся: методы сбора, го времени. При этом качественный ска- ленному применению технологии циф-
передачи и обработки данных, матема- чок быстродействия вычислительных ровых двойников мешало отсутствие
тические модели физических процес- систем возможен только при переходе соответствующих стандартов. Одна-
сов, а также высокопроизводительные на квантовые вычисления. ко в сентябре 2021 года Россия пер-
вычислительные средства, используе- Также в ближайшие годы расширится вой в мире утвердила стандарты в
мые для проведения расчётов (моде- область применения цифровых двой- области цифровых двойников. Соот-
лирования) на основе этих моделей. ников. В логистике, производстве и ветствующий документ с названием
Поэтому будущее цифровых двойни- цепочках поставок цифровые двойни- «Численное моделирование» – ГОСТ Р
ков напрямую зависит от роста возмож- ки в сочетании с технологией машин- 57700.37-2021 «Компьютерные модели
ностей этих технологий. ного обучения и расширенными воз- и моделирование. Цифровые двойники
Алгоритмы искусственного интел- можностями сетевого подключения, изделий. Общие положения» одобрен
лекта и машинного обучения, с кото- такими как 5G, будут всё больше отсле- Росстандартом и вступит в силу 1 янва-
рыми работают цифровые двойники, живать, контролировать, направлять и ря 2022 года. Национальный стандарт в
требуют огромных объёмов данных. оптимизировать потоки товаров по все- области цифровых двойников изделий
Но зачастую на производстве данные му миру. Возможность в реальном вре- будет распространяться только на изде-
с датчиков теряются, искажаются или мени отслеживать местоположение и лия общего машиностроения, но при
собираются непоследовательно. Поэ- условия, в которых содержится товар необходимости на его основе в даль-
тому вопрос развития необходимой (температура, влажность и т.д.), будет нейшем могут быть разработаны стан-
инфраструктуры и трансформации считаться нормальной практикой. дарты, устанавливающие требования к
подхода к управлению данными явля- Организации, переходящие от про- цифровым двойникам изделий других
ется важным в контексте сокращения дажи продуктов к продаже продук- отраслей промышленности [10].

66 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ

Литература 8. Ростех создаст цифровой двойник вто- в России. [Электронный ресурс] // URL:
1. Airbus Industries (2015) Innovation. [Элек- рого уровня авиадвигателя ТВ7-117. https://lukoil.ru/PressCenter/Pressreleases/
тронный ресурс] // URL: www.airbus.com/ [Электронный ресурс] // URL: https:// Pressrelease?rid=561008 (дата обращения:
innovation/proven-concepts/in-design/ news.rambler.ru/weapon/45079600- 19.10.2021).
iron-bird/ (дата обращения: 19.10.2021). rosteh-sozdast-tsifrovoy-dvoynik-vtorogo- 13. Математический аппарат системы пре-
2. Grieves M. Virtually Perfect: Driving urovnya-aviadvigatelya-tv7-117/ (дата диктивной аналитики ПРАНА. [Элек-
Innovative and Lean Products Through обращения: 19.10.2021). тронный ресурс] // URL: https://prana-
Product Lifecycle Management, Cocoa 9. Вдовин К.Н., Феоктистов Н.А., Хабибул- system.com/ matematicheskij-apparat/
Beach, FL, USA, Space Coast Press, 2011. лин Ш.М. Исследование динамики износа (дата обращения: 19.10.2021).
3. Pettey C. Prepare for the Impact of Digital мельницы полусамоизмельчения путем 14. Система вибродиагностики динамиче-
Twins; Gartner: Stamford, CT, USA, 2017. математического моделирования // Тео- ского оборудования КОМПАКС. [Элек-
4. Shafto M., Conroy M., Doyle R., Glaessgen E., рия и технология металлургического тронный ресурс] // URL: http://www.
Kemp C., LeMoigne J., Wang L. (2010) NASA производства. 2017. dynamics.ru/products/ compacs-m/ (дата
technology roadmap: DRAFT modeling, 10. В России утвержден первый в мире стан- обращения: 19.10.2021).
simulation, information technology & processing дарт в области цифровых двойников 15. ТМК получила прибыль в размере
roadmap technology area 11, Nov 2010. изделий. [Электронный ресурс] // URL: 500 млн рублей от внедрения цифро-
5. Shafto M., Conroy M., Doyle R., Glaessgen E., https://www.rst.gov.ru/portal/gost/home/ вых двойников. [Электронный ресурс]
Kemp C., LeMoigne J., Wang L. (2012) NASA presscenter/news/newsRST/redirect/news/1 // URL: https://ru-bezh.ru/kompanii-i-
technology roadmap: modeling, simulation, /7463?portal:isSecure=true&navigationalstat ryinki/news/21/04/26/tmk-poluchila-
information technology & processing e=JBPNS_rO0ABXczAAZhY3Rpb24AAAABAA pribyil-v-razmere-500-mln-rublej-
roadmap technology area 11, Apr 2012. 5zaW5nbGVOZXdzVmlldwACaWQAAAABAA ot-vnedreniya-czi (дата обращения:
6. Во ВНИИАЭС началась разработка циф- Q4MzM5AAdfX0VPRl9f&portal:componentI 19.10.21).
ровых двойников атомных станций d=88beae40-0e16-414c-b176-d0ab5de82e16 16. Хитрых Д.П. Уровни сложности цифро-
малой мощности. [Электронный ресурс] (дата обращения: 19.10.2021). вых двойников. [Электронный ресурс]
// URL: https://www.rosenergoatom.ru/ 11. Золотарев О.В. Применение цифровых // URL: https://cfd-blog.ru/digital-twin-
zhurnalistam/news/37613/?sphrase_ двойников в горнодобывающей про- difficulty-levels/ (дата обращения:
id=85873 (дата обращения: 19.10.2021). мышленности: цифровой двойник мель- 19.10.2021).
7. НЦВ ускорит создание новых вертолетов ницы измельчения // Эффективность и 17. Хитрых Д.П. Цифровой двойник и ими-
благодаря цифровизации производства. безопасность горнодобывающей про- тационное моделирование. [Электрон-
[Электронный ресурс] // URL: https://rhc. мышленности. 2019. ный ресурс] // URL: https://cfd-blog.ru/
aero/media/nhc_digitalization (дата обра- 12. ЛУКОЙЛ создал самую большую цифро- digital-twin-and-simulation/ (дата обра-
щения: 19.10.2021). вую модель нефтяного месторождения щения: 19.10.2021).

НОВОСТИ МИРА

ГЛАВА INTEL ПРОГНОЗИРУЕТ усилия Intel и других участников полупро- заявил топ-менеджер, напомнив, что ранее
ДЕФИЦИТ ЧИПОВ ДО 2023 ГОДА водниковой отрасли по увеличению произ- корпорация объявила о значительном рас-
Хотя производители полупроводников стре- водства принесут результаты. С учётом это- ширении своих предприятий в американских
мительно расширяют производство, спрос в ус- го в Intel ожидают, что в ближайшее время штатах Аризона и Нью-Мексико.
ловиях пандемии продолжает расти, и глобаль- поставки микросхем продолжат существен- Глава Intel пообещал, что в ближайшем
ный дефицит чипов сохранится до 2023 года. но отставать от спроса. будущем компания объявит об ещё одном
Такое мнение на конференции в Малайзии «В целом дефицит полупроводников крупном объекте в США, а также в Европе.
высказал генеральный директор Intel Патрик весьма значителен, и до начала пандемии Ранее в октябре 2021 года агентство
Гелсингер (Patrick Gelsinger). Он побывал в стра- COVID-19 полупроводниковая промышлен- Reuters сообщало, что власти Италии ве-
не с рабочим визитом после объявленных кор- ность росла примерно на 5% в год… Ко- дут с Intel переговоры по поводу строитель-
порацией планов по строительству в Малайзии ронавирус нарушил работу цепочек поста- ства в стране нового завода по выпуску чи-
нового полупроводникового завода, в который вок и привёл к негативной динамике. В то пов, в который планируется инвестировать
предполагается вложить 7,1 млрд долларов. же время спрос резко подскочил на 20% в общей сложности 8 млрд евро. Предпола-
Завод будет расположен в малайзийском по сравнению с прошлым годом, а разба- гается, что на полупроводниковой фабри-
городе Баян Лепас в штате Пенанг, недале- лансированные цепочки поставок создали ке будет создано более 1000 рабочих мест.
ко от международного аэропорта. Intel уже большой разрыв [между спросом и предло- Кроме того, в планах Intel – проект по соз-
привлекает Малайзию к одному из заклю- жением] … и этот взрывной спрос не осла- данию в Европе предприятия по непосред-
чительных этапов производства полупрово- бевает», – рассказал о ситуации в отрасли ственной обработке кремниевых пластин для
дников – сборке ИС (IC packaging). В рамках глава американского чипмейкера. сторонних клиентов. Площадкой для объ-
инвестиционного плана, рассчитанного на Гелсингер отметил, что инвестиции в Ма- екта может стать Германия или Франция.
10 лет, компания намерена расширить мощ- лайзии – это часть предпринимаемых Intel Аналитики IC Insights прогнозируют в
ности в стране. Ожидается, что это позволит мер по дальнейшему наращиванию мощ- 2021 году рекордные капиталовложения в по-
создать более 4 тысяч новых рабочих мест. ностей в США, Европе и Азии. лупроводниковую отрасль – инвестиции выра-
Однако Гелсингер предупредил, что по- «Нужно просто время, чтобы построить все стут на 34% и достигнут 152 млрд долларов.
требуется не менее трёх лет, прежде чем эти мощности в ответ на всплеск спроса», – russianelectronics.ru

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 67


СТРАНИЦЫ ИСТОРИИ

Нижегородская радиолаборатория: история


первого советского научно-исследовательского
центра в области радиотехники
Владимир Бартенев (bartvg@rambler.ru)
В ноябре-декабре 1918 г. англо-фран-
В 2018 году научно-техническая общественность России отметила цузские военные корабли высаживают
100-летие Нижегородской радиолаборатории имени В.И. Ленина. десанты в Новороссийск, Севастополь,
2 декабря 1918 года Владимир Ильич подписал «Положение о Одессу, Николаев, Херсон. В ноябре-
радиолаборатории с мастерской» [1]. Хотя ещё в августе 1918 года декабре английские войска занимают
Нижегородская радиолаборатория (НРЛ) разместилась в трёхэтажном Баку. Общая численность войск интер-
здании на Верхневолжской набережной Нижнего Новгорода, вентов в России к концу 1918 г. превы-
официально днём её рождения считается день подписания Лениным шает 300 тыс. человек.
Положения. Мемориальные доски у входа в здание, где размещалась Обстановка в нашей стране в
Нижегородская радиолаборатория, напоминают о создателе первых 1918 году в докладе описывается неслу-
советских радиоламп М.А. Бонч-Бруевиче и изобретателе радио чайно. Именно в 1918 году, в столь кри-
А.С. Попове. Ныне здесь на первом этаже размещается музей тической ситуации, В.И. Ленин отдаёт
Нижегородской радиолаборатории (рис. 1). Как стало известно из личное распоряжение по разработ-
письма директору НРЛ, над музеем Нижегородской радиолаборатории ке и утверждению в Совете народных
нависла угроза закрытия. комиссаров нескольких важнейших
декретов, являвшихся программой дей-
ствий в области радио на длительный
Я вспоминаю, как в год 100-летия НРЛ августе белогвардейские войска атама- период. Необходимо отметить декрет
состоялась Международная конферен- на П.Н. Краснова развернули наступле- от 21 июля 1918 г. «О централизации
ция «Радиоэлектронные устройства и ние на Воронеж и Царицын. В августе радиотехнического дела» и декрет от
системы для инфокоммуникационных в Москве В.И. Ленин тяжело ранен дву- 2 декабря 1918 г. «О радиолаборатории
технологий», посвящённая Дню радио. мя отравленными пулями стрелявшей в Народного Комиссариата Почт и Теле-
На пленарном её заседании мною был него Ф. Каплан после митинга на заво- графов» [1]. Во втором декрете содер-
сделан доклад о Нижегородской радио- де Михельсона. Положение Советской жалось «Положение о радиолаборато-
лаборатории имени В.И. Ленина. При- власти к концу лета 1918 г. становится рии с мастерской», которое в короткое
веду фрагменты этого доклада, чтобы критическим. Под её контролем оста- время усилиями небольшого коллекти-
подчеркнуть историческую значимость ётся лишь 1/4 территории бывшей Рос- ва инженеров самых различных спе-
НРЛ и её вклад в развитие отечествен- сийской империи. Советская респу- циальностей, возрастов и квалифика-
ной радиоэлектроники. блика в кольце фронтов. Ситуация ций, поверивших в советскую власть и
Суровый 1918 год – первый год усложняется ещё и тем, что закончи- воодушевлённых призывом Ленина, в
советской власти. В России граждан- лась Первая мировая война. Германия условиях блокады, гражданской войны
ская война и иностранная интервен- и её союзники потерпели полное пора- и послевоенной разрухи воплотилось
ция стран Антанты, не признавших жение и капитулировали перед Антан- в жизнь в виде Нижегородской ради-
правительство большевиков во главе той. Поражение Германии высвободило олаборатории (НРЛ) – первого в Рос-
с В.И. Лениным. В конце июня Добро- значительные воинские контингенты сии научно-исследовательского учреж-
вольческая белая армия А.И. Деники- Антанты. В этих условиях руководство дения, вскоре получившего мировое
на начинает наступление на Кубань Антанты решает разгромить Советскую признание.
и захватывает Екатеринодар. В июле- Россию силами собственных армий. Какие же задачи были поставлены
перед вновь создаваемой радиолабора-
торией? Чтобы ответить на этот вопрос,
познакомимся с Положением о радио-
лаборатории, где первым пунктом запи-
сано: «Радиолаборатория с мастерской
Народного комиссариата почт и теле-
графов является первым этапом в орга-
низации в России Государственного
социалистического радиотехническо-
го института, конечной целью которо-
го является объединение в себе и вокруг
себя в качестве организующего центра:
а) всех научно-технических сил России,
Рис. 1. Так выглядит вход в здание, где размещалась Нижегородская радиолаборатории имени работающих в области радиотелегра-
В.И. Ленина, а теперь на первом этаже расположился музей НРЛ фа; б) всех радиотехнических учебных

68 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


СТРАНИЦЫ ИСТОРИИ

заведений России; в) всей радиотех-


нической промышленности России».
А в качестве конкретных ближайших
заданий названы: а) организация про-
изводства катодных реле с абсолютной
пустотой до 3000 штук в месяц; б) раз-
работка типовой приёмной радиостан-
ции для Народного Комиссариата Почт
и Телеграфов; в) разработка радиотеле-
графных передатчиков дальнего дей-
ствия».
Задача по объединению учёных со
всей России была решена успешно.
Первоначальная группа организаторов
НРЛ и ведущих сотрудников, в которую
входили В.М. Лещинский, М.А. Бонч-
Бруевич. П.А. Остряков, И.А. Леонтьев,
С.И. Шапошников, Г.В. Путятин,
И.В. Селиверстов, Л.Н. Салтыков,
А.А. Бобков, Я.А. Бобков, А.И. Антохин,
Н.Я. Марков и Л.И. Кабошин, быстро
пополнялась новыми сотрудниками.
Широкая программа новых исследо-
ваний и научно-технических работ
требовала привлечения крупнейших
специалистов. Вскоре в коллектив лабо-
ратории вступили А.Ф. Шорин, возгла-
вивший разработку новых радиотеле-
графных аппаратов, В.П. Вологдин со
своими сотрудниками М.М. Вербицким,
К.С. Шапошниковым и В.А. Жилинской,
занявшиеся разработкой высокочастот-
ных машинных генераторов (рис. 2).
Затем к ним присоединился Н.С. Холин,
организовавший химическую лабора-
торию, а позднее, в 1920 году, – выда-
ющийся радиофизик Д.А. Рожанский.
Также в 1920 году из Нижегородского
университета в работах НРЛ активное
участие приняли В.В. Татаринов и пер- Рис. 2. Копия письма нижегородского Газпрома ректору ФГАОУ ВО «Национальный
вый русский радиолюбитель Ф.А. Лбов, исследовательский Нижегородский государственный университет имени Н.И. Лобачевского»
а также некоторые молодые радисты
и студенты университета, в том числе лаборатория также отвечала и за их Михаил Александрович родился в
А.М. Кугушев, В.М. Петров и П.И. Кон- массовое производство. Первым дирек- Орле. В 1906 году по окончании Киев-
дратьев. Огромное влияние на харак- тором Нижегородской радиолаборато- ского коммерческого училища Михаил
тер всей деятельности НРЛ оказал рии был В.М. Лещинский, научными Бонч-Бруевич был зачислен юнкером
переезд в Нижний Новгород профес- руководителями – М.А. Бонч-Бруевич, в Николаевское инженерное училище
сора В.К. Лебединского. По инициати- В.П. Вологдин и А.Ф. Шорин. Эти три в Петербурге. Окончив его в звании
ве В.К. Лебединского при НРЛ был осно- руководителя и определяли три направ- подпоручика, был направлен на служ-
ван специальный радиотехнический ления научно-технической деятельно- бу во вторую роту искрового телеграфа
журнал «Телеграфия и Телефония без сти радиолаборатории: Бонч-Бруевич 5-го Сибирского сапёрного батальона
проводов» (ТиТбП), а также популяр- – радиолампы, в том числе мощные в Иркутске. В 1912 году в звании пору-
ный журнал «Радиотехник». В.К. Лебе- генераторные, Вологдин – машинные чика Михаил Александрович посту-
динский руководил также лаборато- генераторы высокой частоты и мощные пает в Офицерскую электротехниче-
рией, в которой работали О.В. Лосев – ртутные выпрямители, Шорин – ради- скую школу в Петрограде. К началу
изобретатель знаменитого «кристади- отелеграфные аппараты, телемехани- Первой мировой войны, закончив
на», и Д.Е. Маляров – изобретатель пер- ка, звуковая аппаратура. школу с дипломом военного инжене-
вого отечественного магнетрона. Но Обратимся к биографии Михаила ра, Бонч-Бруевич назначается помощ-
центральное место в НРЛ, несомнен- Александровича Бонч-Бруевича, выда- ником начальника правительственной
но, занимала лаборатория М.А. Бонч- ющегося ученого, основоположника радиостанции в Твери. С 1918 года он –
Бруевича, в которой разрабатывались отечественной электроники, создателя научный руководитель Нижегородской
первые советские радиолампы. Эта первых в мире мощных радиоламп [2]. радиолаборатории, а с 1928 года руко-

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 69


СТРАНИЦЫ ИСТОРИИ

реле-1). Первая партия этих приёмно-


усилительных ламп была выпущена уже
через год после открытия радиолабора-
тории. Затем налаживается их серий-
ный выпуск. Но Бонч-Бруевич стре-
мится создать мощные радиолампы
для радиотелефонных передатчиков,
и 15 января 1920 года в радиолабора-
тории производится первая радиотеле-
Рис. 3. Первоначальная группа организаторов и ведущих сотрудников НРЛ: В.М. Лещинский, фонная передача из Нижнего Новгоро-
М.А. Бонч-Бруевич, В.П. Вологдин и А.Ф. Шорин (слева направо) да с помощью передатчика мощностью
в 30 Вт. А уже 17 марта 1920 г. В.И. Ленин
водит Центральной радиолаборатори- ния воплощать в жизнь во Франции на подписывает постановление Совета
ей Электротехнического треста заводов фирме Дюкрете. Ситуация с изготовле- Труда и Обороны, в котором ставит-
слабого тока в Ленинграде. С 1931 года нием ламп у поручика Бонч-Бруевича ся ещё более сложная задача: «1. Пору-
Михаил Александрович возглавляет была ещё хуже. Не получив ни копейки чить Нижегородской радиолабора-
кафедру радиотехники в Ленинград- от военного ведомства, он был вынуж- тории изготовить в срочном порядке
ском электротехническом институте ден оплачивать затраты на изготовле- не позднее двух с половиной месяцев
связи. В это же время он избирается ние первых радиоламп из своего жало- Центральную радио-телефонную стан-
членом-корреспондентом Академии вания. К осени 1917 года на Тверской цию с радиусом действия 2000 верст.
наук СССР. С 1935 года он – заместитель радиостанции закончилось топливо 2. Местом установки назначить Москву
директора научно-исследовательского для двигателя по откачке газа из ради- и к подготовительным работам присту-
института (НИИ-9). В 1940 году Миха- оламп. Бонч-Бруевич был вынужден пить немедленно». Конечно, для реше-
ил Александрович внезапно умирает. поехать в Петроград с рапортом для ния поставленной задачи можно было
В этой краткой биографии Михаи- Главного военно-технического управ- поступить, как фирма Маркони, при-
ла Александровича следует выделить ления (ГВТУ), которое возглавлял пол- менившая в своём передатчике более
наиболее важный эпизод, который стал ковник Муромцев, чтобы выделили 100 радиоламп. Но Бонч-Бруевич
поворотным моментом, изменившим горючее для радиостанции. Однако пошёл другим путем. Он создаёт радио-
всю его последующую жизнь. Таким Муромцева там не оказалось. Посовето- лампу, которой ещё не было в мире. Для
моментом, конечно же, была Великая вали поспешить на вокзал, где Муром- рассеивания большой мощности анод
Октябрьская революция. Именно отно- цев собирался отправиться на дальне- такой лампы делается четырёхкамер-
шением к ней Михаил Александро- восточном экспрессе в Соединённые ным и охлаждается проточной водой.
вич предстаёт перед нами как истин- Штаты через Дальний Восток. Бонч- Так родилась радиолампа с небывалой
ный патриот России. В трудное время Бруевич успел прибыть на вокзал до по тем временам мощностью 1,5 кВт.
революционных событий 100-лет- отхода поезда. У международного ваго- В конце 1920 г. на Ходынской радио-
ней давности он не покинул Родину, а на он увидел Муромцева и Зворыкина станции начал работать передатчик с
принял решение отдать все свои силы в окружении родных и близких. Когда несколькими такими лампами с водя-
служению молодому советскому госу- объявили посадку, Муромцев стал уса- ным охлаждением общей мощностью
дарству, остро нуждавшемуся тогда в живать в вагон жену и дочерей. За ним около 5 кВт. А в 1922 году построена
отечественных радиолампах. А поки- последовал с семьей и Зворыкин. Гля- радиотелефонная станция в Москве
нуть Россию у поручика Бонч-Бруевича дя на всё это, Бонч-Бруевич понял, что мощностью уже 12 кВт. Эксплуатация
была возможность. Во время Первой это было паническое бегство из России радиостанции началась 21 августа
мировой войны в 1916 году, уже буду- и Муромцева, и всех остальных пасса- 1922 г., длина волны – 3000 метров.
чи помощником начальника Тверской жиров этого поезда. В отчаянии Миха- 17 сентября этой радиостанцией был
радиостанции, Бонч-Бруевич совершил ил Александрович порвал рапорт на передан первый радиоконцерт с уча-
поездку во Францию для изучения тех- топливо. Его охватили грустные мыс- стием артистов Большого театра [4].
нологии производства французских ли. Чем закончится война? Что станет с 19 сентября 1922 года после пуска
радиоламп, лучших в то время в мире. Россией, с русской армией, с его люби- Московской радиотелефонной стан-
Добираться ему до Парижа пришлось мым делом? Прошёл год, и безнадёжное ции Нижегородская радиолаборатория
через Финляндию, Швецию, Норвегию состояние сменилось у Бонч-Бруевича была награждена орденом Трудового
и Англию. Бонч-Бруевич благополучно надеждой на перспективы в его работе Красного Знамени. В 1924 году Нижего-
вернулся в Тверь, и зарубежная коман- после встречи с народным комиссаром родской радиолаборатории было при-
дировка оказалась ему весьма полезной, почт и телеграфов Вадимом Николае- своено имя В.И. Ленина.
так как уже в том же 1916 году им была вичем Подбельским в июле 1918 года. К 1924 году Нижегородская лаборато-
создана первая в Твери радиолампа. Слова Подбельского «Нам нужны свои рия – это уже крупный научно-техниче-
Дореволюционный период науч- радиолампы, а не французские» вдох- ский центр. Её территория значитель-
ной деятельности Бонч-Бруевича во новили Михаила Александровича. За но расширилась, число сотрудников
многом напоминал печальную судьбу этими словами последовали и конкрет- существенно возросло. В 1923 году
многих изобретателей того времени. ные дела. М.А. Бонч-Бруевич создаёт радиолам-
Так было, например, с А.С. Поповым, Первой советской радиолампой, пу мощностью 25 кВт. А в 1924 году в
который был вынужден для Россий- созданной в Нижегородской радиола- Нижегородской радиолаборатории
ского военного флота свои изобрете- боратории, была ПР-1[3] (пустотное разрабатывается радиолампа мощно-

70 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


СТРАНИЦЫ ИСТОРИИ

стью 100 кВт. Но Бонч-Бруевич, хотя течение недели в ночное время пере- го Генеральным директором АО «Газ-
уже и вынашивает мысль о создании давался позывной этой радиостанции. пром межрегионгаз Нижний Новгород»
радиостанции с 1000 кВт, понимает, Через некоторое время стали поступать С.Ю. Комиссаровым (рис. 3).
что создание одной радиостанции даже сообщения о приёме радиосигналов из Обращаюсь прежде всего к получателю
большой мощности не сможет обеспе- Москвы со всех концов света. Например, этого письма, уважаемой Загайновой Еле-
чить уверенный радиоприём на всей из США сообщалось, что радиосигна- не Вадимовне, ректору ФГАОУ ВО «Наци-
большой территории СССР. Поэтому в лы из Москвы заглушали даже местные ональный исследовательский Нижего-
Нижегородской радиолаборатории соз- радиостанции. Все эти эксперименты с родский государственный университет
даётся радиопередатчик для местного короткими волнами привели к тому, что им. Н.И. Лобачевского»: прошу Вас пре-
приёма. Такой передатчик имеет мощ- в 1926 году была установлена первая в дотвратить захват помещения музея НРЛ
ность 1,2 кВт. Схема модуляции анод- СССР коротковолновая магистральная Газпромом и при этом учесть, что неслу-
ная, длина волны 700…1400 метров. линия связи Москва – Ташкент. 16 янва- чайно Газпрому Федеральная антимоно-
Компактность этого передатчика и его ря 1928 года Нижегородская радиола- польная служба РФ запретила называться
дешевизна обеспечили получение боль- боратория за большие заслуги в радио- «национальным достоянием». Нацио-
шого заказа на изготовление 27 пере- строительстве была награждена вторым нальное достояние – это наша история,
датчиков Нижегородской радиолабора- орденом Трудового Красного Знамени. исторические личности, их свершения и,
торией для многих городов Советского В конце 1928 года, после десятилетнего конечно, музей Нижегородской радиола-
Союза. Эти передатчики сыграли боль- существования, Нижегородская радио- боратории, который необходимо сохра-
шую роль в развитии отечественного лаборатория вошла в состав Ленинград- нить для наших потомков.
радиовещания. ской Центральной радиолаборатории
Особое место в исследованиях Государственного электротехническо- Литература
Нижегородской радиолаборатории в го треста заводов слабого тока. В накоп- 1. А.М. Николаев. Ленин и радио. М.: Пар-
1925 году занимает исследование даль- ленном ценном опыте сотрудников тиздат, 1934.
него распространения коротких волн. Нижегородской радиолаборатории 2. П.А. Остряков. Михаил Александрович
Для этого были разработаны две ради- стала остро нуждаться зарождающая- Бонч-Бруевич. М.: Связьиздат, 1953.
олампы мощностью 25 кВт специаль- ся новая отечественная радиотехни- 3. М.А. Бонч-Бруевич. Усилительная лампа
ной конструкции для коротковолно- ческая промышленность [5]. Нижегородской Лаборатории (тип ПР1)
вого передатчика на диапазон волн Рассказывая об истории развитии // НРЛ, ТиТбп. 1919. № 6.
50…100 метров. Телеграфный пере- отечественной радиоэлектроники в 4. В.Г. Бартенев. Наше радиовещательное
датчик был двухкаскадный с задаю- НРЛ, возникает естественное желание наследие // Современная электроника.
щим генератором на двух лампах по задать вопрос чиновникам из ниже- 2014. № 1.
500 Вт и с усилителем мощности на городского Газпрома, почему они с 5. В.Г. Бартенев. В.К. Лебединский, М.А.
одной лампе в 25 кВт. Работа по испы- таким невежеством готовы уничто- Бонч-Бруевич, О.В. Лосев. Их объединя-
танию передатчика велась М.А. Бонч- жить нашу историческую память, под- ли научная школа, историческая преем-
Бруевичем и В.В. Татариновым в Москве вергнув захвату помещение музея НРЛ. ственность и патриотизм // Современная
на волне 83 метра. В марте 1925 года в Это следует из письма, подписанно- электроника. 2018. № 2.

НОВОСТИ МИРА

РОССИЯНЕ ТЕПЕРЬ ГЛАВНЫЕ крытый и свободный стандарт процессорной ет Syntacore в мире RISC-V, и я рада видеть
ПО МИКРОАРХИТЕКТУРЕ RISC-V архитектуры (ISA, Instruction Set Architecture), новые разработки и проекты, которые скоро
Россия в лице Syntacore (входит в группу созданный на основе концепции RISC (для появятся на рынке благодаря этой команде».
Yadro) впервые вошла в состав правления чипов с сокращённым набором команд) для В марте 2020 г. в Швейцарии была заре-
глобального консорциума RISC-V International. микропроцессоров и микроконтроллеров. гистрирована международная ассоциация
Организация занимается развитием и продви- Syntacore является членом-основателем RISC-V International. Члены RISC-V участву-
жением открытой процессорной архитектуры RISC-V International. Российская компания ют в разработке спецификации и расшире-
RISC-V. Помимо отечественной компании, в разрабатывает микропроцессорные ядра, ний RISC-V, а также соответствующего ап-
совет директоров ассоциации входят предста- которые микроэлектронные дизайн-центры паратного и программного обеспечения. Ас-
вители Google, Alibaba Group и Huawei. Члены могут использовать (например, по лицензии) социация управляется советом директоров,
правления определяют технические инициа- при создании процессоров. В начале 2016 г. который состоит из 20 представителей-чле-
тивы и долгосрочную стратегию ассоциации. компания одной из первых опробовала ком- нов, а также техническим комитетом руково-
Предприниматель Александр Редькин, мерческую систему на кристалле (SoC) на дителей рабочих групп. Они стоят во главе
гендиректор и основатель отечественной основе RISC-V SCR3. «Работа, проделанная технических инициатив ассоциации, а так-
компании Syntacore (входит в группу ком- Syntacore на протяжении многих лет по уско- же определяют её долгосрочную стратегию.
паний Yadro), стал первым россиянином, во- рению и совершенствованию своих процессо- В 2021 г. представители только трёх компаний
шедшим в совет директоров глобального ров, наряду со спецификацией RISC-V, позво- наряду с Syntacore дополнили совет директоров
консорциума RISC-V International. лила нашей экосистеме расшириться во всём ассоциации (в декабре – разработчик высоко-
Эта базирующаяся в Швейцарии неком- мире, – отметила Калиста Редмонд (Calista производительных процессоров RISC-V для цен-
мерческая организация объединяет около Redmond), генеральный директор RISC-V тров обработки данных Ventana Micro Systems и
2,5 тыс. компаний для развития и продвиже- International. – Повышение статуса компа- в апреле – инвестор Chengwei Capital).
ния RISC-V. Под RISC-V подразумевается от- нии до уровня Premier ещё больше укрепля- russianelectronics.ru

СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022 WWW.SOEL.RU 71


НОВОСТИ МИРА

«РОСАТОМ» ВОСХОДИТ супер-ЭВМ». В базе данных опубликован под управление госкорпораций, отмечает
НА «ЭЛЬБРУС» только один документ, в котором упомяну- собеседник “Ъ”. «С одной стороны, это обе-
По данным “Ъ”, госкорпорация «Росатом» ты имена возможных акционеров, – отчёт об спечит им дополнительный финансовый по-
хочет приобрести контрольный пакет акций итогах выпуска ценных бумаг за 1999 год. ток и поможет встроиться в цепочки госпо-
отечественного разработчика процессоров Из него следует, что исходно 25% акций ставок, а с другой – изменит курс развития,
«Эльбрус» АО МЦСТ. Решение о сделке мо- МЦСТ было у ИТМИВТ, 12% – у ОАО «НИИ может, даже ускорит его». По его мнению,
жет быть принято на ближайшем заседании супер-ЭВМ». Остальные акции распредели- вслед за МЦСТ и ReRAM последуют и дру-
совета директоров «Росатома» в этом году. лись между инженерами предприятия: по гие предприятия рынка микроэлектроники.
Весь актив оценён в 5–7 млрд руб. Участ- 13% у Бориса Бабаяна, Александра Кима, kommersant.ru
ники рынка полагают, что «Росатом» дей- Арнольда Плоткина и Сергея Семенихина.
ствует по поручению правительства. Смена Ещё 11% было у Станислава Рачинского. ТЕХНОЛОГИЯ МЕТАПОВЕРХНОСТИ
основного владельца МЦСТ, на их взгляд, По словам ряда собеседников “Ъ”, за по- ПОЗВОЛИЛА СОЗДАТЬ КАМЕРУ
необходима, чтобы сменить стратегию ком- следние несколько лет контрольный пакет РАЗМЕРОМ С ПЕСЧИНКУ
пании, сосредоточенную сейчас в первую акций (якобы около 70%) оказался сосре- Группа инженеров из Университета Ва-
очередь на госсекторе, и обеспечить её ин- доточен в руках Александра Кима. Минори- шингтона разработала новое поколение ме-
вестициями. тарными владельцами, говорит один из ис- таповерхностей, что позволило создать ка-
точников, выступают топ-менеджеры пред- меру революционно малого размера. Это
приятия. Собеседник “Ъ” в правительстве квадрат со стороной всего 0,5 мм, как пес-
уточняет, что «вопрос, кто всё же владеет чинка, однако она выдает изображение та-
МЦСТ, на совещаниях стараются не подни- кого же качества, как обычные видеокаме-
мать». Господин Ким в беседе с “Ъ” лишь ры в 500 000 раз крупнее её. Профессио-
подтвердил, что выступает бенефициаром нальные фотографы с этим не согласны, у
компании, не раскрыв долю и имена пар- новинки изрядное количество огрехов, но
тнёров. По итогам 2018 года чистая при- в данном случае это вторично.
быль МЦСТ составила 40 млн руб. при вы-
ручке 2 млрд руб.
МЦСТ занимается в основном поставка-
ми в госсектор, но в последние годы объ-
Источники “Ъ” на российском рынке ми- ём контрактов сокращался. Так, если в 2017
кроэлектроники рассказали, что «Роса- году компания завершила шесть контрак-
том» обсуждает получение контроля в АО тов на 2,6 млрд руб., то в 2019 году – толь-
МЦСТ (разрабатывает процессоры «Эль- ко один на 1,3 млрд руб., следует из базы
брус»), вся компания оценивается на уровне «СПАРК-Интерфакс». В декабре стало из-
5–7 млрд руб. вестно, что МЦСТ выиграл тендер на разра-
Госкорпорация уже провела due diligence ботку процессора «Эльбрус 32С», получив Главное отличие такой камеры от обыч-
и «готовится к началу сделки», утвержда- от Минпромторга 7,14 млрд руб. Это была ных в том, что здесь нет системы линз, и во-
ют собеседники “Ъ” и подтверждает источ- вторая попытка властей профинансировать обще каких-либо подвижных механизмов.
ник в правительстве. По его словам, реше- МЦСТ: в декабре 2020 года компания вы- Их заменяет массив из 1,6 млн «нанопо-
ние о покупке будет принято на ближайшем играла аналогичный тендер, но его резуль- стов» – конструкций специфической фор-
заседании совета директоров «Росатома», таты были отменены. мы, которые преобразуют свет по заданно-
которое пройдёт до конца года. Сделка c «Росатомом» – фактически спа- му алгоритму. Сама технология появилась
Сделку, по словам собеседников “Ъ”, ини- сение МЦСТ и с финансовой, и с управлен- ещё несколько лет назад, но в новой вер-
циировало правительство, где недовольны ческой точки зрения, считает топ-менеджер сии структура нанопостов была кардиналь-
показателями работы МЦСТ. крупного производителя электроники: «Ес- но преобразована при помощи методов ма-
Гендиректор МЦСТ Александр Ким заве- ли в прошлые годы компания жила за счёт шинного обучения и деконволюции на ос-
рил “Ъ”, что «информация о возможной по- государственных тендеров на опытно-кон- нове нейронных функций.
купке компании кем-либо всего лишь слу- структорские работы, то в последние годы Помимо малых размеров, такие камеры
хи». Его заместитель Константин Трушкин их стало меньше, и, похоже, МЦСТ нужно хороши тем, что их можно встроить прямо
заявил “Ъ”, что «ничего об этом не знает», и изменить стратегию». в конструкцию какого-либо устройства как
отказался уточнить состав акционеров ком- Возможно, поглощение актива происхо- его часть, а не отдельный модуль. Напри-
пании. В «Росатоме» отказались от коммен- дит по поручению правительства, полагает мер, превратить в одну большую камеру
тариев. один из участников рынка. По его информа- всю заднюю панель смартфона, чтобы он
По данным «СПАРК-Интерфакс», АО ции, аналогичное распоряжение дано «Роса- мог снимать видео любой её частью. Или
МЦСТ учреждено в 1992 году, уставный тому» в отношении активов «Крокус-Нано» оснастить поискового робота таким коли-
капитал компании составляет 3 млн руб. (производит резистивную память ReRAM; чеством камер, чтобы он продолжал пе-
99,88% акций АО у «граждан РФ», еще сейчас, по данным «СПАРК Интерфакс», редавать картинку из опасной зоны даже
0,08% – у Института точной механики и на 99% у «Роснано»). Это укладывается при получении повреждений и частичной
вычислительной техники им. С.А. Лебеде- в общую стратегию государства: завести поломке.
ва РАН (ОАО ИТМИВТ), 0,04 – у ОАО «НИИ все крупнейшие технологические активы techcult.ru

72 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2022


Реклама
СОВРЕМЕННАЯ ЭЛЕКТРОНИКА 1/2022
Реклама

Вам также может понравиться