Вы находитесь на странице: 1из 4

6.- Utilizanado la herramienta Max Plus II,disee un circuito contador escalador que proporcione la secuencia :5 ,7 ,2,0,4,5,.

7._ Utilizanado la herramienta Max Plus II, disea la maquina de estados que reconozca la secuencia 0011,aun traslapadas.

-- uso de libreria ieee library ieee; use ieee.std_logic_1164.all; -- declaracion de la entidad entity pregunta7 is port (data,clk: in std_logic; salida : out std_logic); end pregunta7; -- inicio de arquitectura architecture arc_p7 of pregunta7 is type estados is (A,B,C,D); signal est_pr,est_sig: estados; begin -- proceso 1 combinacional pro1: process (data,est_pr) begin case est_pr is when A => salida<='0'; if data='0' then est_sig<=B; else est_sig<=A; end if; when B => salida<='0'; if data='0' then est_sig<=C; else est_sig<=A; end if; when C=> salida<='0'; if data='0' then est_sig<=C; else est_sig<=D; end if; when D=> if data='0' then est_sig<=B;salida<='0'; else est_sig<=A; salida<='1'; end if; end case; end process pro1; -- proceso 2 secuencial pro2: process (clk) begin if (clk'event and clk='1') then est_pr<=est_sig; end if; end process pro2; end arc_p7; -- final de arquitectura

-- seales auxiliares de tipo estados

10._ Utilizanado la herramienta Max Plus II,disee una Unidad Aritmetica y Logica; CONROL S1 0 0 0 0 1 1 1 1 Entradas A:8 bits B:8 bits Cin :carry de entrada OPERACIN S2 S3 0 0 F=AND(A,B) 0 1 F=OR(A,B) 1 0 F=XOR(A,B) 1 1 F=NOT(A) 0 0 F=A+B+Cin 0 1 F=A-B+Cin 1 0 F=A-1+Cin 1 1 F=A+Cin Salida F:8 bits Cout :carry de salida

Вам также может понравиться