Вы находитесь на странице: 1из 17

RET Integration of CPL Technology for Random Logic

Stephen D. Hsu1, Doug Van Den Broeke1, J. Fung Chen1, Xuelong Shi1, Michael Hsu1, Tom Laidig1, Will Conley2, Lloyd Litt2, and Wei Wu2
1

ASML MaskTools, Santa Clara, CA 95054, USA,


2

Motorola, Austin, Texas, USA

ABSTRACT
As IC fabrication processes are maturing for the 130nm node, IC devices manufacturers are focusing on 90nm device manufacturing at ever-lower k1 values. Driven by cost savings, many integrated device manufacturers (IDMs) and foundries are working toward patterning critical mask layers of 90nm designs using high numerical aperture KrF exposure tools. The goal of this study is to find out whether KrF can be successfully used instead of ArF for fabricating 90nm devices. This exercise will help to gain learning for the upcoming 65nm node, where the early manufacturing phase will also be carried out at a similar k1 of near 0.3 using ArF. For high volume wafer production, the cost and throughput are in favor of using a single exposure PSM technique vs. the two masks and double exposure technique required for alternating phase shift masks (altPSM). The high mask cost of altPSM also discourages its use for low volume manufacturing. The two leading candidates candidates for 90nm node using KrF are: 6% attenuated PSM and CPL Technology. In this work, we present a methodology on how to use transmission tuning to achieve the best process latitude for patterning poly gate layer. First, we analyze the diffraction patterns from 6% attPSM and CPL mask features and identify the optimum transmission for various pitches. Next we describe how CPL mask can be used as a variable transmission attenuated mask to produce the best through pitch imaging performance and show a practical implementation method for applying to real device designs. Then we demonstrate how to integrate the optimized transmission tuning into the data process and OPC flow for generating CPL mask. Finally, we provide an example experimental result on a real device pattern. Keywords: CPL Technology, 6% attenuated PSM, Scattering Bar OPC, CD control, transmission tuning

1. INTRODUCTION
It is well known that imaging using off-axis illumination with an attenuated phase shift mask can improve process latitude [1] [2] [3]. To use KrF at the 90nm technology node for logic device fabrication, mask optimization becomes a critical and integrated part of the imaging system that directly impact the overall lithography process performance. Chen first introduced the use of the chromeless phase lithography (CPL) mask with high NA and strong off-axis illumination (OAI) [4]. It has been demonstrated that CPL mask has very high printing resolution and is capable of printing complex 2D patterns [5][6][7]. Similar to the ternary attPSM, CPL mask has three tones: chrome (0% transmission, non phase shift), etched quartz background (100% transmission, 0-phase) with un-etched area to form mesa feature (100% transmission, -phase). For optimized through-pitch imaging performance, chrome can be proportionally applied on the top of the -phase mesa feature (when viewed the mask blank with chrome side up) to achieve the desired percentage of transmission. Hence, CPL mask is in fact a variable transmission attenuated PSM. The ability of tuning transmission with CPL mask can further enhance printing performance. The main objective of this paper is to demonstrate how to fully optimize CPL imaging through pitch for random logic applications. There are six parts of this paper. In section 2, we first analyze the diffraction orders of reticle patterns with different transmissions. This is followed by section 3 where we discuss the principle of transmission tuning for CPL and the impact of mask transmission on the mask error factor (MEF) [8]. Then in section 4 we show how to use transmission tuning to minimize the forbidden pitch problem, a very critical concern when with higher NA. Section 5 gives the experimental results for using a high NA ArF scanner to confirm the proposed transmission tuning

theorys prediction of improving imaging performance. Section 6 presents a RET mask integration flow that incorporates the transmission tuning into the data conversion and OPC flow for treating full chip mask data.

2. MASK TRANSMISSION AND DIFFRACTION PATTERN COMPARISON


The transmission percentage value of mask features influences the primary diffraction orders that determine the aerial image intensity. Historically, the percentage value associated with an attenuated phase shift mask refers to the intensity transmittance of its attenuating layer with respect to the transmission of quartz substrate. For coherent illumination, the intensity transmittance function T(x) is related to the complex amplitude transmittance function t(x) for the electric field and can be expressed as complex value [9]:

(1) t ( x ) = T ( x ) exp( j ( x )) Where, (x) is the phase shift introduced by the attenuated materials for attPSM or by the quartz etch step for CPL. When the shifter introduces a 180-degree phase shift with respect to the mask background, the amplitude transmittance function is equal to the negative square root of the intensity transmittance function, t(x)= -T(x), For simplicity, consider an infinite grating on a clear field mask with a line width, w, line space, s, pitch, p, amplitude transmittance, t(x), and with180-degree phase shift, see Figure 1.

Figure1: A grating with pitch p, line width w and a mask transmission function m(x) with amplitude transmittance t(x). The mask transmission, m(x), can be expressed as:
1 x m(x) = rect ( ) comb p s x ( ) p 1 T rect ( p x s p 2 ) comb ( x ), p p = s + w

(2)

From Fourier optics, the electric field transmitted by the mask forms a distribution in the pupil plane, which is proportional to the mask spectrum. The field for a point source is given by equation (3) and the intensity is given by (4):
E (x) = F

[P (

f x ) F ( t ( x )) ]

(3) (4)

I ( x) = E ( x) E ( x)

Where, t(x) is the mask transmittance function and F (t(x)) is the mask spectrum that directly contributes to the electric field. F is the Fourier transform operator, F- is the inverse transform operator, P is the pupil function, fx is the

pupil frequency coordinate, E is the electric field, and I is the intensity at the image plane. Taking the Fourier transform of equation (2), we now have:
F {m ( x ) } = n 1 sin( k x S ) sin( k x w ) ) b * exp( jk x p ) * ( k x ) (s p p k xS k xw p sin( ) = n , k x = sin( ) 1 1 (s w T ) = [ p w (1 + p p T sin( T )] T) (5 )

0 th order , n = 0 , k x = 0 F 0 ( k x ) = 1 order , n = 1, k x =

(6) (7 )

s 1 1 F1 ( k x ) = sin( )+ p p

w
p

w 1 ) = sin( )( 1 + p

Equation (6) indicates that the 0th order amplitude decreases as the mask intensity transmittance increases; equation (7) indicates that the 1st order amplitude increases as the mask intensity transmittance increases. This can be seen in Table 1 when comparing both 0th and 1st orders of CPL(100%) mask to 6% attPSM. Modifying the mask transmission changes the amplitude of the diffraction orders; thus has a significant impact on the image quality. Note that for 100% transmission, 1:1 line to space ratio the 0th order component is not present. The comparison of mask spectra for bright intensity binary (BIM), CPL, 6% attPSM, and alternating phase shift mask (altPSM) is summarized in Table 1. Mask type BIM (bright field) CPL (100%)
6% attPSM

0th Order w 1 P

(1
(1
j

2w ) p

1st Order w 1 sin( ) P w 2 sin( ) p

1 .24 w ) p

1.24

alt-PSM

cos(

p 2 w sin( ) 2P

sin(

Comment w is the line width, p is the pitch 0th order present for 1:1 line & space No 0th order for 1:1 line & space ratio, CPL has lower 0th and higher 1st order as compare to 6% attPSM Lower 0th order and higher 1st order comparing with BIM

When =, No 0th order for all pitch

Table 1: Comparison of mask spectra for bright field BIM, CPL mask, 6% attPSM, and altPSM.

3. TRANSMISSION TUNING 3.1 Effect of transmission tuning on image quality


In this section, we expand the analysis from Section 2 to partial coherent imaging and examine how mask intensity transmission changes that can impact image quality. The CPL mask is essentially a weak PSM that requires off-axis illumination to improve the depth of focus (DOF). The image spatial frequency is the same as the mask. Therefore, CPL mask is a three beam (-1, 0, +1 diffraction orders) imaging system. For low k1 imaging, strong OAI such as quasar or dipole illumination types is best suited. A KrF 0.8 NA exposure tool with quasar illumination (o=0.92, i =0.72, =30-degree) is used for simulation to illustrate the transmission tuning concept. Figure 2(a) shows plots of amplitude versus diffraction order of 80nm line at 220nm pitch for different mask transmission values. Figure 2(b) shows the 2D overlap of the diffraction orders captured by the projection pupil for the same line/space pattern with 0%, 6%, 25%, 35%, and 100% transmissions respectively. Equation (6) and (7) predict that as the mask transmission increases, the amplitude of the 1st orders increase and the 0th order decreases. In low k1 imaging, the 1st orders are only partially captured by the projection pupil. Therefore,

the 0th and 1st order amplitudes do not give the complete picture of the interaction between these diffraction orders. We need to consider how much of the 1st orders that are captured by the projection pupil, see Figure 2(b).

(a)

Bin

6%

25%

35%

100%

(b)

Figure 2. (a) is Fourier transform of the mask with only those of diffraction orders that make it to wafer level are shown and (b) is 2D representation of the corresponding orders at the projection pupil plane for 80 nm lines, 220 nm pitch feature with 0%, 6%, 25%, 35%, & 100% transmission respectively. Assuming vector-unpolarized light, Figure 3 depicts the corresponding aerial image intensity at the image plane for each transmission value in Figure 2. Again, this assumes 80nm line features with 220 nm pitch. We see that 35% transmission gives the best image contrast or normalized image log slope (NILS).

Intensity Transmission Bin 6% 25% 35% 100%

NILS 0.67 0.91 1.14 1.2 1.15

Figure 3: Aerial image intensity for 80nm lines and a 220nm pitch, 0.8 NA KrF, quasar illumination (o=0.92, i =0.72, =30-degree). As shown that 35% transmission gives the best image contrast or normalized image log slope (NILS). The print CD target is 90nm. Figure 2 shows that as we push to resolve smaller pitches, not all of the 1st order is captured by the projection pupil. Hence, not all of the first order light contributes to image formation. The mask transmission alters the ratio

between 0th and 1st order amplitudes and hence impacts the interference between the two beams. To properly account for the portion of the 1st order that generates the maximum interference, the energy transmitted by the orders needs to be calculated. Figure 4a shows that for 35% transmission, the sum of the 1st order captured in the pupil is equal to the total of the 0th order, and this gives the most balanced intensity distribution in the pupil.

Defocus

(a)

(b)

Figure 4. 80nm lines at 220nm pitch, same exposure settings as in Figure 3. (a) Normalized power captured by pupil for 0th and 1st orders. For 35% feature transmission, the sum of the 1st orders captured by the pupil is equal to that of the 0th order. This gives the most balanced energy distribution in the pupil. (b) Plot of NILS versus mask transmission for several defocus conditions. Maximum NILS always occurs at 35% transmission through focus. To verify that the 35% transmission is the best transmission for the 220nm pitch at the specified illumination setting, additional simulation was performed using Prolith v7.2 using the vector unpolarized simulation setting. Normalized image log slope (NILS) is directly related to image contrast. The higher the NILS, the better the image contrasts. This directly translates into improved exposure latitude. Figure 4b confirms that 35% transmission gives the highest NILS through focus. For 80nm CD and 220nm pitch lines on the mask, the aerial image comparison for CPL and 6% attPSM is shown in figure 5a. For the same 80nm CD, CPL gives a lower minimum intensity. Negatively biasing the mask line width to 75nm further improves the image sharpness and produces a higher NILS (Figure 5b). A negative bias is required to increase the 0th order (equation 6) producing better contrast for dense CPL features.

(a)

(b)

Figure 5. Aerial image comparison for CPL and 6% attPSM, target CD is 80nm on mask. In (a), for 220nm pitch, CPL results in a lower minimum intensity. Applying negative bias, to 75nm, improves the image quality. (b)

shows that by applying 5nm negative bias can further improve NILS and the image contrast is improved by biasing the phase line to 5 or 10 nm narrower.

3.2 Effect of transmission tuning on process latitude


Focus-Exposure matrices (FEMs) were computed for six transmissions: 0%, 6%, 25%, 35%, and 100%. The same KrF 0.8 NA and quasar illumination conditions were used. The illumination is optimized for 240nm pitch, Figure 6(a). With 35% transmission, we have the same optimized the 1st to 0th order ratio, as shown in Figure 6(b). The effect of transmission on exposure latitude versus DOF is plotted in Figures 7(a) and 7(b). It is evident that 35% transmission gives the best E-D (exposure-dose) latitude. For a 90nm printed CD, we have 0.6m DOF @ 16% exposure latitude. Figure 7(b) shows that as transmission increases, the minimum intensity becomes lower. As implied in Figure 3, it requires to lower intensity threshold level to achieve the same printed CD. Hence the higher required dose is needed for higher % transmission feature. The trend of increasing dose with increasing transmission is clearly shown in Figure 7(b).

(a) (b) Figure 6. (a) shows the projection pupil for 240nm pitch, 90nm line with 35% transmission and (b) is the corresponding total energy captured for the 1st and 0th orders.

(a) (b) Figure 7: (a) shows the effect of transmission on exposure latitude versus DOF, while (b) indicates the corresponding process windows from (a). Note that Cr has highest minimum intensity level. For achieving the same printed CD, it needs to use highest printing threshold and the lowest exposure energy.

3.3 Effect of transmission tuning on mask error factor (MEF)


Mask error factor (MEF) or the mask error enhancement factor (MEEF) is defined as the ratio of the change in resist feature size that is corresponding to the change in mask feature size scaled by the magnification, of the exposure tool [4].
MEF = CD Re ticleCD / M

(8)

Where, M is the exposure tool reduction factor. Many lithography process variables such as de-focus, lens aberrations, line width, mask tone, optical proximity correction (OPC), resist contrast and resist thickness, and among other factors all have an impact by this MEF factor. In Section 3.1, we illustrate that for a given CD and pitch, changing the mask transmission or bias impacts the image quality. As the image contrast changes, the printed resist contour also changes. In turn, this impacts the MEF. Figure 8 shows the simulated image MEF as a function of reticle CD at 1X for a target CD 90nm at 220nm pitch, using KrF with the same exposure setting as seen in Figure 3. Typically, the higher the image contrast, the lower the MEF. In Figure 3, we show that 80nm line CPL (100% transmission, -phase) feature has better image contrast than the corresponding 6% attPSM feature. Use the same condition in Figure 9, the MEF for CPL is 2 for printed resist CD at 80nm, while for 6% it is greater than 10! As the mask transmission increase above 25%, a negative bias is required to achieve the lowest MEF. The feature CD corresponding to the minimum MEF decreases as transmission is increased. For a 90nm printed CD target, CPL requires a 15nm negative bias to give the lowest MEF. Therefore, MEF is a strong function of feature bias and mask transmission. This is understandable, since both of them change the ratio of 0th and 1st orders amplitude and the energy captured by the pupil.
A e ria l Im a g e M E F v s T ra n s m is s io n K rF N A = 0 .8 s o /s o = 0 .9 2 /0 .7 2
b in -M E F T 6 % -M E F
T 2 5 % -M E F T 3 5 % -M E F T 1 0 0 % -M E F

11 10 9 8 7 6 5 4 3 2 1 0 65

MEF

75

85

95
C D (n m )/4

105

115

125

Figure 8. Image MEEF as a function of reticle CD at 1X. Target resist CD is 90nm line, 220nm pitch.

3.4 Effect of transmission tuning on mask CD linearity


For low k1 lithography, a good understanding of CD linearity behavior is an important for better CD control. Figure 9 shows the simulated CD though size behavior as a function of mask intensity transmission using the same setting in Figure 3. Target CD line is 90nm, 220nm pitch. It is evident that from Figure 9 that for CD smaller than 70nm CPL line feature (100% transmission, -phase) has the best resolution and linearity behavior. Note that as CPL line feature CD on the mask increases to become larger than 100nm (in 1x wafer scale), the light leaks through between the two phase edges, i.e., the two phase edges for a phase line no long forms destructive interference. It is not possible to use

such a phase line to print CD larger than 80nm. For CD between 75nm and 95nm 35% to 40% transmission gives the best linearity. This can be achieved by applying halftone chrome patches. To control the CD linearity larger than 95nm, we need a transmission less than 40%. To reduce the data volume increase due to halftoning, solid chrome is typically selected for this region. The region that requires 100% transmission is defined as zone 1, the region that needs halftoning is zone 2 and the region where geometries are larger enough for using chrome is zone 3.

100%
zone1

~40%
zone2

< 40%
zone3
Intensity Transm ission

Figure 9. CD linearity as a function mask transmission for 0.8 NA KrF, quasar illumination (o=0.92, i =0.72, =30-degree). Target resist line CD is 90nm, 220nm pitch.

4. TRANSMISSION TUNING FOR FORBIDDEN PITCH


It is well known that as k1 becomes lower, optical proximity effect caused by the interaction between the features of interest and their neighboring structures becomes much more severe. At a given NA setting, such optical interactions not only affect the critical dimension, but also impact the exposure latitude of the feature of interest. Previous studies have shown that at the so-called forbidden pitches range, the exposure latitude is very poor [11, 12]. For pitches that are large enough for inserting scattering Bars (SB), the exposure latitude can be improved. But not at pitches where there is not enough space for inserting SB. Hence the through pitches process latitude is limited by the forbidden pitch, as the name suggests. Based on the transmission tuning theory presented in Section 3, we show that transmission tuning can be used to solve the forbidden pitch issue. For higher NA, the forbidden zone shifts to smaller pitch and that makes it more even difficult for inserting SB. We have discovered that transmission tuning provides an effective way to mitigate this problem.
4.1 Transmission impact on forbidden pitch: KrF example Figure 10 shows the simulation results of the normalized image log slope (NILS) through pitch as a function of mask transmission for KrF system with 0.8 NA, quasar illumination (o=0.92, i =0.68, =30-degree). It is clearly noticeable that at 390nm pitch there is a sharp drop in NILS for all transmission values. This clearly indicates that pitch 390nm is the weakest pitch in terms of exposure latitude.

Based on the same analysis methodology in Section 3, using the same 0.8 NA and sigma setting, we have identified that the optimum transmission for 240nm pitch is 35%. Figure 11 is the transmission versus NILS through defocus range of 0.1 to 0.5 for 390nm pitch; the optimum transmission is 100%. For the 390nm forbidden pitch, using the dose set at resolving 240nm pitch, four additional focus and exposure matrixes simulation were run, for binary, 6%, 35%, and 100% mask. Figure 12 (a) is the exposure latitude versus focus plot, and as the theory predicted, the 100% transmission produces the best ED latitude. Figure 11 (b) shows the overlapped process window for 240nm and 390nm pitch. By properly optimizing the transmission for the 390nm forbidden pitch, it is demonstrated that 0.4um DOF @10% exposure latitude is achievable. This KrF case shows that transmission tuning can be used to overcome the forbidden pitch problem.

Figure 10. NILS plotted though pitch for four different mask transmission using 0.8 NA, quasar illumination (o=0.92, i =0.68, =30degree). The mask CD is 80nm. The four transmission values are: Blue (BIM), Red (6%), Green (40%), & magenta (100%).

Defocus (m)

Defocus (m)

(a)

(b)

Figure 11: Transmission versus NILS through 0.1 to 0.5 defocus range for (a) 240nm pitch, the optimum transmission is 35% and (b) 390nm pitch, the optimum transmission is 100%.

(a)

(b)

Figure 12: Simulation result for (a), exposure latitude versus de-focus plot. As the theory predicted the 100% transmission produces the best ED latitude. (b) shows overlapped process window for 240nm pitch with 35% transmission and 390nm pitch with 100% transmission. By properly optimizing the transmission for the 390nm forbidden pitch, workable 0.41um DOF @10% exposure latitude can be achieved.

4.2 Transmission impact on forbidden pitch: ArF example

The position of forbidden pitch is a strong function of NA and wavelength. For high NA ArF systems, the forbidden pitch shifts to a smaller pitch where there is no space for applying SB OPC. Figure 13 is the simulated NILS though pitch for using a 0.85NA ArF with x dipole illumination using binary intensity mask, the forbidden pitch range shifts to 200-250nm pitch range. In such small pitch, it is too small to insert SB to shift the energy to higher diffraction order to improve the image quality.

Figure 13. Simulated NILS versus pitch for 0.85 NA, dipole illumination (o=0.96, i =0.48, =35-degree). The forbidden pitch range shifts to 200-250nm pitch range, which is too small for inserting SB OPC.

To apply transmission tuning: first, we identify that the optimum transmission for the most dense 130nm pitch is 10%. The simulated Image contrast through focus versus feature transmission is shown in figure 14(a). Then, the same method is applied for the 200nm forbidden pitch to optimize the transmission. Figure 14(b) is the simulation result for image contrast versus transmission at pitch 200nm through focus; the optimum transmission is 20%.

Defocus (m)

Defocus (m)

(a)

(b)

Figure 14. Simulation result for: (a) Image contrast through focus for the densest 130nm pitch, the optimum transmission is 10% and (b), image contrast though focus for the 200nm forbidden pitch and the optimized transmission is 20%. To verify this improvement in process latitude, three FEMs using BIM, 20%, and 40% transmission were run with dose optimized for resolving the 130nm pitch. The simulation result is in Figure 15, it clearly shows that 20% transmission produces the best exposure defocus (E-D) latitude.

(a)

(b)

Figure 15: Simulation result for effect of transmission tuning on process latitude for ArF system, same exposure setting as in Figure 13. (a) E-D latitude comparison for BIM, 20%, and 40% transmission at 200nm pitch. Here 20% transmissions produce the best process E-D latitude. (b) is the corresponding overlapped process window. By setting the mask transmission to 20% for the 200nm pitch, we achieve 0.21m DOF @ 10 % exposure latitude. For BIM, only 0.18m at 10% exposure is attainable. Hence 16% DOF improvement can be realized for 200nm pitch with transmission tuning. 4.3 Implementation of transmission tuning Transmission tuning can be implemented differently in several ways [13]. One is the rim shifter method, where chrome near the line edge is etched to produce a center chrome patch on top of the mesa structure (in chrome side up view). The second method involves a center phase line surrounded by a chrome border where the center portion of the chrome is removed by the second etch. In the halftoning method, chrome and phase regions alternate like a zebra shape where the duty of chrome patch is beyond the imaging system cut-off frequency. The halftoning zebra method has the highest second write misalignment tolerance. Figure 16 gives an example of the three methods for implementing the transmission tuning for a logic design.

Figure 16. The three methods for implementing the transmission tuning.

Mask Layout
Chrome

1 2

Mask Substrate Xsection

Qz

Qz

1 2

(a) Stripe method

(b) Rim shifter (c) Halftoning zebra

5. EXPERIMENTAL VERIFICAITON
5.1 Experimental conditions

All the exposures were done with an ASML PAS 5500/1100 ArF scanner with 0.75 NA, dipole (35o opening angle, in=0.65, out=0.89) and quasar illumination (30o opening angle, in=0.65, out=0.89). The targeted print CD was 70nm. For all experiments, wafers were coated with 150 nm of ARX1091 S on ARC29, developed with OPD 262. The wafers were coated on a TEL ACT track. CD metrology was done using a KLA-8450R SEM.
5.2 Transmission tuning experimental results

X-dipole illumination was used in the transmission tuning experiment. The targeted CD was 70nm at 160nm pitch. Three types of structures were used: pure phase lines, chrome halftoned zebra lines, and pure chrome lines, which corresponded to 100%, 40%, and 0% transmission respectively. Figure 17(b) shows that largest exposure latitude is achieved for zebra lines. Figure 17(a) is NILS vs. feature transmission as a function of defocus. These results are based on the analysis in Section 3, since NILS is directly related to exposure latitude. We expect the optimal transmission to be 30% to 40%. Experimental results confirm that for dipole illumination, zebra lines yield the best exposure latitude, followed by pure phase lines. The pure chrome lines had the worst performance. These results agree with the NILS simulation.

Defocus (m)

(a) Simulated NILS though focus

(b)

Experimental result

Figure 17. (a) The simulated NILS though focus plot for x-dipole illumination (35o opening angle, in=0.65, out=0.89) NA=0.75. Target CD = 70nm at 160nm pitch and (b) is the corresponding experimental result using same illumination setting as in (a). It shows the E-D latitude comparison for -phase (100%), zebra (40%), and chrome (0%) lines. To verify the theory and simulation result through multiple pitches, same transmission tuning experiments for multiple pitches were tested using quasar illumination, (30o opening angle, in=0.65, out=0.89). Target CD was 70nm at a 220nm pitch. To validate the transmission tuning though pitch, we also selected pitches 330nm, 360nm, and 450nm for confirmation. Figure 18(b) is the transmission tuning result for the 70nm target CD at 220nm dense pitch. The 4X CD on the reticle for chromeless(100% transmission, -phase), zebra and chrome features were 280nm, 280nm and 320nm respectively. The zebra line was equivalent to ~40% transmission and produced the best exposure latitude. Chromeless was the second best and chrome was the lowest. Figure 18(a) shows the simulated NILS through focus; the optimal transmission was approximately 50%, which matched the experimental results quite well. Figure 18(d) shows transmission tuning for a 330nm pitch without SB. The simulated NILS in Figure 18(c) predicts that 100% chromeless gives the best exposure latitude for 330nm pitch. Experimental results confirm that chromeless has the highest exposure latitude followed by zebra (40% transmission). Chrome has the worst exposure latitude. Figure 18(f) is the transmission tuning result for a 360nm pitch, which is the forbidden pitch, without SB;

the 4X reticle CD is 320nm for chromeless, zebra and chrome features. The chromeless line has the best exposure latitude followed by the zebra and finally chrome. This also matches well the simulated NILS in Figure 18 (e). Transmission tuning can be achieved internally by varying the amount of chrome on the feature itself or externally with SB. Figure 19 is the experimental result for 450nm pitch; Figure 19(a) shows the E-D latitude for 450nm pitch without SB. The chromeless line gives the best exposure latitude, the zebra (40%) is the second best, and chrome is the worse. Figure 19(b) is the same 450nm pitch with a 50nm center SB. The exposure latitude trend is the same as without SB except the DOF is better. For pitch that has enough spacing for adding SB, transmission tuning can be combined with SB to extend the overall process latitude.

Defocus (m)

(a) Simulated NILS though focus

(b) Experimental result

Defocus (m)

(c) Simulated NILS though focus

(d) Experimental result

Defocus

(m)

(e)

Simulated NILS though focus

(f) Experimental result

Figure 18: Experimental verification results of transmission tuning for 0.75 NA ArF, quasar illumination. (30o opening angle, in=0.65, out=0.89). Figures (a) (c) (e) are simulated NILS values through focus. Figures 16 (b) (d) (f) are experimental result of exposure latitudes for 220nm, 330nm, and 360nm pitches. No SB used.

Crless 40% Zerba 40% Zerba

Crless

Bin Bin

(a)

(b)

Figure 19: Experimental results of transmission tuning for ArF, quasar illumination for 450nm pitch. (a) E-D latitude for 450nm pitch without SB. (b) E-D latitude for 450nm pitch with a 50nm center SB. This experiment shows that transmission tuning can be achieved with change transmission of the main feature with SB.

5.3

Experimental MEF Results

As stated earlier, MEF (or MEEF) directly impacts the reticle CD tolerance and CD control on the wafer. The same quasar illumination setting used in section 5.1 was used in the MEF experiment. Figure 20 shows the experimental results. chromeless line has the lowest MEF for pitches from pitch 220nm to 360nm. Zebra has slightly higher MEF and Cr has the highest. Higher image contrast will give better image quality, which produces higher NILS with lower MEF [12]. For a 360nm pitch with a 50nm chrome SB, the corresponding MEF increases significantly due to the SB insertion in a very dense pitch region. At larger pitches, SB is inserted further away from the main feature edges hence it is less effective. SB insertion does not increase MEF for the 450nm pitch. (See Figure 20). Comparing these data to those in section 5.2, it is apparent that tuning conditions for lowest MEF alone will not guarantee the best process window. Rather, by tuning the transmission, we can control the MEF of the CPL reticle. The best strategy to control MEF for tighter pitch ranges (e.g. 360nm) that do not have enough space for bar insertion is to use transmission tuning for optimizing process latitude; this will avoid the undesirable MEF increase. For pitches that have enough space for SB insertion, transmission can be achieved with halftoning the main feature and/or with adding the SB.
MEEF vs transmission ArF NA=0.75 So/Si=0.89/0.69 3 2.5 MEEF 2 1.5 1 0.5 0 220noSB 330noSB 360noSB 360-SB 450noSB 450-SB MEEF CPL MEEF Zebra MEEF CR

Figure 20. Summary of MEF though pitches without SB. CPL generates the lowest MEF. The higher MEF for pitch 360nm was caused by the presence of SB in the layout. For 360nm pitch, there is insufficient space for SB insertion. Hence it is preferable to use transmission tuning for optimizing process latitude. For 450nm, which has enough space for bar insertion, transmission can be achieved with the halftoning the main feature and with adding of SB.

Pitch/OPC

6. RET INTEGRATON OF TRANSMISISON TUNING AND MODEL OPC


The implementation of the transmission tuning for real device requires an algorithm to identify the zones for the required pattern treatment. Then to apply the chrome halftoning (zebra) for zone 2 features and the model based OPC to achieve sufficiently overlapped process window for all features. Figure 21 illustrates the flow chart for integrating the transmission tuning and model OPC for converting design data to a CPL compatible layout. The first step is to apply SB OPC. This is so that the optical influence of the SB are taken into account while identifying the zones that require transmission tuning. In the second step, the algorithm uses rules to separate large geometries and subsequently classifies them into chrome. The calibrated model is then used to detect the features that need transmission modification and applies chrome halftoning to these features. The model OPC is then applied to all the geometries. The last step is the manufacturing rule check, which verifies the manufacturability of the mask and assures there are no issues with the CPL mask treatments. Figure 22(a) shows an example of a SRAM layout and how the transmission optimization works on different parts of the design. The exposure tool setting for the SRAM is KrF 0.7 NA, annular illumination with in=0.55, out=0.85. Figure 23(a) is the CPL layout converted from the original target layout shown in 22(a). From the design, the pitch between the bit cell transistor gates is 480nm. Using 100% transmission, 480nm pitch has the highest NILS, hence the 100% transmission is assigned to transistor gate in the bit cell, 22(a). For the 555nm pitch with a larger CD target of 115nm, which cannot be printed with phase line, has higher NILS by using ~35% transmission, 22(b). Hence the transmission tuning is needed. The simulated NILS through pitch, 22(c), shows that the second weak region is around 550nm and the algorithm applied the chrome half tone pattern to optimize the transmission.

Figure 21. Data flow for processing design data to CPL compatible layout.

Three cut lines in Figure 23(a) were selected to verify the lithography performance. In Figure 23(a) the critical horizontal bit cell transistor gate (labeled cut line left and cut line right) is converted into a -phase line based on CD. The word lines (vertical) that have a larger target CD were classified into zone 2 to and the halftoning zebra pattern was applied. The experimental FEM data from the three cut lines of layout in Figure 23(a) were measured for the two horizontal bit cell -phase gates and the vertical zebra word lines. The overlapped process window for these three cut lines is 0.75m at 10% exposure latitude, which is very robust for 100nm technology node. Figure 24 shows the wafer images for SRAM through focus and energy. Results show that with optimized CPL RET integration, the 100nm SRAM designs has very good CD control though focus and energy. Note that the calibration of the vertical word line CD still needs improvement. It would be very difficult to achieve such robust result with a fixed transmission single exposure RET technique.

360nm 555nm

Intensity Transmission

480nm

480nm 555nm

(a)

(b)

(c)

Figure 22: (a) 100nm SRAM design, (c) NILS through pitch to show how the transmission tuning helps for different part of design: 480nm pitch has the highest by using 100%. (b) For 555nm pitch has a larger CD target (115nm) which is too large to print with phase line, and ~35% transmission gives higher NILS (see (c)).
Chrome shield
Chrome SB

Word line

Chromeless PSM

Cut line left

Cut line right

(a)

(b)

Figure 23: (a) Example of CPL converted SRAM layout. Note that there are three cut lines: two vertical cut lines (labeled cut line left and cut line right) for the horizontal transistor gate and one horizontal cut line for the vertical word line that has a different target. The target CD for the horizontal bit cell transistor gate is 100nm. (b) Experimental result of the overlapped process window for the three cut lines in figure 21(a), the overlapped process window for these three cut lines is 0.75m at 10% exposure latitude.

7. CONCLUSIONS
In this study, we demonstrated that CPL Technology can be used as a variable transmission attenuated mask to produce the best through pitch imaging performance. By tuning transmission, we are able to address the forbidden pitch limitation that comes with OAI. Our simulation and experimental results have both confirmed our theory that that CPL has the greatest potential to achieve the desired overall process latitude for lithography process at k1 below 0.35. Lastly, we have demonstrated that with proper RET mask flow integration; this methodology has been applied to real device pattern for full chip device manufacturing.

E= 32 F = -4.5 E= 32 F = -3.0 E= 32 F = -1.5 E= 32 F=0 E= 26 F=0 E= 28 F=0 E= 30 F=0 E= 32 F = 1.5 E= 32 F = 3.0 E= 34 F=0 E= 36 F=0 E= 38 F=0

Figure 24: Wafer images for SRAM layout in Figure 21(a) thorough focus and energy.

8.

REFERENCES

1. B. J. Lin, Phase-shifting and other challenges in optical mask technology, SPIE Vol. 1496, pp. 54-79 (1990). 2. T. Yasuzato, et. al. Fabrication and Evaluation of Chromium/Phase-Shifter/Quartz Structure Phase Shift Mask, SPIE Vol. 1674, pp. 241-251 (1992) 3. T. Brunner, Rim Phase-Shift Mask Combined with Off-Axis Illumination: a Path to 0.5/NA Geometries, SPIE, vol. 1927, pp. 54-62, (1993). 4. J. F. Chen, et. al, Binary Halftone Chromeless PSM Technology for /4 Optical Lithography, SPIE Vol. 4346, pp. 515-533 (2001). 5. C. Hsu, et. al., Patterning Submicron DRAM Cells by Using Chromeless Phase Lithography, SPIE Vol. 4691, pp. 76-88, (2002). 6. R. Socha, et. al. Extending KrF to 100nm Imaging with High-NA and Chromeless Phase Lithography Technology , SPIE, Vol. 4691, pp. 446-458 (2002). 7. D. Van Den Broeke, et. al, Complex 2D Pattern Lithography at /4 Resolution Using Chromeless Phase Lithography (CPL), SPIE Vol. 4691, pp. 196-214 (2002). 8. W. Maurer, G.V., Mask Specifications for 193nm Lithography, 16th Annual BACUS Symposium on Photomask Technology and Management, Proc., SPIE Vol. 2884, pp. 562-571 (1996), 9. J. Goodman, Introduction to Fourier Optics, 2nd edition, The McGraw-Hill Companies, Inc, Chapter 7 (1996). 10. J. Fung Chen, et al., High-T, Ternary Attenuating PSMs for the 130nm Node, Microlithography World, pp 12-20, Summer 2000 11. Xuelong Shi, et. al. Understanding the Forbidden Pitch and Assist Feature Placement , 21th Annual BACUS Symposium on Photomask Technology and Management, Proc., SPIE Vol. 4562, pp. 968-979 (2002) 12. R. Socha, et. al, . Forbidden Pitches for 130nm lithography and below, SPIE Vol. 4000, pp. 1140-1155 (2002) 13. S .Hsu, et. al. Low k1 Lithography Patterning Option for the 90nm and 65nm Nodes, SPIE Volume, 5130, pp 812828 (2003)

Вам также может понравиться