Вы находитесь на странице: 1из 6

INSTITUTO POLITCNICO NACIONAL

ESCUELA SUPERIOR DE INGENIERA MECNICA Y ELCTRICA

Ingeniera en Comunicaciones y Electrnica


LABORATORIO DE CIRCUITOS DIGITALES PRCTICA 6: DECODIFICADOR A DISPLAY DE 7SEGMENTOS

GRUPO 5CM3

ALUMNO Hernndez Lpez Audomaro


Profesor: M. en C. Armando Ros Martnez

Fecha de realizacin de la prctica:

Viernes 23 de septiembre de MMXI

OBJETIVO
Implementar un decodificador usando programacin VHDL.

MATERIAL Y DISPOSITIVOS USADOS Protoboard PLD - GALV22V10 Resistores de 220 Display de 7 segmentos a ctodo comn Software de compilacin en VHDL Galaxy Dip-switch de 4 interruptores Programador universal

DESARROLLO DE LA PRCTICA

A diferencia de ocasiones anteriores, la programacin en VHDL se tom como eje rector de la prctica, implementando mtodos y estrategias de software como en cursos pasados para la apropiada construccin del software a programar en el chip. As, el reto fue el implementar un display de 7 segmentos que mostrara un nmero decimal (0 9) a partir de su correspondiente entrada binaria, lo que conllev programar un PLD que para ste caso fue la GAL22V10, como decodificador. Entrando en materia, se form la tabla de verdad a partir de los bits obtenidos y su correspondiente valor decimal, prendiendo los segmentos correspondientes del display que mostraran tal nmero.

Tabla de verdad del circuito propuesto


Display a ctodo comn y (MSB= a)

Valor decimal 0 1 2 3 4 5 6 7 8 9 Otros

a 0 0 0 0 0 0 0 0 1 1 X

b 0 0 0 0 1 1 1 1 0 0 X

c 0 0 1 1 0 0 1 1 0 0 X

d 0 1 0 1 0 1 0 1 0 1 X

A 1 0 1 1 0 1 1 1 1 1 -

B 1 1 1 1 1 0 0 1 1 1 -

C 1 1 0 1 1 1 1 1 1 1 -

D 1 0 1 1 0 1 1 0 1 0 -

E 1 0 1 0 0 0 1 0 1 0 -

F 1 0 0 0 1 1 1 0 1 1 -

G 0 0 1 1 1 1 1 1 1 1 -

Implementacin en VHDL (Galaxy)


Se inici creando un nuevo proyecto en el software de compilacin Galaxy, con su denominacin y ruta correspondientes. En la seleccin de dispositivos, se eligi el tipo (SLPD), siendo para dispositivos genricos (DUAL IN-LINE) la eleccin el PALC22V10-15PC, y se guarda como .vhd

Una vez hecho lo anterior, en una hoja nueva se comenz a construir el cdigo a como sigue:
library IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity audo is port (A: in std_logic_vector (3 downto 0); B: out std_logic_vector (6 downto 0)); end audo; architecture display of audo is begin B<="1111110" when A="0000" else "0110000" when A="0001" else "1101101" when A="0010" else "1111001" when A="0011" else "0110011" when A="0100" else "1011011" when A="0101" else "1011111" when A="0110" else "1110001" when A="0111" else "1110011" when A="1001" else "1111111" when A="1000" else "-------"; end display;

Despus, se agreg el archivo al proyecto, para compilarlo y cerciorarnos de que no tenga errores.

Finalizado lo anterior en el Galaxy, se grab la informacin en el PLD agregando el archivo con extensin .jed creado por el Galaxy, mediante el software SuperPro, en una de las PCs con programador universal disponibles en el Laboratorio de la asignatura, ilustrando levemente el procedimiento con algunas capturas de pantalla.

Tabla de Correspondencia

Entrada/Salida A(0) [a] A(1) [b] A(2) [c] A(3) [d] B(0) [A] B(1) [B] B(2) [C] B(3) [D] B(4) [E] B(5) [F] B(6) [G]

PIN 1 2 3 4 17 15 23 14 21 16 22

Fotos del display mostrando algunos valores decimales

OBSERVACIONES Y CONCLUSIONES
Son muchos los elementos y conocimientos que se adquirieron de sta prctica. Entre ellos, es imprescindible el mencionar la necesaria atencin que se debe poner al momento de programar, ya que en sta ocasin hubo un error de solamente un dgito, pero eso conllev nmeros incompletos en el display. Por ello se debe atender la programacin de manera estratgica, ya que aunque no haya errores de compilacin, si puede haber errores en la arquitectura del software provocando ya sea inestabilidad o un comportamiento no deseado en el circuito a implementar. Por otro lado, tambin es de precisar la cantidad de tiempo que nos permiti ahorrar la programacin y el PLD, ya que como se pudo comprobar tericamente en clase, el circuito implementado mediante compuertas TTL o equivalentes, habra sido mas tedioso y tardado en su construccin. Para finalizar, me pareci demasiado interesante sta prctica, ya que nos adentra an ms a la programacin digital y su correspondiente circuitera, lo que nos permitir en un futuro resolver problemas con facilidad y eficiencia, si se cuenta con los dispositivos y mtodos adecuados para ello.

Вам также может понравиться