Вы находитесь на странице: 1из 76

Pontifcia Universidade Catlica do Rio Grande do Sul Faculdade de Engenharia Faculdade de Informtica Curso de Engenharia de Computao

Desenvolvimento de Mdulos de Hardware para Extrao e Insero de Carga til 10 Gigabit Ethernet em Quadros OTN
Volume Final do Trabalho de Concluso

Autores Frederico Ferlini Jeferson Camargo de Oliveira

Orientador Prof. Dr. Fernando Gehm Moraes

Porto Alegre, Dezembro de 2008.

ndice
DICE ........................................................................................................................................ II DICE DE FIGURAS ............................................................................................................... III DICE DE TABELAS ............................................................................................................... V LISTA DE SIGLAS .................................................................................................................... VI TERMOS E DEFI IES ..................................................................................................... VIII CO VE ES ........................................................................................................................... X 1 I TRODUO ........................................................................................................................ 1 1.1 1.2 1.3 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9 2.10 2.11 2.12 3.1 4.1 4.2 4.3 4.4 4.5 4.6 5.1 5.2 MOTIVAO ........................................................................................................................... 2 OBJETIVOS ............................................................................................................................. 2 RESULTADOS ESPERADOS ....................................................................................................... 3 ESTRUTURA LGICA DO PADRO OTN G.709 ......................................................................... 5 QUADRO ................................................................................................................................. 5 MULTI-QUADRO ..................................................................................................................... 6 ESTRUTURA DO CABEALHO ................................................................................................... 7 FRAME ALIGNMENT OVERHEAD (FA OH) ............................................................................... 8 OPTICAL CHANNEL TRANSPORT UNIT OVERHEAD (OTU OH) .................................................. 9 OPTICAL CHANNEL DATA UNIT OVERHEAD (ODU OH)......................................................... 12 OPTICAL CHANNEL PAYLOAD UNIT OVERHEAD (OPU OH) ................................................... 14 CARGA TIL ......................................................................................................................... 16 FORWARD ERROR CORRECTION FEC .................................................................................. 16 SCRAMBLING ........................................................................................................................ 16 MAPEAMENTOS .................................................................................................................... 17 TAXAS DE TRANSMISSO ...................................................................................................... 20 ARQUITETURA PROPOSTA ..................................................................................................... 24 DIMENSIONAMENTO DAS FILAS ASSNCRONAS ....................................................................... 26 MDULO EXTRATOR DE CARGA TIL .................................................................................... 33 MDULO GERADOR DE PULSOS DE REFERNCIA .................................................................... 40 MDULO INSERSOR DE CARGA TIL ..................................................................................... 43 MONTAGEM DO CABEALHO................................................................................................. 49 VALIDAO DO EXTRATOR DE CARGA TIL .......................................................................... 52 VALIDAO DO INSERSOR DE CARGA TIL ............................................................................ 56

2 PADRO OT - RECOME DAO G.709 ......................................................................... 4

3 MAPEAME TO 10GBE LA PHY EM OPU1E ................................................................ 20 4 DESE VOLVIME TO DA I TERFACE-CLIE TE ........................................................ 24

5 AVALIAO DA I TERFACE-CLIE TE ........................................................................ 52

6 CO CLUSES E TRABALHOS FUTUROS ...................................................................... 63 7 REFER CIAS ..................................................................................................................... 64

ii

ndice de Figuras
Figura 1 Previso de servidores x86 em relao ao tipo de conexo Ethernet. Adaptado de [HAY01]. ............................................................................................................................ 1 Figura 2 Arquitetura do projeto X10GIGA. (1) Recepo de quadros OTN; (2) Extrao de carga til 10GbE; (3) Insero de carga til 10GbE; (4) Insero de cabealhos............................ 3 Figura 3 Sinais de origens (clientes) distintas sendo transportados sobre OTN. Adaptado de [ALE01]. ............................................................................................................................. 4 Figura 4 Estrutura lgica do padro OTN. Adaptado de [ITU01]. ................................................. 5 Figura 5 Estrutura do quadro OTN. Adaptado de [KOC01]. ......................................................... 6 Figura 6 Seqncia de transmisso dos bytes do quadro OTN. ..................................................... 6 Figura 7 Estrutra do multi-quadro OTN. Adaptado de [ITU01]. ................................................... 6 Figura 8 Seqncia de transmisso do multi-quadro OTN. ........................................................... 7 Figura 9 Estrutura dos cabealhos do multi-quadro OTN. Adaptado de [ITU01]. ......................... 7 Figura 10 Terminaes das estruturas OTU, ODU e OPU. Adaptado de [NAK01]. ...................... 8 Figura 11 Estrutura do campo FAS. Adaptado de [ITU01]. .......................................................... 8 Figura 12 Estrutura do campo MFAS. Adaptado de [ITU01]. ....................................................... 9 Figura 13 Estrutura do campo SM. Adaptado de [ITU01]. .......................................................... 10 Figura 14 Estrutura do campo TTI, adaptado de [ITU01]........................................................... 10 Figura 15 Ilustrao da gerao do BIP-8. Adaptado de [ITU01]. ............................................... 11 Figura 16 Estrutura do campo PM e do campo TCM. Adaptado de [ITU01]. .............................. 13 Figura 17 Estrutura do campo FTFL. Adaptado de [ITU01]. ...................................................... 14 Figura 18 Estrutura do OPU OH. Adaptado de [ITU01]. ............................................................ 15 Figura 19 Processo de scrambling. Adaptado de [ITU01]. .......................................................... 16 Figura 20 Estrutura do mapeamento de um sinal CBR2G5 em OPU1. Adaptado de [ITU01]. ..... 17 Figura 21 Estrutura do mapeamento de um sinal CBR10G em OPU2. Adaptado de [ITU01]. ..... 18 Figura 22 Estrutura do mapeamento de um sinal CBR40G em OPU3. Adaptado de [ITU01]. ..... 18 Figura 23 Multiplexao do OTU e estruturas de mapeamento. Adaptado de [ITU01]. ............... 19 Figura 24 Estrutura de mapeamento sem bytes de preenchimento. Adaptado de [ITU03]............ 21 Figura 26 Comportamento da FIFO Assncrona. (1) Incio da escrita; (2) Incio da leitura; (3) Ocupao mxima, fim da carga til de um quadro; (4) Ocupao mnima, fim do cabealho de um quadro. .................................................................................................................... 28 Figura 27 Filas assncronas, operando nas freqncias nominais. ............................................... 28 Figura 28 Arquitetura da FIFO Assncrona, utilizando o componente FIFO18_36 da famlia de FPGAs Virtex-5 da Xilinx. ................................................................................................ 29 Figura 29 Grfico do delta de ocupao da FIFO assncrona em caso de freqncias nominais. .. 30 Figura 30 Grfico do delta de ocupao da FIFO assncrona no pior caso onde acontece o enchimento da fila, ou seja, escrita com freqncia da linha OTN +20ppm e leitura com freqncia do cliente 10GbE -100ppm. .............................................................................. 30 Figura 31 Grfico do delta de ocupao da FIFO assncrona no pior caso onde acontece o esvaziamento da fila, ou seja, escrita com freqncia da linha OTN -20ppm e leitura com freqncia do cliente 10GbE +100ppm. ............................................................................. 31 Figura 32 Gerao do sinal que indica o incio de quadros vlidos ao Extrator de Carga til. ..... 33 Figura 33 Deslocador multi-estgio. ........................................................................................... 34 Figura 34 Extrao de carga til. Caso: contador de justificativas zerado e/ou justificativa no necessria. ......................................................................................................................... 35 Figura 35 Extrao de carga til. Caso: primeira justificativa negativa. ...................................... 35 Figura 36 Extrao de carga til. Caso: segunda justificativa negativa. ....................................... 36 Figura 37 Extrao de carga til. Caso: primeira justificativa positiva. ....................................... 37 Figura 38 Extrao de carga til. Caso: segunda justificativa positiva......................................... 38 Figura 39 Extrator de Carga til 10GbE de quadros OTN. (1) Mdulo de Votao de Justification Control (JC); (2) Mdulo que faz o controle de justificativa; (3) Mdulo de deslocamento; iii

(4) Registrador de entrada do circuito; (5) Concatenao do sinal resto, devido a justificativas; (6) Concatenao do sinal atual, devido a justificativas; (7) Controle para atraso do sinal de sada do Deslocador, devido a justificativas; (8) Fila Assncrona; (9) Gerador de pulsos de referncia para controle de variao na taxa do cliente 10GbE. ........ 39 Figura 40 Ilustrao da recuperao de relgio. .......................................................................... 40 Figura 41 Circuito para recuperao do relgio com valores para o mapeamento de um cliente STM-64 [TER02]. ............................................................................................................. 40 Figura 42 Diagrama de blocos de um PLL [TER02]. .................................................................. 41 Figura 43 Pseudocdigo explicativo do bloco gerador de pulso de referncia. ............................ 43 Figura 44 Ilustrao do funcionamento da gerao do pulso de referncia. ................................. 43 Figura 46 Diagrama de tempo com o comportamento do IDDR da Figura 45(a). ........................ 44 Figura 47 Diagrama de blocos da interface com o cliente [TER01]. ............................................ 44 Figura 48 Diviso do quadro OTN em reas de palavras de 64 bits. ............................................ 45 Figura 49 Diagrama de tempo da transmisso do quadro OTN. .................................................. 45 Figura 50 Intervalo de ocupao da fila. ..................................................................................... 46 Figura 51 Ilustrao da insero do voto de justificativa negativa. .............................................. 46 Figura 52 Ilustrao da insero do voto de justificativa positiva. ............................................... 47 Figura 53 Ilustrao da insero de justificativa negativa. .......................................................... 47 Figura 54 Insero de justificativa negativa. ............................................................................... 47 Figura 56 Insero de justificativa positiva. ................................................................................ 48 Figura 57 Comportamento aps sete deslocamentos. .................................................................. 48 Figura 58 Estrutura do mdulo insersor de carga til. ................................................................. 49 Figura 59 Montagem do cabealho do primeiro quadro. ............................................................. 50 Figura 60 Montagem do cabealho do segundo quadro. .............................................................. 50 Figura 61 Montagem do cabealho do terceiro quadro. ............................................................... 50 Figura 62 Montagem do cabealho do quarto quadro. ................................................................. 51 Figura 63 Estrutura de validao do Extrator de Carga til. ....................................................... 52 Figura 64 Comparao dos arquivos de validao da extrao de carga til, sem justificativa. .... 53 Figura 65 Simulao de todos os casos de justificativa. (1) verificao dos campos JC e o resultado do voto majoritrio entre eles; (2) variao do contador de justificativas de acordo com o resultado da votao a cada multi-quadro; (3) controle do sinal enable_resto para permitir o controle de fluxo dos dados de carga til; (4) sinal deslocamento de acordo com o nmero de justificativas at o momento, de acordo com a Tabela 18; (5) controle do sinal write_enable, para controle do fluxo dos dados a serem escritos na fila; (6) ativao do sinal read_enable aps a ocupao da fila atingir o threshold de liberao de leitura; (7) marcadores de indicao de cada justificativa negativa; (8) marcadores de indicao de cada justificativa positiva........................................................................................................... 54 Figura 66 Comportamento da fila assncrona de extrao de carga til. Caso: freqncia da linha OTN nominal e sem ocorrncia de justificativa de carga til. ............................................. 55 Figura 67 Comportamento da fila assncrona de extrao de carga til. Caso: freqncia da linha OTN +20ppm, com ocorrncia de justificativa negativa (NJO) na carga til. ..................... 55 Figura 68 Comportamento da fila assncrona de extrao de carga til. Caso: freqncia da linha OTN -20ppm, com ocorrncia de justificativa positiva (PJO) na carga til......................... 56 Figura 69 Estrutura de simulao do Insersor de Carga til. ....................................................... 57 Figura 70 Ocupao da fila quando o sinal do cliente no apresenta jitter. .................................. 57 Figura 71 Ocupao da fila quando o sinal do cliente apresenta jitter de -45ppm, com insero de justificativa positiva........................................................................................................... 58 Figura 72 Ocupao da fila quanto o sinal do cliente apresenta jitter de +45ppm, com a insero de justificativa negativa. .................................................................................................... 58 Figura 73 Ocupao da fila quando o sinal do cliente apresenta jitter de -45ppm, sem insero de justificativa. ....................................................................................................................... 59 iv

Figura 74 Verificao do resultado da gerao de justificativa negativa do Insersor de Carga til. .......................................................................................................................................... 60 Figura 75 Verificao do resultado da gerao de justificativa positiva do Insersor de Carga til. .......................................................................................................................................... 61 Figura 76 Estrutura para validao do deslocamento gerado pelo Insersor de Carga til. ........... 61

ndice de Tabelas
Tabela 1 Interpretao do campo BEI/BIAE. Adaptado de [ITU01]. .......................................... 12 Tabela 2 Interpretao do campo STAT. Adaptado de [ITU01]. ................................................. 13 Tabela 3 Interpretao do campo Fault Type Indication do FTFL. Adaptado de [ITU01]............ 14 Tabela 4 Interpretao do campo PT. Adaptado de [ITU01]. ...................................................... 15 Tabela 5 Correlao entre os mapeamentos CBR, interfaces SONET/SDH e as respectivas taxas de transmisso. .................................................................................................................. 17 Tabela 6 Mapeamentos a serem implementados de acordo com a sua prioridade dentro do projeto. .......................................................................................................................................... 20 Tabela 7 Relao entre as taxas de transmisso de acordo com a sobrecarga referente s estruturas lgicas do quadro OTN. ..................................................................................................... 21 Tabela 8 Definio de variveis. Apndice I [ITU01]. ................................................................ 22 Tabela 9 Valores utilizados para encontrar . ............................................................................. 23 Tabela 11 Dados de tempo e freqncia para mapeamento de 10GbE LAN PHY em OTN. ........ 26 Tabela 12 Tempos do quadro OTN para mapeamento 10GbE LAN PHY quando ambos, cliente e linha, esto operando freqncia nominal. ....................................................................... 27 Tabela 13 Perodos com jitter para palavra de 64 bits (fs). .......................................................... 27 Tabela 14 Dados no escritos/lidos na/da fila (Cabealho + FEC). Utilizando freqncia nominal. .......................................................................................................................................... 28 Tabela 15 Dados para Simulao de Comportamento da FIFO. .................................................. 31 Tabela 16 Comportamento da FIFO Assncrona. ........................................................................ 32 Tabela 17 Interpretao do campo Justification Control (JC). Adaptado de [ITU1]. ................... 33 Tabela 18 Interpretao do sinal deslocamento do mdulo Deslocador. ...................................... 34 Tabela 19 Comportamento da Freqncia do Pulso de Referncia. ............................................. 42 Tabela 20 Utilizao do FPGA pelo mdulo Extrator de Carga til. .......................................... 56 Tabela 21 Nmero de justificativas em um intervalo de 256 multi-quadros. ............................... 59 Tabela 22 Utilizao do FPGA pelo mdulo Insersor de Carga til............................................ 62

vi

Lista de Siglas
API APS ATM BDI BEI BER BIAE BIP-8 CBR DAPI DW DWDM EXP FA OH FAS FEC FS FTFL GCC GbE GFP IAE IP ITU ITU-T JC LAN MFAS NJO OA ODU ODU OH ODTU ODTUG OPS OPU OPU OH OTN OTU OUT OH PCC PHY PJO PM PSI PT RES RS SAPI SDH Access Point Identifier Automatic Protection Switching Coordination Asynchronous Transfer Mode Backward Defect Indication Backward Error Indication Bit Error Rate Backward Incoming Alignment Error Bit Interleaved Parity Level 8 Constant Bit Rate Destination Access Point Identifier Digital Wrapper Dense Wavelength Division Multiplexing Experimental Frame Alignment Overhead Frame Alignment Signal Forward Error Correction Fixed Stuff Fault Type & Fault Location Reporting Channel General Communication Channel Gigabit Ethernet Generic Framing Procedure Incoming Alignment Error Internet Protocol International Telecommunication Union International Telecommunication Union Telecommunication Justification Control Local Area Network Multiframe Alignment Signal Negative Justification Opportunity Optical Alignment Optical Channel Data Unit Optical Channel Data Unit Overhead Optical Channel Data Tributary Unit Optical Channel Data Tributary Unit Group Optical Packet Switched Optical Channel Payload Unit Optical Channel Payload Unit Overhead Optical Transport Network Optical Channel Transport Unit Optical Channel Transport Unit Overhead Protection Communication Channel Control Physical Layer Positive Justification Opportunity Path Monitoring Payload Structure Identifier Payload Type Reserved for future international standardization Reed-Solomon Source Access Point Identifier Synchronous Digital Hierarchy vii

SM SONET STAT TCM TCM ACT TDM TTI WAN WDM

Section Monitoring Synchronous Optical Network Status Tandem Connection Monitoring TCM Activation/Deactivation Control Channel Time Division Multiplexing Trail Trace Identifier Wide Area Network Wavelength Division Multiplexing

viii

Termos e Definies
10GBase-T Padro Ethernet 10 Gigabit, baseada em cabos de cobre de par tranado, normatizado pela IEEE na especificao 802.3an [RED01]. 802.3ae Especificao da IEEE para 10 Gibait Ethernet (10GbE) [HOR01]. Amplificador Dispositivo que d ganho, ou amplifica, um sinal de forma que o sinal de sada seja mais forte que o sinal de entrada [HOR01]. Assncrono Sinais ou eventos que ocorrem sem relao de tempo, podendo acontecer em qualquer instante, ou por intervalos aleatrios [HOR01]. Cabealho Informaes que no fazem parte dos dados cliente, mas que so guardadas ou transmitidas juntas, para manter sua integridade [HOR01]. Carga til Dados do cliente que atravessam uma rede, desconsiderando informaes de cabealho ou de controle [HOR01]. Clula Equivale-se a pacotes muito pequenos, com pouco cabealho. Esse conceito utilizado principalmente nas redes ATM [HOR01]. Clock Pulso peridico utilizado para sincronizao de fluxo de dados em um computador, ou entre computadores [HOR01]. Enlace Segmento de comunicao entre dois pontos na rede [HOR01]. Ethernet Inicialmente era uma tecnologia de comunicao que permitiu a implementao de redes locais (LAN). Atualmente, atualizada e normatizada pelo grupo IEEE com seu projeto 802 que tem o objetivo de padronizar as redes do tipo LAN [HOR01]. Fibra ptica Fino filamento de plstico ou de vidro transparente especialmente construda para servir como um dieltrico condutor [HOR01]. Jitter Fenmeno caracterizado pelo desvio no tempo ou na fase de um sinal de transmisso de pacotes de dados. Pode ser responsvel por erros e perda de sincronismo em comunicaes sncronas em altas velocidades, por exemplo, em telefonia IP. A variao no tempo de chegada de pacotes prejudica a qualidade da conversao - se um pacote no chega a tempo de se encaixar em seu lugar no fluxo de dados, repete-se o pacote anterior. Pode ser corrigido com a adoo de uma memria adicional (jitter buffer) [INF01]. LA Local Area Network ou rede local. Estrutura que conecta vrios computadores e outros dispositivos numa rea definida. A capacidade de comunicao entre os aparelhos limitada ao alcance dos cabos de rede, ou da antena, no caso de redes sem fio. Normalmente, a rea geogrfica de uma LAN restringe-se a uma sala, um departamento, um andar ou um prdio. Para viabilizar um bom desempenho, a LAN deve ser conectada ao backbone da rede por meio de aparelhos como bridges, hubs ou switches [INF01]. Latncia Atraso. Tempo total que o sinal leva para ir de um ponto a outro. Mapeamento Associao lgica dos dados de uma rede para ser transmitido por outra [VER01]. Multiplexao Compartilhamento de um nico canal de alta capacidade por outros com capacidades, relativamente, menores. Operadora Empresa que possui a concesso para oferecer um servio pblico de comunicao de voz ou dados. adotado como referncia para as empresas de telefonia fixa, celular, de longa distncia ou transmisso de dados [INF01]. Pacote Grupo ordenado de sinais de dados e controle, transmitido pela rede, como um subconjunto de uma mensagem maior. Em TV por assinatura, define a relao de canais que o assinante escolhe pagar para receber o sinal [INF01]. Paridade Garante a validade da informao em comunicao de dados. Protocolo Conjunto formal de convenes que regulam o formato e o sincronismo da troca de mensagens entre dois sistemas de comunicaes. Em outras palavras, pode ser definido ix

como o idioma falado na conversa entre dois dispositivos durante o estabelecimento de uma comunicao [INF01]. Quadro Agrupamento lgico da informao, para enviar pelo meio de telecomunicao. Os termos, pacote, segmento, mensagem, entre outros, tambm so utilizados para definir o agrupamento lgico de informao [VER01]. Regenerador Dispositivo que regenera os sinais para que eles possam trafegar em segmentos adicionais de cabo para aumentar o alcance ou para acomodar outros dispositivos ao segmento. Roteador Equipamento que funciona, normalmente, como o principal componente de uma rede corporativa ou de um backbone de internet. O roteador recebe e encaminha pacotes de dados em altssima velocidade, escolhendo o melhor caminho para realizar a transmisso. A operao, chamada de roteamento, realizada entre redes locais (LANs) e redes de longa distncia (WANs), ou entre duas WANs. Em ingls, denominado router [INF01]. Sncrono Forma de comunicao onde so enviados bits em fluxo de dados contnuo, do incio ao fim da conexo, sendo que bits so inseridos e retirados para o sincronismo entre as pontas [VER01]. Sobrecarga Informao adicional transmitida junto ao pacote/quadro. Switch Aparelho dotado de mltiplas portas para a conexo de dispositivos ligados a uma rede. Realiza a operao de comutao (switching), ou seja, recebe dados de uma estao ou do roteador conectado ao mundo externo (WAN) e os envia para as estaes locais (LANs), conforme o endereo do destinatrio. A taxa de transmisso personalizada para cada usurio, at a capacidade total da banda do switch. O dispositivo usado para conectar LANs entre si ou segmentar LANs, atuando normalmente na camada 3 (rede) da arquitetura OSI [INF01]. Superviso Sinal transportado com comprimento de onda diferente do utilizado para o trfego, serve para controlar e monitorar o desempenho dos amplificadores [PIR01]. Telemtica Indstria relacionada com o uso de computadores, no contexto de sistemas de telecomunicaes. Inclui servios de ligao Internet, como tambm a uma srie de redes que dependem de sistemas de telecomunicaes para transportar dados [BIB01]. Transponder A contrao das palavras transmitter/responder refere-se aos equipamentos para recepo e transmisso numa comunicao por satlite. Em linhas gerais, ele recebe um sinal da terra (uplink), amplifica esse sinal e o retransmite terra numa freqncia diferente [INF01]. Tributrios Espaos alocados dentro da carga til de um quadro para que vrios clientes insiram seus sinais de forma concorrente.

Convenes
Para a representao de nmeros em binrio (base 2) no corpo do texto ser usada a notao #####...# onde # {0,1}. Para a representao de nmeros em hexadecimal (base 16) no corpo do texto ser usada a notao x#####...# onde # {0,1,2,3,4,5,6,7,8,9, , , , , , }. k: O ndice "k" utilizado para indicar a taxa de transmisso e as diferentes verses de OPUk, ODUk e OTUk. k = 1 representa uma taxa de transmisso aproximada de 2,5 Gbits/s, k = 2 indica uma taxa de transmisso aproximada de 10 Gbits/s, e k = 3 representa uma taxa de transmisso aproximada de 40 Gbits/s. Optical Channel Transport Unit (OTUk): O OTUk a estrutura lgica utilizada para transporte de um ODUk sobre uma ou mais conexes de canais pticos. composto pelo ODU e cabealho especfico do OTUk (FEC e cabealho para gerncia de conexo de um canal ptico). caracterizado pela estrutura de seu quadro, taxa de transmisso e largura de banda. So definidas capacidade do OTUk para k = 1, k = 2 e k = 3. Optical Channel Data Unit (ODUk): O ODUk a estrutura lgica que contm a informao relacionada a carga til (OPUk) e cabealho especfico do ODUk. So definidas capacidades do ODUk para k = 1, k = 2 e k = 3. Optical Channel Payload Unit (OPUk): O OPUk a estrutura lgica utilizada para adaptar a informao do cliente para transporte sobre um canal ptico. Compreende a informao do cliente juntamente com qualquer cabealho necessrio para realizar adaptao entre a taxa de transmisso do sinal do cliente e a taxa de transmisso da carga til do OPUk e cabealho especfico do OPUk utilizado para dar suporte ao transporte do sinal do cliente. Esse cabealho exclusivamente utilizado para adaptao. So definidas capacidades do OPUk para k = 1, k = 2 e k = 3.

xi

1 Introduo
A popularizao do uso da rede mundial de computadores e a disponibilidade diversificada de servios, que exigem alta largura de banda, fazem com que a rea de telecomunicaes avance constantemente, para atender o rpido crescimento da demanda por informao. Alm disso, aplicaes sobre vdeo e voz, por exemplo, possuem restries que devem ser respeitadas para atenderem seus propsitos. Somado a tudo isso, o valor da informao faz com que as empresas de telecomunicao se empenhem no desenvolvimento de novas tecnologias que atendam as necessidades globais. Para acomodar o notvel crescimento do trfego de dados, interfaces 10 Gbps se fazem necessrias. Como conseqncia disso, o IEEE (Institute of Electrical and Electronics Engineers (Institute Engineers) fundou o grupo de estudos 802.3ae para desenvolver o padro 10 Gigabit Etherne (cuja anlise Ethernet funcional est fora do escopo deste trabalho). O 10 Gigabit Ethernet a evoluo da tecnologia Ethernet para a taxa de transmisso de 10 Gbps. Com o desenvolvimento do Gigabit Ethernet (GbE) h alguns anos atrs, se disponibilizou interfaces de baixo custo com taxa de transmisso de 1 Gbps. interfaces Comparado com interfaces de alto custo como o Asynchronous Transfer Mode (ATM) e Packet over SONET/SDH (POS), o Gigabit Ethernet forneceu uma soluo, com uma melhor relao custo versus benefcio, para a construo de conexes Gigabit ponto-a-ponto [TOM01]. ponto Segundo previso, apresentada na Figura 1, baseada em informaes das empresas Intel e , Broadcom, existe uma janela de transio da macia utilizao da tecnologia GbE para a tecnologia 10GbE, em servidores x86, de aproximadamente 10 anos. Portanto, possvel afirmar que a partir de 2012 a tecnologia 10GbE ser predominantemente utilizada como conexo Ethernet, no mercado ogia de servidores x86. Porm as janelas de transies de 10GbE para 40GbE e de 40GbE para 100GbE tm previso de apenas 5 anos, entre cada uma. Esse dado serve para justificar a importncia da tecnologia. E, a partir das previses apresentadas pode-se fazer uma anlise de time to market , apresentadas, market. x86 Server Forecast by Ethernet Connection Type
Fast Ethernet
35000000 30000000

Gigabit Ehternet

10 Gigabit Ethernet

40 Gigabit Ethernet

100 Gigabit Ethernet

x86 Server Units

25000000 20000000 15000000 10000000 5000000 0

Year
Figura 1 Previso de servidores x86 em relao ao tipo de conexo Ethernet. Adaptado de [HAY01]. conexo

O uso de fibras pticas na construo de redes de telecomunicao tem se intensificado, a mais de 10 anos, por permitir protocolos mais rpidos e conexes mais longas, devido a transmisses com taxas muito elevadas (aproximadamente 50 Tbps) [GUE01] com menor perda de potncia do sinal e por ser menos susceptvel a vrios tipos de interferncia. Outra vantagem a capacidade de multiplexao do comprimento de onda, que permite a transmisso de vrios sinais pticos numa nica fibra. DWDM (Dense Wavelength Division Multiplexing) a tcnica que permite a multiplexao e acrescenta flexibilidade e escalabilidade s vantagens do emprego da fibra ptica na telecomunicao. A recomendao G.709 Interfaces for the Optical Transport etwork (OTN), criada pela

ITU-T (International Telecommunications Union Telecomunication), surge no intuito de definir um padro que possa aproveitar melhor a tecnologia de fibra ptica, sem a necessidade de descartar os padres e tecnologias j existentes. A sua principal justificativa a presena de uma tcnica de correo de erros superior a de seus antecessores, os padres SONET e SDH, permitindo assim enlaces mais longos. Atualmente, a maioria das redes pticas so multiplexadas no tempo (TDM - Time Division Multiplexing), onde cada cliente insere o seu sinal no canal em sua respectiva janela de tempo, denominada tributrio [FIL01]. Um exemplo disso seria roteadores IP, interconectados a um switch ATM, que multiplexa os canais no tempo para transmisso numa rede ptica SONET/SDH. A utilizao de vrios protocolos gera um acmulo de sobrecarga, como cabealhos, na transmisso dos dados. Isso trouxe os esforos da indstria para o desenvolvimento de redes pticas transparentes a protocolos. Essas redes, denominadas OPS (Optical Packet Switched) [GUE01], precisam tambm suportar Ethernet, o que no acontecia com SONET/SDH. A soluo para esses problemas so parte do propsito do OTN.

1.1

Motivao
A importncia da telecomunicao no mundo e suas necessidades traduzem o interesse e o

esforo voltado para esse campo. O desenvolvimento de uma tecnologia nacional para suprir a necessidade de canais de comunicao de alta velocidade em enlaces de fibra ptica de longa distncia, j suficiente para motivar esse trabalho. Junto a isso, a parceria entre a TERACOM Telemtica Ltda., empresa brasileira de telecomunicaes, e o Grupo de Apoio ao Projeto de Hardware (GAPH), da Faculdade de Informtica (FACIN) da Pontifcia Universidade Catlica do Rio Grande do Sul (PUCRS), entram com o interesse do desenvolvimento do projeto X10GIGA. Esse co-financiado pela Financiadora de Estudos e Projetos (FINEP), TERACOM e PUCRS.

1.2

Objetivos
O objetivo do presente trabalho desenvolver mdulos em hardware para extrao e insero

de carga til, inicialmente do tipo 10GbE (10 Gigabit Ethernet), em quadros do tipo OTN. Esses mdulos sero inseridos no projeto X10GIGA e funcionaro em conjunto com os mdulos j desenvolvidos para o transponder OTN. 2

Esse trabalho envolve o estudo de normas relacionadas ao padro OTN, em especial a norma ITU-T G.709, para a realizao do projeto dos mdulos para extrao e insero de carga til e implementao de uma arquitetura de teste para a verificao lgica antes e aps a prototipao.

1.3

Resultados Esperados
Ao final desse trabalho de concluso de curso esperado que os mdulos de hardware

desenvolvidos sejam capazes de:

Receber quadros OTN alinhados, desembaralhados e sem erros para fazer a anlise do cabealho com o objetivo de executar o controle de justificativa do mesmo, item (1) da Figura 2;

Extrair a carga til de quadros OTN e transmitir para clientes 10GbE, respeitando os requisitos de velocidade de transmisso, assim como, o controle das variaes possveis das taxas de transmisso e recebimento, item (2) da Figura 2;

Receber pacotes 10GbE do cliente e inserir como carga til em quadros OTN, respeitando os requisitos de velocidade de transmisso, assim como, o controle das variaes possveis das taxas de transmisso e recebimento, executando justificativas no tamanho da carga til sempre que necessrio, item (3) da Figura 2;

Inserir cabealhos no quadro OTN para transmisso a outro nodo OTN, item (4) da Figura 2.

Figura 2 Arquitetura do projeto X10GIGA. (1) Recepo de quadros OT ; (2) Extrao de carga til 10GbE; (3) Insero de carga til 10GbE; (4) Insero de cabealhos.

2 Padro OTN - Recomendao G.709


A ITU-T um setor da International Telecommunication Union (ITU) responsvel por analisar as necessidades e organizar grupos para o estudo e a criao de recomendaes para a rea de telecomunicaes. O padro OTN descrito na recomendao G.709, Interfaces for the Optical Transport etwork, da ITU-T. Essa define Optical Transport etwork (OTN) como um conjunto de elementos de redes pticas (Optical etwork Elements) conectados por enlaces de fibra ptica, capazes de prover funcionalidades de transporte, multiplexao, roteamento, gerenciamento e superviso de canais pticos transportando sinais de clientes que podem ser de origens distintas, como mostra a Figura 3.

Figura 3 Sinais de origens (clientes) distintas sendo transportados sobre OT . Adaptado de [ALE01].

Redes pticas, multiplexadas no tempo (TDM), com enlaces pequenos, so bastante viveis, porm quando falamos de longos canais pticos, surge a necessidade de adicionar amplificadores e regeneradores, o que encarece a rede. O padro OTN, por ser multiplexado em comprimento de onda (WDM), precisa somente de um regenerador para vrios canais, diminuindo o custo da rede. Porm, a caracterstica mais importante do padro OTN a presena da tcnica de correo de erros baseada no algoritmo de Reed-Solomon(255, 239) que consegue corrigir at 128 bytes em rajada por quadro, permitindo enlaces mais longos. Existem outras importantes propriedades das redes OTN. Uma delas a transparncia de protocolo, ou seja, o padro OTN encapsula os dados do cliente na sua carga til mantendo as suas caractersticas originais enquanto estes so transmitidos em enlaces pticos. Por esta razo o padro OTN pode ser entendido como uma embalagem digital (DW Digital Wrapper) [YOU01], que permite a reutilizao de grande parte dos padres j existentes, sem a necessidade de pilhas dentro 4

de pilhas de protocolos, conseqentemente diminuindo a sobrecarga transmitida. Alm disso, o nico padro capaz de transportar 10GbE LAN PHY inteiramente [CIE01]. Outra qualidade do padro OTN a escalabilidade, resultante da sua tecnologia de multipexao que possibilita transportar dados de vrios clientes ao mesmo tempo, como apresentado na Figura 3.

2.1

Estrutura Lgica do Padro OTN G.709


O quadro OTN composto por trs macro-blocos: cabealho, carga til e FEC (Forward

Error Correction). Esse quadro possui a estrutura lgica apresentada na Figura 4 onde o sinal do cliente encapsulado na estrutura Optical Channel Payload Unit (OPU) para transporte em enlace ptico. O OPU une a informao do cliente com os cabealhos necessrios para execuo de ajuste entre a taxa de transmisso do sinal do cliente e a taxa de transmisso da carga til do OPU, juntamente com qualquer outra informao relacionada ao mapeamento do sinal do cliente. Por sua vez, o OPU, encapsulado em uma estrutura denominada Optical Channel Data Unit (ODU) que possui, alm da informao do OPU, campos de cabealho referentes camada de dados. O ODU possibilita a monitorao da transmisso do OPU fim a fim na rede. Ao ODU so adicionados bytes de FEC e o sinal que permite o alinhamento do quadro (utilizado para indicar o incio da estrutura) [TRO01], assim como informao necessria para o transporte do ODU em um canal ptico, esta estrutura denominada Optical Channel Transport Unit (OTU).

Figura 4 Estrutura lgica do padro OT . Adaptado de [ITU01].

2.2

Quadro
O quadro OTN composto, segundo a organizao proposta em [KOC01], por 16 linhas de

255 bytes, totalizando 4080 bytes, e dividido em trs macro-blocos: cabealho (overhead) com 16 bytes (uma coluna), carga til (payload) com 3808 bytes (238 colunas) e FEC com 256 bytes (16 colunas), como visto na Figura 5. O quadro transmitido coluna a coluna, como apresenta a Figura 6.

Figura 5 Estrutura do quadro OT . Adaptado de [KOC01].

Figura 6 Seqncia de transmisso dos bytes do quadro OT .

2.3

Multi-Quadro
A recomendao G.709 [ITU01] define que o multi-quadro (multiframe) OTN, Figura 7,

consiste em quatro linhas de 4080 bytes, ou seja, quatro quadros totalizando 16320 bytes. Ao respeitar a seqncia de transmisso dos bytes linha a linha, como apresenta a Figura 8, tem-se a mesma organizao apresentada no quadro da Figura 5, com cabealho, carga til e FEC a cada linha do multi-quadro OTN.

Figura 7 Estrutra do multi-quadro OT . Adaptado de [ITU01].

A partir da organizao proposta de um multi-quadro pode-se visualizar a estrutura lgica do padro OTN G.709 apresentada na seo 2.2.

Figura 8 Seqncia de transmisso do multi-quadro OT .

2.4

Estrutura do Cabealho
Na rea destinada ao cabealho se encontram os dados de controle utilizados na transmisso

do multi-quadro OTN. Esses tm por objetivo, e.g., transportar informaes referentes a funes de supervisionamento, condicionamento de transporte entre canais pticos, monitoramento de conexes, adaptao do sinal do cliente para transporte sobre um canal ptico, controle de falhas e alarmes [PAI01]. Na Figura 9 observa-se o cabealho do multi-quadro OTN dividido em quatro partes distintas conforme definio da recomendao G.709 [ITU01], na linha (row) 1, colunas (column) 1 a 7, se tem o Frame Alignment Overhead (FA OH), em seguida o Optical Channel Transport Unit Overhead (OTU OH), colunas 8 a 14. Nas linhas 2 a 4, da coluna 1 a 14, aparece o Optical Channel Data Unit Overhead (ODU OH) e, por fim, nas colunas 15 e 16 das 4 linhas observa-se o Optical Channel Payload Unit Overhead (OPU OH).

Figura 9 Estrutura dos cabealhos do multi-quadro OT . Adaptado de [ITU01].

A Figura 10 mostra as terminaes de cada uma das estruturas lgicas do multi-quadro dentro de uma rede OTN.

Figura 10 Terminaes das estruturas OTU, ODU e OPU. Adaptado de [ AK01].

2.5

Frame Alignment Overhead (FA OH)


O Frame Alignment Overhead (FA OH) possui dois campos, o FAS e o MFAS, que servem

para deteco do incio do multi-quadro e para controle da seqncia em que as informaes dos multi-quadros so transmitidas. O Frame Aligment Signal (FAS) serve para indicar o incio do multiquadro OTN e definido como 6 bytes, bytes 1 a 6 da primeira linha, que possuem a seqncia xF6F6F6282828, apresentada na Figura 11 em binrio, sendo o byte xF6 definido como OA1 (Optical Alignment 1) e o byte x28 definido como OA2 (Optical Alignment 2).

Figura 11 Estrutura do campo FAS. Adaptado de [ITU01].

Alguns cabealhos do OTU e do ODU so montados durante a recepo de mltiplos multiquadros, para isso necessrio um processo de alinhamento [ITU01]. Para controlar esse processo existe o MultiFrame Alignment Signal (MFAS) que definido como o stimo byte da primeira linha do multi-quadro OTN, e atua como um contador de 8 bits que incrementa a cada multi-quadro, variando de 0 a 255, conforme demonstrado na Figura 12 (em binrio).

MFAS OH Byte

1 2 3 4 5 6 7 8 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 0 1 1 0 0 0 1 0 1 0 0 1 0 1

Figura 12 Estrutura do campo MFAS. Adaptado de [ITU01].

Quando um campo de cabealho contm uma informao que possui mais bytes do que seu espao delimitado dentro de um multi-quadro OTN, sua informao alinhada seqncia do MFAS, para que a informao completa do campo seja transmitida a cada 2, 4, 8, 16, 32, 64, 128 ou 256 multi-quadros, de acordo com o seu tamanho.

2.6

Optical Channel Transport Unit Overhead (OTU OH)


O Optical Channel Transport Unit Overhead (OTU OH) possui dois campos, o SM e o

GCC0, e um espao reservado para futura padronizao (RES). Esses campos possuem informaes utilizadas entre sees, como apresentado na Figura 10. O campo GCC0 (General Communication Channel) possui dois bytes, colunas 11 e 12 da primeira linha do multi-quadro, e utilizado como um canal de comunicao entre as terminaes de seo do OTU. O campo Section Monitoring (SM) definido nos bytes 8 a 10 da primeira linha do multiquadro OTN e subdividido em outros trs campos, so eles, Trail Trace Identifier (TTI), Bit Interleaved Parity Level 8 (BIP-8) e um campo de alarmes subdivido em Backward Error Indication and Backward Incoming Alignment Error (BEI/BIAE), Backward Defect Indication (BDI), Incoming Alignment Error (IAE) e dois bits reservados para futura padronizao. O campo SM ilustrado na Figura 13. O campo Trail Trace Identifier (TTI) um exemplo de informao que transmitida utilizando sincronizao com o MFAS. definido como uma string de 64 bytes, Figura 14, e obedece a seguinte estrutura [ITU01]:

TTI[0] contm o caractere SAPI[0] (Source Access Point Identifier), que sempre preenchido com zeros;

TTI[1] at TTI[15] contm os 15 caracteres do SAPI (SAPI[1] a SAPI[15]); TTI[16] contm o caractere DAPI[0] (Destination Access Point Identifier), que sempre preenchido com zeros; 9

TTI[17] at TTI[31] contm os 15 caracteres do DAPI (DAPI[1] a DAPI[15]); TTI[32] at TTI[63] so de uso especfico da operadora e no esto definidos na recomendao G.709.

Figura 13 Estrutura do campo SM. Adaptado de [ITU01].

Figura 14 Estrutura do campo TTI, adaptado de [ITU01].

10

As informaes contidas nos Access Point Identifiers (APIs) so utilizadas para roteamento, no caso do TTI do campo SM utilizado entre sees, como visto na Figura 10, e tem por funcionalidades:

Serem globalmente nicas em sua camada de rede; Devem estar disponveis para outras operadoras de rede, quando necessrio; No devem mudar enquanto o Access Point exisitir; O conjunto de todos os APIs pertencentes a uma nica camada administrativa de rede deve formar um nico esquema de API;

Podem ser independentes de qualquer esquema de outra camada administrativa de rede.

Os 64 bytes do TTI so alinhados informao do MFAS, ou seja, so enviados 4 vezes a cada 256 transies da seqncia do MFAS e tm incio nas posies 0 (x00), 64 (x40), 128 (x80) e 192 (xC0). O campo Bit Interleaved Parity Level 8 (BIP-8) utilizado para deteco de erros. O BIP-8 computado sobre os bits do OPU, colunas 15 at 3824, rea do multi-quadro frame i, e o resultado inserido no campo BIP-8 do OTU OH do multi-quadro frame i+2, como apresentado na Figura 15.

Figura 15 Ilustrao da gerao do BIP-8. Adaptado de [ITU01].

Para monitorao da seo so definidos 4 bits para Backward Error Indication (BEI) e Backward Incoming Alignment Error (BIAE). Esses bits so usados para o receptor informar ao transmissor a quantidade de blocos com erro detectados pelo clculo e anlise da informao do 11

BIP-8. Tambm envia ao transmissor a informao de que houve um erro de alinhamento e este est indicado no campo IAE. A informao do campo BEI/BIAE formada de acordo com a Tabela 1.
Tabela 1 Interpretao do campo BEI/BIAE. Adaptado de [ITU01].

OTU SM BEI/BIAE Bits 1 2 3 4 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 1 1 . . .

BIAE false false false false false false false false false false false true false . . . false

BIP violations 0 1 2 3 4 5 6 7 8 0 0 0 0 . . . 0

Para monitoramento de seo, um nico bit definido como sinal para o receptor informar ao transmissor que uma falha foi detectada na terminao onde foi recebido o sinal. Esse bit denominado Backward Defect Indication (BDI) e possui o valor 1 em caso de falha e 0 caso contrrio. Quando detectado um erro de alinhamento no multi-quadro OTN o bit Incoming Alignment Error (IAE) alterado para 1, caso contrrio mantido em 0.

2.7

Optical Channel Data Unit Overhead (ODU OH)


O Optical Channel Data Unit Overhead (ODU OH) possui os campos PM, FTFL, TCMi (i =

1..6), TCM ACT, GCC1, GCC2, APS/PCC, alm de bytes reservados para futura padronizao (RES) e uso experimental (EXP), no definidos na recomendao G.709. O ODU OH permite o monitoramento do caminho percorrido pelo multi-quadro quando esse necessita passar por operadoras distintas para chegar ao seu destino. Este processo denominado tandem connection e seus campos de monitoramento dentro do ODU OH so o TCMi (i=1..6) e o TCM ACT. As descries funcionais do monitoramento de tandem connection, dos canais de comunicao global (GCC1 e GCC2) e do campo de proteo APS/PCC no sero apresentadas, pois as funcionalidades desses campos no sero implementadas no escopo deste trabalho. O campo Path Monitoring (PM) definido nos bytes 10 a 12 da terceira linha do multiquadro OTN, subdividido em outros trs campos, so eles, Trail Trace Identifier (TTI), Bit Interleaved Parity Level 8 (BIP-8) e um campo de alarmes. Esse campo de alarmes subdivido em Backward Error Indication (BEI), Backward Defect Indication (BDI) e Status (STAT). O 12

campo PM pode ser visualizado na Figura 16 e seus sub-campos que possuem o mesmo nome de campos do SM tm as mesmas funcionalidades descritas anteriormente, aplicadas ao contexto do ODU, conforme Figura 10.

Figura 16 Estrutura do campo PM e do campo TCM. Adaptado de [ITU01].

O campo STAT possui 3 bits e serve para indicar a presena de um sinal de manuteno. Sua composio obedece a Tabela 2.
Tabela 2 Interpretao do campo STAT. Adaptado de [ITU01].

PM byte 3 bits 6 0 0 0 0 1 1 1 1 7 0 0 1 1 0 0 1 1 8 0 1 0 1 0 1 0 1

Status Reserved for suture international standardization Normal path signal Reserved for future international standardization Reserved for future international standardization Reserved for future international standardization Maintenance signal: ODUk-LCK Maintenance signal: ODUk-OCI Maintenance signal: ODUk-AIS

O campo Fault Type & Fault Location Reporting Channel (FTFL) definido no byte 14 da segunda linha do multi-quadro OTN e possui uma informao de 256 bytes, alinhados ao MFAS, que serve para identificar o tipo de uma falha e em que operadora ela foi identificada. A informao do campo FTFL subdividida em dois campos. So eles, o Forward Field e o Backward Field, cada um com 128 bytes, ilustrado na Figura 17.

13

128 129

127

128 129

137 138

Figura 17 Estrutura do campo FTFL. Adaptado de [ITU01].

O Forward Field e o Backward Field por sua vez so subdivididos em trs campos, so eles, Fault Type Indication, Operator Identifier e Operator-specific. O campo Fault Type Indication serve para informar o tipo de falha ocasionada, e construdo conforme a Tabela 3. O resto da informao utilizado para identificar onde a falha foi identificada.
Tabela 3 Interpretao do campo Fault Type Indication do FTFL. Adaptado de [ITU01].

Fault indication code 0000 0000 0000 0000 1111 0000 0001 0010 0011 1111 No Fault Signal Fail Signal Degrade

Definition

Reserved for future international standardization . . . Reserved for future international standardization

2.8

Optical Channel Payload Unit Overhead (OPU OH)


O quarto e ltimo cabealho refere-se ao Optical Channel Payload Unit Overhead (OPU OH) PSI, JC, NJO e PJO, alm de bytes reservados para futura

possui quatro campos, que so:

padronizao (RES). Esses campos possuem informaes utilizadas para insero e remoo dos dados do cliente no multi-quadro OTN, vide terminaes na Figura 10. O campo Payload Structure Identifier (PSI) definido no byte 15 da quarta linha do multiquadro OTN. Sua informao completa possui 256 bytes, os quais so alinhados ao MFAS. Na sua primeira posio (PSI[0]) est o sub-campo Payload Type (PT), as demais posies (PSI[1] a PSI[255]) so utilizadas, ou no, de acordo com o mapeamento (insero e remoo de carga til) e processo de concatenao, se empregado. A Figura 18 ilustra detalhadamente o campo PSI.

. . .

255

127

9 10

0 1

254 255

0 1

14

Byte 1 2 3 4
RES RES RES PSI JC JC JC NJO PJO RES JC

Bit

0
PT JC: NJO: PJO: Mapping & Concatenation Specific PSI: PT: RES: Justification Control Negative Justification Opportunity Positive Justification Opportunity Payload Structure Identifier Payload Type Reserved for Future International Standardization

255

Figura 18 Estrutura do OPU OH. Adaptado de [ITU01].

O byte PT define o tipo de sinal inserido na carga til do OPU, definido de acordo com a Tabela 4. Ser utilizado neste trabalho cdigo de uso proprietrio (80-8F).
Tabela 4 Interpretao do campo PT. Adaptado de [ITU01].

MSB 1 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 2 0 0 0 0 0 0 0 0 0 1 1 0 1 1 1 3 0 0 0 0 0 0 0 0 1 0 1 0 1 1 1 4 0 0 0 0 0 0 1 1 0 1 0 0 1 1 1 5 0 0 0 0 0 0 0 0 0 0 0 x 1 1 1

LSB 6 0 0 0 1 1 1 0 0 0 1 1 x 1 1 1 7 0 1 1 0 0 1 0 0 0 0 1 x 0 1 1 8 1 0 1 0 1 0 0 1 0 1 0 x 1 0 1

HEX 01 02 03 04 05 06 10 11 20 55 66 80-8F FD FE FF

Interpretation Experimental mapping Asynchronous CBR mapping Bit synchronous CBR mapping ATM mapping GFP mapping Virtual Concatenated signal Bit stream with octet timing mapping Bit stream without octet timing mapping ODU multiplex structure Not available Not available Reserved codes for proprietary use NULL test signal mapping PRBS test signal mapping Not available

Os campos de Justification Control (JC) esto presentes na coluna 16 das linhas 1 a 3 do multi-quadro, bits 7 e 8, conforme Figura 18. No caso de haver jitter no sinal do cliente, esse fator deve ser levado em conta pelo mapeamento (insero de dados do cliente na carga til do quadro OTN). O campo JC, do quadro OTN correspondente, deve ser preenchido com a informao de que houve variao, ou no, e se houve para mais ou para menos. O voto majoritrio dos trs campos define se ser feita justificativa no quarto quadro do multi-quadro, utilizando-se os campos egative Justification Opportunity (NJO) ou Positive Justification Opportunity (PJO), visualizados na Figura 18, quando necessrios, preenchidos com bytes de dados do cliente ou noutro caso preenchidos com zeros.

15

2.9

Carga til
Carga til (payload) a rea do multi-quadro OTN onde transportado o sinal do cliente.

Est definida entre as colunas 17 e 3824 do multi-quadro OTN, totalizando 15232 bytes, sem a utilizao de justificativa.

2.10 Forward Error Correction FEC


O Forward Error Correction (FEC) utiliza o mtodo de gerao de cdigo de correo de erros Reed-Solomon (RS) para produzir informao redundante que transmitida com o quadro OTN e utilizado na recepo para localizar e corrigir erros que porventura venham a acontecer durante a transmisso [SIL01]. O ganho gerado pela utilizao de correo de erros FEC pode ser de at 6.2 dB, ou seja, devido ao menor nmero de erros na comunicao possvel transmitir um sinal a certa taxa BER (Bit Error Rate) utilizando 6.2 dB a menos de potncia. Tal ganho permite que seja utilizada uma extenso de fibra ptica de maior comprimento. Possibilita, tambm, a utilizao de um maior nmero de canais em um sistema DWDM e, o mais importante, possibilita a evoluo de enlaces ponto a ponto para redes pticas ao diminuir a necessidade de regenerao do sinal no decorrer do caminho [PAI01].

2.11 Scrambling
Para que seja evitada uma seqncia muito longa de 0s ou 1s, o que dificulta a regenerao do clock na recepo do sinal, executado um processo de embaralhamento dos bits do multi-quadro. Esse processo denominado scrambling e funciona como um Linear Feedback Shift Register (LFSR), de polinmio gerador 1 +

+ + + , onde sua sada somada a cada bit do multi-quadro e forma o sinal embaralhado que ser enviado, Figura 19.

Figura 19 Processo de scrambling. Adaptado de [ITU01].

O scrambling efetuado aps o clculo do FEC e todos os bytes do multi-quadro devem ser embaralhados, com exceo dos bytes do FAS. Esse processo de embaralhamento simtrico, ou seja, o mesmo processo utilizado para embaralhar o sinal para a transmisso feito durante a recepo para que se obtenha o sinal original, desembaralhado.

16

2.12 Mapeamentos
A demanda por uma tecnologia capaz de transportar 10 Gigabit Ethernet LAN PHY inteiramente, limitao inerente ao SONET [GEE01], faz deste mapeamento o mais relevante atualmente para a indstria de telecomunicaes. Alm desse, OTN abrange muitos outros tipos de mapeamentos, sejam eles orientados a pacotes ou clulas, sncronos ou assncronos. Outros mapeamentos utilizados so SONET/SDH, ATM e GFP. A recomendao G.709 define trs tipos de mapeamentos Constant Bit Rate (CBR), so eles, CBR2G5, CBR10G e CBR40G, referentes s taxas de transmisso aproximadas de 2,5Gbps, 10Gbps e 40Gbps respectivamente. Esses valores so derivados das taxas padronizadas no SONET/SDH e a relao de ocupao do OPU. Essa relao varia de acordo com o mapeamento e significa quanto o sinal do cliente ocupa da rea de carga til do quadro OTN. A Tabela 5 apresenta a correlao entre os mapeamentos CBR, interfaces SONET/SDH e as respectivas taxas de transmisso.
Tabela 5 Correlao entre os mapeamentos CBR, interfaces SO ET/SDH e as respectivas taxas de transmisso.

Interface/Mapeamento/Taxa OTUk OTU1 OTU2 OTU3 OTN(CBR) CBR2G5 CBR10G CBR40G (Gbps) 2,666 10,709 43,018

Interfaces Correspondentes SONET OC-48 OC-192 OC-768 SDH STM-16 STM-64 STM-256

Taxas de transmisso do cliente em Gbps 2,488 9,953 39,813

A Figura 20 apresenta a estrutura do mapeamento de um sinal CBR2G5 em OPU1. J a Figura 21 e a Figura 22 demonstram a estrutura do mapeamento de um sinal CBR10G em OPU2 e de um sinal CBR40G em OPU3, respectivamente.

Figura 20 Estrutura do mapeamento de um sinal CBR2G5 em OPU1. Adaptado de [ITU01].

Os espaos no utilizados da rea de carga til do multi-quadro OTN so preenchidos com bytes de preenchimento (Fixed Stuff - FS), definidos na recomendao G.709, que so 17

desconsiderados como informao a ser extrada para envio ao cliente. Esses bytes so utilizados para adaptao do sinal do cliente dentro do quadro OTN. A Figura 21 e a Figura 22 apresentam a disposio dos bytes de preenchimento para mapeamento de um sinal CBR10G em OPU2 e de um sinal CBR40G em OPU3, respectivamente.

Figura 21 Estrutura do mapeamento de um sinal CBR10G em OPU2. Adaptado de [ITU01].

Figura 22 Estrutura do mapeamento de um sinal CBR40G em OPU3. Adaptado de [ITU01].

Existe tambm a possibilidade de mapear mltiplos sinais concorrentemente, alocando espaos tributrios para cada cliente dentro do quadro. Quatro ODU1 mapeados assincronamente no Optical Channel Data Tributary Unit 1 inserido no 2 (ODTU12) que multiplexado no tempo num ODTUG2 (Optical Channel Data Tributary Unit Group) formando um OPU2 uma combinao possvel, apresentada no a Figura 23.

18

Figura 23 Multiplexao do OTU e estruturas de mapeamento. Adaptado de [ITU01].

O suplemento 43 [ITU03] contm recomendaes para mapeamentos no definidos no G.709. Este trabalho desenvolvido utilizando-se o mapeamento de 10GbE LAN PHY em uma estrutura derivada do CBR2G5 com taxas de transmisso aproximadas do CBR10G, adaptadas para o 10GbE, denominada OPU1e, apresentado no Captulo 3.

19

3 Mapeamento 10GbE LAN PHY em OPU1e


O projeto X10GIGA tem em suas especificaes os mapeamentos que o transponder ter que atender. O desenvolvimento de vrios mapeamentos, concomitantemente, seria invivel, na medida em que aumentaria muito o tempo de projeto devido complexidade implicada. A escolha do primeiro mapeamento a ser implementado provm da necessidade mais imediata que o equipamento dever suprir. De acordo com a especificao do trabalho em desenvolvimento no escopo do projeto X10GIGA foi definida uma lista de prioridades, conforme apresentado na Tabela 6.
Tabela 6 Mapeamentos a serem implementados de acordo com a sua prioridade dentro do projeto.

Mapeamentos 1 2 3 ... 10GbE BASE-R LAN PHY SDH/STM-64 10GbE BASE-W WAN PHY ...

A recomendao G.709 utiliza SONET/SDH como referncia de sinais de cliente para explicar os mapeamentos em CBR. No suplemento 43 [ITU03] da norma encontram-se diversas abordagens sobre o transporte de 10GbE LAN PHY sobre OTN, incluindo o mapeamento utilizado no escopo desse trabalho. Nesse documento so apresentados duas possveis adaptaes do quadro para o mapeamento do sinal do cliente. A primeira delas utiliza-se de bytes de preenchimento (FS) para acomodar o sinal do cliente dentro do OPU2, enquanto a segunda utiliza toda a rea de carga til, e por isso dita como mapeada em OPU1. Porm, as duas tm taxas de transmisso diferentes das nominais, padronizadas na G.709, devido origem do sinal do cliente ser 10 Gigabit Ethernert e no SONET/SDH. Por isso, as estruturas lgicas do quadro OTN, para mapeamento de 10GbE, so referenciadas como OPUke, ODUke e OTUke, onde o e indica que h diferena de freqncia entre eles e as taxas nominais de k definidas na recomendao G.709. O mapeamento 10GbE LAN PHY em OPU1e traz outras peculiaridades. Alm da taxa de transmisso no ser padronizada, existe a ausncia de bytes de preenchimento (FS), o que faz necessrio o desenvolvimento de solues que se adaptem s especificaes do padro OTN e s restries em relao ao sinal do cliente, como a tolerncia na variao do clock. O controle dessa tolerncia uma das atividades propostas nesse trabalho.

3.1

Taxas de Transmisso
O projeto do presente trabalho envolve o uso e o entendimento de vrias freqncias e taxas

de transmisso, sendo que as principais sero explanadas nesta seo. Existem dois grandes grupos de interfaces fsicas 10GbE, diferenciadas principalmente pela rede em que ela empregada, LAN ou WAN. Os dois tipos de porta 10GbE recebem uma codificao de linha 64B/66B [BAR01], onde so adicionados dois bytes de redundncia para evitar transmisses invlidas e efetuar ajuste de sincronismo [WAL01]. A taxa de transmisso efetiva 20

10,3125Gbps, e no 10Gbps, devido a codificao. Por isso, a tecnologia SONET, utilizada nas redes de longas distncias (WAN), empacota os dados com codificao de linha 64B/66B e os concatena em quadros STS-192c, para alcanar a sua taxa de operao, que 9,953Gbps, ou seja, no consegue transportar inteiramente o sinal. Nas redes locais (LAN), o sinal da porta 10GbE LAN PHY empacotado totalmente em OPU1e, pois considera a taxa efetiva. Outras taxas de transmisso importantes vo aparecendo de acordo com a pilha do padro OTN, conforme a insero de sobrecarga a cada nvel, como mostra a Figura 24.

Figura 24 Estrutura de mapeamento sem bytes de preenchimento. Adaptado de [ITU03].

A relao entre as taxas de transmisso, de acordo com a sobrecarga referente s estruturas lgicas do quadro OTN, apresentada na Tabela 7.
Tabela 7 Relao entre as taxas de transmisso de acordo com a sobrecarga referente s estruturas lgicas do quadro OT .

Estruturas OPU1e ODU1e OTU1e

Taxas (Gbps)1 10,3125 10,3558 11,0491

Relaes 10,0000 (10GbE) x 66B/64B 10,3125 (OPU1e) x 239/238 10,3125 (OPU1e) x 255/238

A tolerncia de variao um dado relevante que acompanha a informao das taxas de transmisso. O esquema de controle de justificativa apresentado no Seo 2.8 capaz de compensar um pouco mais do que 65 ppm (partes por milho) de diferena entre as taxas de transmisso do OTU e do cliente. A recomendao assume que a variao do OTU de 20 ppm, sendo assim, permite sinais de clientes com tolerncia de at 45 ppm. Esse esquema pode ser utilizado para SONET e SDH que tem tolerncia de 20 ppm. Porm, clientes 10GbE LAN PHY tem tolerncia de 100 ppm, o que possibilita diferenas superiores a capacidade de compensao da tcnica de justificativa. No Apndice I, da recomendao G.709 [ITU01], descrito como calcular os valores de tolerncia das taxas de transmisso de acordo com o esquema de justificativa. Utilizando os exemplos da referncia possvel encontrar o quanto de justificativa necessrio para absorver a tolerncia de 100 ppm, especificada no mapeamento de sinais 10GbE LAN PHY. Antes de iniciar os clculos preciso definir equaes e o significado de suas variveis conforme feito na Tabela 8.

Valores arredondados a partir dos clculos referentes coluna Relaes da Tabela 7.

21

Tabela 8 Definio de variveis. Apndice I [ITU01].

= number of fixed stuff bytes in the OPUk payload area associated with the client in question (note that this is not the total number of fixed stuff bytes if multiple clients are being multiplexed) = nominal STM-N or ODUj client rate (bytes/s) = nominal ODUk frame period(s) = client frequency offset (fraction) = server frequency offset (fraction) = fraction of OPUk payload area available to this client = average number of client bytes mapped into an ODUk frame, for the particular frequency offsets (averaged over a large number of frames)

A mdia de bytes do cliente mapeados dentro do ODU calculada pela equao (1) e pode ser simplificada na equao (2), dado que as freqncias de ajuste so muito menores que 1.

= =
O valor de O valor de

) )

(1)

(1 +

(2)

1 representa a diferena bruta entre as variaes do cliente e do OTU.


tambm pode ser calculado pelo nmero total de bytes de dados do cliente

dentro do ODU (4 3808

= 15232 ), menos o nmero de bytes de preenchimento fixo ( ) para este mapeamento, mais a mdia do nmero de bytes fixos desse cliente aps muitos quadros. Considerando a taxa em que ocorre justificativa (valor representado pela varivel ). A combinao dessa equao com a aproximao da equao (2) resulta em: = + 15232
(3)

A taxa nominal do cliente utilizada nesse trabalho de 10,3125 Gbps, que serve para encontrar o valor de , como mostra a equao (4).

= 1289062500

(4)

O perodo nominal de transporte do quadro ODU1e ( ), em bytes, calculado na equao (5).


( , )

= 1,18163 10

(5)

Tendo que o mapeamento de 10GbE LAN PHY em uma estrutura CBR2G5 no tem bytes

= 0) e que nesse trabalho utiliza-se o mapeamento de um nico cliente, ou seja, a rea de carga til utilizada totalmente ( = 1), podemos resolver a equao (3) da seguinte maneira: 15232 = + 15232
(6) (7)

fixos (

= 15232 ( 1)

Considerando as taxas de variao como mostra a Tabela 9, podemos encontrar os valores de para os dois casos de maior diferena. 22

Tabela 9 Valores utilizados para encontrar .

Caso 1 2

Cliente ( ) 100 +100

OTU ( +20 20

Total ( ) 120 +120 1,8278 +1,8278

1,8278
O intervalo de

+1,8278

(8)

, equao (8), mostra que nesse mapeamento a taxa de ocorrncia de

justificativa ultrapassa o suportado. Pela tcnica de controle de justificativa, descrita na norma, deve no mximo chegar ao mdulo de um ( |1|), onde = |1| corresponde utilizao dos campos de PJO ou NJO em todos os quadros, e

= 0 seria o caso em que os campos de

justificativa no so necessrios, ou seja, nominal. Outra forma de explicar esses valores seria afirmar que para atender esses piores casos se faz necessrio mais um campo de justificativa positiva e outro de negativa. A norma no aborda este tipo de mapeamento e por isso no apresenta referncia para soluo que atenda essa tolerncia. Logo, vai ser presumido que o cliente dever respeitar a tolerncia mxima de 45ppm na variao do seu sinal transmitido.

23

4 Desenvolvimento da Interface-Cliente
Para tornar possvel a compreenso dos mdulos desenvolvidos para o presente trabalho, apresentada nas prximas Sees a arquitetura proposta para a soluo do problema, assim como as anlises feitas para definir a estrutura dos mdulos. E, posteriormente, ser apresentada uma descrio mais detalhada do desenvolvimento de cada mdulo da Interface-Cliente Extrator e Insersor de Carga til.

4.1

Arquitetura Proposta
A arquitetura proposta para a Interface-Cliente apresentada na Figura 25, onde se pode

observar o mdulo Extrator de Carga til, onde o item (1) indica a recepo de quadros OTN em palavras de 64 bits, alinhadas em funo da seqncia de alinhamento FAS e sem erros. Logo no incio dos quadros feita a anlise dos campos JC do cabealho OPU OH. Este procedimento executado no mdulo Votao, item (2) da Figura 25. Posteriormente, a partir do resultado obtido pelo mdulo Votao feito o Controle de Justificativa, mdulo apresentado no item (3) da Figura 25, que controla o deslocamento das palavras de 64 bits que so recebidas no Extrator de Carga til, em virtude de uma ou mais justificativas positivas ou negativas, item (4) da Figura 25. Aps a manipulao dos dados de entrada, quando necessria, a carga til do quadro OTN inserida em uma fila (FIFO) assncrona de onde os dados so lidos pelo cliente 10GbE, item (5) da Figura 25. Por fim, para controle de jitter existem mdulos externos de controle da gerao do clock de leitura da FIFO. Este controle feito a partir da anlise de um sinal que gera pulsos em funo do nmero de palavras inseridas na FIFO assncrona, apresentado no item (6) da Figura 25. No mdulo Insersor de Carga til a recepo de dados do cliente 10GbE pode ser observada no item (7) da Figura 25. Quando recebidos, os dados do cliente so armazenados em uma FIFO assncrona, item (8) da Figura 25, de onde so retirados de acordo com o mdulo Controle de Justificativa, item (9) da Figura 25, para insero na rea de carga til de quadros OTN. Baseado no comportamento desta FIFO assncrona o mdulo Controle de Justificativa controla a insero dos bits JC nos quadros e em funo desses bits controla o deslocamento necessrio, em virtude de uma ou mais justificativas positivas ou negativas, item (10) da Figura 25. Por fim, apresentado na Figura 25 item (11) o mdulo que executa a insero dos cabealhos nos quadros OTN.

24

Figura 25 - Arquitetura proposta para a Interface-Cliente. Extrao de Carga til: (1) Recepo de quadros OT ; (2) Anlise dos votos de justificativa; (3) Controle de justificativa, baseado no resultado da votao; (4) Deslocamento em virtude de justificativa; (5) FIFO assncrona de extrao de dados para o cliente 10GbE; (6) Gerao de pulsos de referncia para controle de jitter. Insero de Carga til: (7) Recepo de dados do cliente 10GbE; (8) FIFO assncrona de insero de carga til em quadros OT ; (9) Controle de justificativa, baseado no comportamento da FIFO assncrona; (10) Deslocamento em virtude de justificativa; (11) Insero de cabealhos em quadro OT .

25

4.2

Dimensionamento das Filas Assncronas


Para desenvolver as filas assncronas utilizadas nos mdulos Extrator e Insersor de Carga til

se faz necessrio um estudo detalhado dos tamanhos, tempos e freqncias relevantes ao mapeamento 10GbE em OTN, para tornar possvel a criao de um ambiente de anlise do comportamento das filas, a fim de dimensionar as mesmas de modo a no acrescentar latncia desnecessria Interface-Cliente. Inicialmente, so apresentados na Tabela 10 os tamanhos referentes ao quadro OTN que so relevantes aos clculos de tempos e freqncias do mapeamento utilizado no presente trabalho. Tempos e freqncias para o mapeamento 10GbE em OTN so apresentados na Tabela 11, onde tambm esto presentes as variaes permitidas por cada padro2.
Tabela 10 Tamanhos do quadro OT .

Colunas Palavras de 64 bits Bytes Bits

Cabealho 1 2 16 128

Tamanhos do Quadro OTN Carga til FEC Quadro 238 16 255 476 3808 30464 32 256 2048 510 4080 32640

Multi-quadro 1020 2040 16320 130560

256 Multi-quadros 261120 522240 4177920 33423360

Tabela 11 Dados de tempo e freqncia para mapeamento de 10GbE LA PHY em OT .

Dados para Mapeamento 10GbE LAN PHY em OTN Taxa do Cliente 10GbE LAN PHY (Gbps) 10GbE LAN PHY 10,3125 Taxa da Linha OTN (Gbps) Linha OTN 11,0491071428571 Freqncia do Cliente 10GbE LAN PHY 64 bits (MHz) 10GbE LAN PHY 161,1328125 Freqncia da Linha OTN 64 bits (MHz) Linha OTN 172,642299107143 Perodo do Cliente 10GbE LAN PHY 64 bits (ps) 10GbE LAN PHY 6206,060606 Perodo da Linha OTN 64 bits (ps) Linha OTN 5792,323232 Tolerncia do Cliente 10GbE LAN PHY (100 ppm) 10GbE LAN PHY - Freqncia (MHz) 0,016113281250 10GbE LAN PHY - Perodo (ps) 0,620544006 Tolerncia da Linha OTN (20 ppm) Linha OTN - Freqncia (MHz) 0,003452845982143 Linha OTN - Perodo (ps) 0,115844148

A partir das freqncias e perodos apresentados na Tabela 11 possvel obter os tempos do quadro OTN operando com as freqncias nominais, Tabela 12, para futura comparao de resultados obtidos. E, considerando as variaes apresentadas na Tabela 11, possvel calcular os perodos com jitter, Tabela 13, utilizados nas simulaes para anlise do comportamento da fila
As variaes mximas, apresentadas na Tabela 11, referentes ao 10GbE no so as variaes mximas do projeto X10GIGA para tal mapeamento. Porm, foram utilizadas essas variaes para se obter uma anlise de piores casos sem a ocorrncia de justificativas do multi-quadro OTN, pois, ainda no haviam sido implementados os mdulos de justificativa.
2

26

assncrona. importante ressaltar que tambm foram calculados, na Tabela 13, os perodos com jitter para casos intermedirios de variao de freqncia, assim possibilitando uma anlise do comportamento das filas no s nos piores casos e caso nominal, mas tambm nesses casos intermedirios.
Tabela 12 Tempos do quadro OT para mapeamento 10GbE LA PHY quando ambos, cliente e linha, esto operando freqncia nominal. Tempos do quadro OTN para Mapeamento 10GbE LAN PHY (Nominal)

Cabealho (ns) Carga til (ns) FEC (ns) Quadro (ns) Multi-quadro (ns)

11,584646464647 2757,145858585860 185,354343434343 2954,084848484850 11816,339393939400

Tabela 13 Perodos com jitter para palavra de 64 bits (fs).

Perodos com jitter para Palavra de 64 bits (fs) 10GbE LAN PHY -100 ppm 6206681,15006681 10GbE LAN PHY -50 ppm 6206370,89357653 10GbE LAN PHY +50 ppm 6205750,31854468 10GbE LAN PHY +100 ppm 6205440,06205440 OTU2 -20 ppm 5792439,07647100 OTU2 -10 ppm 5792381,15497633 OTU2 +10 ppm 5792265,30967014 OTU2 +20 ppm 5792207,38817547

A escrita na fila, durante o procedimento de extrao de carga til, no constante. Dado que apenas a rea de carga til extrada durante a recepo, os cabealhos e o FEC no so inseridos na fila e o tempo sem insero apresentando na Tabela 14. Esse tempo utilizado para a definio dos pontos de mxima e mnima ocupao da fila, pois, no momento em que termina a recepo de carga til de um quadro e se interrompe a insero na fila, observada a ocupao mxima do intervalo. Aps a recepo do FEC e do cabealho se obtm a ocupao mnima da fila no intervalo. Este comportamento pode ser observado na Figura 26, que mostra os primeiros 10us de operao de uma fila assncrona simulando a extrao de carga til, onde, no item (1) apresentado o momento em que inicia a escrita na fila. Logo aps, no item (2) da Figura 26, a fila atinge certa ocupao que serve de threshold para o incio da leitura. Para fins de implementao, este threshold definido de forma a manter a ocupao mdia no meio da capacidade total da fila. Este fator indica a latncia inserida pelo circuito e ser apresentado nas prximas Sees. Ainda nesse ponto, possvel observar que mesmo com o incio da leitura a ocupao continua aumentando, mesmo que com menor velocidade, por causa da diferena entre as freqncias de escrita e leitura apresentadas na Figura 27. No item (3) da Figura 26 se pode observar o momento em que a ocupao da fila atinge seu ponto mximo e comea a diminuir, quando a escrita interrompida no fim da carga til de um quadro. E por fim, no item (4) da Figura 26, apresentado o momento em que a FIFO atinge seu ponto de ocupao mnima e comea a ser preenchida novamente, no comeo da recepo da carga til do prximo quadro. Os pontos de mxima e mnima ocupao da fila devem ser constantes quando as freqncias de escrita e leitura so nominais, Figura 27, e o fato de a fila trabalhar de 27

forma assncrona permite a adaptao do sinal para o cliente sem interrupes. De forma anloga acontece a leitura da fila do Insersor de Carga til, pois, quando feita a transmisso de cabealhos e FEC no ocorre leitura.
Tabela 14 Dados no escritos/lidos na/da fila (Cabealho + FEC). Utilizando freqncia nominal.

Dados no escritos/lidos na/da fila (Cabealho + FEC) Tempo (ns) 196,93898989899 Nmero de Colunas 17 Nmero de Palavras de 64 bits 34 Nmero de Bytes 272 Nmero de Bits 2176

Figura 26 Comportamento da FIFO Assncrona. (1) Incio da escrita; (2) Incio da leitura; (3) Ocupao mxima, fim da carga til de um quadro; (4) Ocupao mnima, fim do cabealho de um quadro.

Figura 27 Filas assncronas, operando nas freqncias nominais.

Aps a obteno dos dados de tempo e freqncia relevantes anlise de comportamento das filas assncronas, foi utilizado o componente FIFO18_36, presente na famlia de FPGAs Virtex-5 da 28

empresa Xilinx, para implementao de uma FIFO assncrona de 64 bits de largura (palavras de 64 bits) e 512 endereos de profundidade, onde foram utilizados dois componentes FIFO18_36 paralelamente com configurao 32x512, onde uma fila recebe a parte alta de uma palavra de 64 bits (bits de ndice 63 ao 32) e a outra a parte baixa (bits de ndice 31 ao 0). A arquitetura desenvolvida para as filas assncronas apresentada na Figura 28. Tambm definiu-se o parmetro

delta, o qual corresponde diferena entre os valores dos ponteiros de escrita e leitura do
componente FIFO18_36. Toda a anlise do comportamento das filas baseada nas variaes do delta de ocupao.

FIFO Assncrona
rst clk_linha_otn

&

DO [63..0] clk_cliente_10GbE

FIFO18_36
write_enable DI [63..0] [63..32] wr_count rd_count

rd_enable rd_error

Clculo do Delta de Ocupao

delta

FIFO18_36

empty full almost_empty

[31..0]

almost_full

Figura 28 Arquitetura da FIFO Assncrona, utilizando o componente FIFO18_36 da famlia de FPGAs Virtex-5 da Xilinx.

As simulaes feitas para a anlise do comportamento das filas assncronas tm como objetivo verificar se a variao resultante dos piores casos no causa o total preenchimento da fila ou esvaziamento da mesma. Por isso, um dado importante o tempo de reao do VXCO, apresentado na Figura 25, que de no mximo 1 ms, segundo dados da TERACOM. Sendo assim, foram feitas trs simulaes: caso nominal, Figura 29; pior caso para o enchimento da fila, ou seja, escrita com freqncia da linha OTN +20 ppm e leitura com freqncia do cliente 10GbE -100 ppm, Figura 30; pior caso para o esvaziamento da fila, ou seja, escrita com freqncia da linha OTN -20 ppm e leitura com freqncia do cliente 10GbE +100 ppm, Figura 31.

29

Figura 29 Grfico do delta de ocupao da FIFO assncrona em caso de freqncias nominais.

Figura 30 Grfico do delta de ocupao da FIFO assncrona no pior caso onde acontece o enchimento da fila, ou seja, escrita com freqncia da linha OT +20ppm e leitura com freqncia do cliente 10GbE -100ppm.

30

Figura 31 Grfico do delta de ocupao da FIFO assncrona no pior caso onde acontece o esvaziamento da fila, ou seja, escrita com freqncia da linha OT -20ppm e leitura com freqncia do cliente 10GbE +100ppm.

Feitas estas trs simulaes, se observou a necessidade de obter as mdias de ocupao da fila, ou seja, quantas palavras a mais ou a menos do ponto mdio se tm dentro de certo perodo de anlise. O procedimento para a obteno destes valores consiste de duas etapas. A primeira etapa calcular a mdia de ocupao da fila para diferentes condies de freqncia, durante uma janela de amostragem de 1000 palavras de 64 bits, cujos tempos de amostragem so apresentados na Tabela 15. A segunda etapa executar o mesmo procedimento 256 quadros aps a primeira amostragem para se obter uma segunda mdia de ocupao, cujos tempos entre as amostragens so apresentados na Tabela 15. Aps a segunda amostragem possvel calcular a diferena entre as duas mdias de ocupao, para se obter quantas palavras foram acrescentadas ou subtradas da ocupao mdia da fila durante o tempo de anlise.
Tabela 15 Dados para Simulao de Comportamento da FIFO.

Dados para Simulao de Comportamento da FIFO Janela de Amostragem Palavras de 64 bits 1000 Tempo de Escrita -20 ppm (us) 5,792439076471 Tempo de Escrita -10 ppm (us) 5,792381154976 Tempo de Escrita Nominal (us) 5,792323232323 Tempo de Escrita +10 ppm (us) 5,792265309670 Tempo de Escrita +20 ppm (us) 5,792207388175 Tempo entre cada amostragem Quadros 256 Palavras de 64 bits 130560 Tempo de Escrita -20 ppm (us) 756,260845824053 Tempo de Escrita -10 ppm (us) 756,253283593710 Tempo de Escrita Nominal (us) 756,245721212121 Tempo de Escrita +10 ppm (us) 756,238158830533 Tempo de Escrita +20 ppm (us) 756,230596600189

31

As combinaes de todas as variaes de freqncia calculadas resultam em 25 simulaes, cujos resultados so apresentados na Tabela 16, onde apresentada a diferena entre as ocupaes mdias amostradas em cada anlise, para cada combinao de variaes de freqncia. Ou seja, quantas palavras de 64 bits a mais ou a menos se tm na fila.
Tabela 16 Comportamento da FIFO Assncrona.

Comportamento da FIFO Assncrona


Jitter de Escrita Jitter de Leitura Palavras de 64bits deslocadas a cada 256 quadros

+20 ppm +20 ppm +20 ppm +20 ppm +20 ppm +10 ppm +10 ppm +10 ppm +10 ppm +10 ppm 0 ppm 0 ppm 0 ppm 0 ppm 0 ppm -10 ppm -10 ppm -10 ppm -10 ppm -10 ppm -20 ppm -20 ppm -20 ppm -20 ppm -20 ppm

-100 ppm -50 ppm 0 ppm +50 ppm +100 ppm -100 ppm -50 ppm 0 ppm +50 ppm +100 ppm -100 ppm -50 ppm 0 ppm +50 ppm +100 ppm -100 ppm -50 ppm 0 ppm +50 ppm +100 ppm -100 ppm -50 ppm 0 ppm +50 ppm +100 ppm

14 8 2 -4 -10 13 7 1 -5 -11 12 6 0 -6 -12 11 5 -1 -7 -13 10 4 -2 -8 -14

A partir da Tabela 16 possvel afirmar que em uma fila assncrona de 512 posies, com ocupao mdia de 256 posies na freqncia nominal, operando com as maiores variaes de freqncia toleradas, leva-se muito mais tempo para a fila esgotar ou esvaziar o seu espao de armazenamento do que o tempo necessrio para o controle de variao reagir e anular o jitter (mximo de 14 palavras em 0,756 ms, enquanto o tempo de controle do jitter de no mximo 1ms).

32

4.3

Mdulo Extrator de Carga til


O sinal transmitido pela linha OTN recebido, deserializado, e entregue em palavras de 64

bits aos mdulos da recepo no projeto X10GIGA que alinha, desembaralha, corrige possveis erros e extrai a informao dos cabealhos recebidos, procedimentos executados pelos mdulos apresentados na Figura 25 (pgina 25), antes de disponibilizar os quadros para o mdulo de extrao de carga til. Uma mquina de estados, que controla se o sinal recebido um quadro vlido, gera um sinal que indica o incio de quadros vlidos, sinal start da Figura 32.

Figura 32 Gerao do sinal que indica o incio de quadros vlidos ao Extrator de Carga til.

Aps o incio da recepo de quadros vlidos no mdulo de extrao de carga til, este deve ser capaz de:

Analisar os campos de Justification Control (JC) e determinar se h ou no justificativa no multi-quadro;

Executar deslocamentos nas palavras de 64 bits recebidas para alinh-las de acordo com a carga til quando h justificativa;

Escrever na fila assncrona os dados que sero entregues ao cliente 10GbE; Gerar sinal de referncia para o controle de jitter externo.

A Figura 39 (pgina 39) apresenta a arquitetura do mdulo de extrao de carga til desenvolvido. Nesta Figura, o item 1 apresenta o mdulo de votao. Este mdulo verifica os bits de JC, apresentados na Tabela 17, presentes nos trs primeiros quadros de um multi-quadro e disponibiliza o resultado para o mdulo de controle de justificativa, item 2 da Figura 39.
Tabela 17 Interpretao do campo Justification Control (JC). Adaptado de [ITU1].

Interpretao do campo Justification Control (JC), aps a votao dos bits 7 e 8 bits 7 8 NJO PJO 0 0 no utilizado byte de dados 0 1 byte de dados (justificativa) byte de dados 1 0 (Nota) no utilizado byte de dados 1 1 no utilizado no utilizado (justificativa) Nota: Um circuito de mapeamento no gera este cdigo. Porm, devido a erros no quadro o cdigo pode ser recebido.

O mdulo Controle de Justificativa, item 2 da Figura 39, responsvel pelo controle de toda a manipulao dos dados de entrada do Extrator de Carga til, at a escrita na fila assncrona, item 8 da Figura 39. De acordo com os resultados disponibilizados pelo mdulo de votao aps o terceiro quadro de cada multi-quadro o controle de justificativa decide que atitude deve ser tomada tomando em conta os procedimentos executados at ento, em funo de um contador de 33

justificativas. Devido ao fato da justificativa ser feita de 8 em 8 bits e trabalharmos com palavras de 64 bits, se faz necessrio o deslocamento das palavras de 64 bits para que estas contenham a justificativa executada. Para este processo de deslocamento utilizado o mdulo Deslocador, Figura 33, que tem como entradas a palavra atual e o resto da entrada anterior do Extrator de Carga til, item (3) da Figura 39. Este mdulo tem a capacidade de deslocar de 8 a 56 bits, de acordo com o valor do sinal deslocamento, como apresentado na Tabela 18.

Figura 33 Deslocador multi-estgio. Tabela 18 Interpretao do sinal deslocamento do mdulo Deslocador.

Interpretao do Sinal deslocamento bits 2 1 0 Deslocamento (bits) 1 1 1 56 1 1 0 48 1 0 1 40 1 0 0 32 0 1 1 24 0 1 0 16 0 0 1 8 0 0 0 0

O sinal enable_resto controla o fluxo de dados que sero utilizados como carga til, item 4 da Figura 39. Quando no necessrio executar deslocamento nas palavras de entrada, os dados que 34

saem do registrador

R1 so os dados que chegam ao sinal resto, a partir do multiplexador

controlado pelo sinal mux_resto. Logo aps, ao passarem pelo mdulo Deslocador, item 3 da Figura 39, sem deslocamentos, os dados chegam entrada da fila assncrona, sinal DI do item 8 da Figura 39, atravs do multiplexador que controlado pelo sinal mux_fifo. Por fim, os dados so escritos na fila de acordo com o sinal write_enable. Este processo exemplificado na Figura 34, onde possvel observar que as duas primeiras palavras de entrada, cabealhos, so ignoradas e apenas a carga til escrita na fila.

Figura 34 Extrao de carga til. Caso: contador de justificativas zerado e/ou justificativa no necessria.

O contador de justificativas incrementado sempre que h uma justificativa negativa, ou seja, um byte a mais no multi-quadro, e decrementado sempre que h justificativa positiva, ou seja, um byte a menos no multi-quadro. Caso o contador esteja em zero e ocorra uma justificativa positiva, ento, o contador ajustado para o valor sete. Analogamente, quando ocorre uma justificativa negativa e o contador est em sete, este ajustado para o valor zero. Os procedimentos executados em virtude da ocorrncia de justificativa(s) so:

Justificativa negativa quando o acumulador de justificativas est zerado: neste caso um byte que faz parte da carga til est na segunda palavra de cabealho do quarto quadro do multi-quadro. Para inserir este byte na fila, alinhado com a primeira palavra da rea de carga til, se faz necessrio um deslocamento de 56 bits na palavra do cabealho juntamente com a primeira palavra da rea de carga til. Este procedimento apresentado na Figura 35. Em virtude do deslocamento, sempre restar bits da ltima palavra deslocada e estes bits sero utilizados no prximo deslocamento com a prxima palavra.

Figura 35 Extrao de carga til. Caso: primeira justificativa negativa.

35

Justificativa negativa quando o contador est com valores entre 1 e 6: como toda medida de justificativa resulta em palavras de resto, a partir do equivalente segunda justificativa negativa consecutiva, necessrio que a palavra de resto seja concatenada com os 8 bits menos significativos da segunda palavra de cabealho, no lugar de seus 8 bits menos significativos e que os 56 bits menos significativos da palavra de resto se tornem os 56 bits mais significativos. Este processo de concatenao apresentado no sinal resto_njo da Figura 36, e seu caminho pode ser observado no item 5 da Figura 39. Neste caso, o sinal resto contm a ltima palavra utilizada para o ltimo deslocamento do quadro anterior. Esta informao mantida por conta do controle do sinal enable_resto. O deslocamento feito com a palavra atual, que a sada do multiplexador controlado por mux_atual, quando no ocorre o processo de concatenao da palavra atual com zeros, e o resto_njo que a sada do multiplexador controlado por mux_resto quando ocorre o processo de concatenao j descrito. possvel observar, na Figura 36, que a primeira palavra a ser escrita na fila contm os 16 bits menos significativos da palavra do sinal resto_njo e os 48 bits mais significativos da primeira palavra da carga til, sinal atual. Aps a utilizao da palavra concatenada o processo segue de maneira semelhante a quando h justificativa negativa e o contador de justificativas est zerado. Pois, o controle do multiplexador faz com que ele volte a fornecer o sinal de resto sem concatenao para o deslocador.

Figura 36 Extrao de carga til. Caso: segunda justificativa negativa.

Justificativa negativa quando o contador est com o valor 7: quando o contador de justificativas est com o valor 7, o equivalente a 7 justificativas negativas consecutivas, e acontece uma nova justificativa negativa, o processo de concatenao do sinal resto_njo ocorre, como explicado anteriormente. Porm, como se tm acumuladas 8 justificativas, temos ento um acrscimo de 8 bytes, ou seja, uma palavra de 64 bits que escrita na fila sem que acontea deslocamento no mdulo Deslocador, que tem nesse caso o sinal deslocamento com o valor 000. 36

Justificativa positiva quando o acumulador de justificativas est zerado: neste caso um byte que no faz parte da carga til (byte de justificativa) est na primeira palavra da carga til do quarto quadro do multi-quadro. Para retirar este byte e fazer com que ele no chegue fila, se faz necessrio um deslocamento de 8 bits na primeira palavra da carga til juntamente com a segunda palavra da carga til. Procedimento que apresentado na Figura 37. Em virtude do deslocamento sempre restar bits da ltima palavra deslocada e estes bits sero utilizados no prximo deslocamento com a prxima palavra.

Figura 37 Extrao de carga til. Caso: primeira justificativa positiva.

Justificativa positiva quando o contador est com valor entre 7 e 2: como toda medida de justificativa resulta em palavras de resto, a partir do equivalente a segunda justificativa positiva consecutiva, necessrio que a palavra atual seja concatenada com zeros no lugar de seus 8 bits menos significativos e que os 56 bits menos significativos da palavra atual se tornem os 56 bits mais significativos. Este processo de concatenao apresentado no sinal atual_pjo da Figura 38, e seu caminho pode ser observado no item 6 da Figura 39. Neste caso, o sinal resto contm a ltima palavra utilizada para o ltimo deslocamento do quadro anterior, esta informao mantida por conta do controle do sinal enable_resto. O deslocamento feito com a palavra atual_pjo, que a sada do multiplexador controlado por mux_atual, quando ocorre o processo de concatenao da palavra atual com zeros, e o resto. possvel observar, na Figura 38, que a primeira palavra a ser escrita na fila contm os 56 bits menos significativos da palavra do sinal resto e os 8 bits mais significativos da palavra do sinal atual_pjo. Aps a utilizao da palavra concatenada o processo segue de maneira semelhante a quando h justificativa positiva e o contador de justificativas est zerado. Pois, o controle do multiplexador faz com que ele volte a fornecer o sinal de entrada sem concatenao para o deslocador e o valor do sinal deslocamento atualizado para o prximo deslocamento necessrio.

37

Figura 38 Extrao de carga til. Caso: segunda justificativa positiva.

Justificativa positiva quando o contador est com o valor 1: quando o contador de justificativas est com o valor 1, o equivalente a 7 justificativas positivas, e acontece uma nova justificativa positiva, o processo de concatenao do sinal atual_pjo ocorre, como explicado anteriormente. Porm, como se tm acumuladas 8 justificativas, temos ento um acrscimo de 8 bytes, ou seja, uma palavra de 64 bits que escrita na fila. O deslocamento executado neste ciclo mesmo executado ltimo quadro antes da palavra completa, o mdulo Deslocador tem nesse caso o sinal deslocamento com o valor 111. Aps sair do deslocador o sinal atrasado por um registrador em um ciclo por questes de sincronizao, para que possa ser entregue as palavras da carga til imediatamente aps a palavra completa devido ao equivalente s 8 justificativas positivas consecutivas. O sinal entregue fila atravs de um multiplexador que controlado pelo sinal mux_fifo, este caminho est indicado como item 7 da Figura 39. Depois do deslocamento da palavra atual_pjo o deslocador recebe no sinal deslocamento o valor 000 para que passe as prximas palavras da carga til sem executar deslocamento.

38

clock_linha_otn_rx

Extrator de Carga til


1 2 8 9

start

Votao Controle de Justificativa


write_enable

resultado [1..0]

kHz

enable_resto [64..0]

empty full almost_empty almost_full read_error delta

deslocamento

mux_atual

[7..0] mux_fifo

mux_resto

X10 Recepo OTN

&
resto

DO [64..0]

[55..0]

[64..0]

7
DI

entrada

R1 6

[64..0]

Deslocador

&
[64..0] atual [55..0]

x00

[64..0]

clock_linha_otn_rx

clock_cliente_10gbe_tx

Figura 39 Extrator de Carga til 10GbE de quadros OT . (1) Mdulo de Votao de Justification Control (JC); (2) Mdulo que faz o controle de justificativa; (3) Mdulo de deslocamento; (4) Registrador de entrada do circuito; (5) Concatenao do sinal resto, devido a justificativas; (6) Concatenao do sinal atual, devido a justificativas; (7) Controle para atraso do sinal de sada do Deslocador, devido a justificativas; (8) Fila Assncrona; (9) Gerador de pulsos de referncia para controle de variao na taxa do cliente 10GbE.

Cliente 10GbE FIFO Assncrona


threshold read_enable

Gerador de Pulso de Referncia

entrada

39

4.4

Mdulo Gerador de Pulsos de Referncia


A sincronizao, em comunicao serial, se faz indispensvel para o seu correto

funcionamento, pois o receptor precisa amostrar o dado recebido, no intervalo, em que seja garantido que ele esteja estvel, assim, evitando perdas ou dados invlidos. Os dados 10GbE transmitidos, so mapeados para percorrer a rede OTN, a uma freqncia mais elevada, para ento chegar no cliente, que precisa estar sincronizado com a origem, na outra ponta da comunicao. Para isso, recuperado o sinal de relgio do cliente, retirando-o do fluxo de dados da carga til recebida. A Figura 40 ilustra o funcionamento da sincronizao entre os clientes 10GbE, com os dados, mapeados, navegando na rede OTN, e o sinal de relgio da orgiem, com jitter, sendo recuperado no destino.

Figura 40 Ilustrao da recuperao de relgio.

O mdulo desenvolvido responsvel pela extrao do sinal de relgio do cliente de origem, dos dados de carga til recebidos, para gerao de um pulso de referncia que servir para sincronizao entre os dois extremos da comunicao. Os dados que saem do extrator de carga til so transmitidos para o cliente a uma taxa ajustada de acordo com a freqncia de referncia. O circuito que faz esse ajuste foi desenvolvido pela TERACOM, e trata-se de um PLL (Phase-locked loop), sendo que parte dele implementado dentro do FPGA, como mostra a Figura 41, que contm valores de quando o cliente do tipo STM-64.

Figura 41 Circuito para recuperao do relgio com valores para o mapeamento de um cliente STM-64 [TER02].

40

O oscilador controlado por tenso (VCXO) gera a taxa de transmisso dos dados para o cliente, de onde deriva a freqncia de leitura da fila do extrator de carga til. O sinal que sai do VCXO dividido para ser comparado com a freqncia de referncia. A diferena de fase aplicada a um controlador PI (Proporcional-Integral) que gera uma sada PWM (Pulse-Width Modulation). Havendo diferena entre o sinal recuperado e o do oscilador, far com que o PWM atue sobre o VCXO fazendo com que sua freqncia torne-se igual recuperada. A Figura 42 mostra um diagrama de blocos representativo de um PLL padro.

Figura 42 Diagrama de blocos de um PLL [TER02].

A derivao da freqncia de referncia, a partir do sinal recuperado da rede, feita pela contagem do nmero de palavra de 64 bits de carga til presentes nos quadros OTN recebidos. O pulso gerado quando o contador do mdulo atinge o nmero equivalente ao do divisor da freqncia do cliente. A freqncia de referncia escolhida para o mapeamento 10GbE foi de 7,8125kHz, dado que o divisor do PLL e do mdulo devem ser nmeros inteiros, buscou-se um nmero de palavras que resultasse numa freqncia prxima a 8kHz, que a usualmente utilizada nos PLLs, mas que tambm fosse um valor com poucas casas decimais para a melhor visualizao nas simulaes. A freqncia do cliente (de 64 bits), calculada na Tabela 11, dividida por 20625 para chegar freqncia de referncia utilizada, como mostra a equao (9).

==

MH

= 7,8125

(9)

A contagem de palavras de carga til incrementada a todo ciclo de relgio em que a escrita na fila est habilitada pelo extrator. Dado que as palavras de FEC e cabealho no so escritos na fila, calculamos o nmero de quadros e muilti-quadros necessrios para gerao de um pulso, dividindo o valor do divisor pelo nmero de palavras da carga til, como monstram as equaes (10) e (11), respectivamente.

# #

= =

43,32983

(10) (11)

10,8324

O fato do nmero de quadros no ser inteiro, faz com que a freqncia de referncia oscile em torno de 7,8125kHz. Isso no causa problema, pois, num longo perodo como se no houvesse 41

variao, dado que as diferenas entre a freqncia de referncia e o sinal derivado do VCXO se anulam ao longo de tempo, como exposto na Tabela 19. O valor do divisor do sinal recuperado e o do utilizado no PLL devem ser os mesmos, porm, a freqncia utilizada no mdulo gerador a metade da correspondente que alimenta o PLL, como mostra a Figura 25, logo, o valor de N na Figura 42 deve ser igual a 41250, o dobro do utilizado no mdulo desenvolvido.
Tabela 19 Comportamento da Freqncia do Pulso de Referncia.

Comportamento da Freqncia (em kHz) do Pulso de Referncia Casos Nominal PJO - 20ppm Ideal 1ms 2ms 7,817 3ms 7,805 4ms 7,817 7,816 5ms 7,817 6ms 7,817 7ms 7,805 8ms 7,817 9ms 10ms NJO + 20ppm 7,8173 7,817 7,8053 7,816 7,816

7,8165 7,8165 7,8045 7,8165 7,8165 7,8045 7,8165 7,8165 7,8045 7,8165 7,8156 7,8156 7,8039 7,816 7,8156 7,816 7,8039 7,8125 7,8125 7,8125 7,8125 7,8125 7,8125 7,8125 7,8125 7,8125 7,8125

A Tabela 19 mostra os resultados da simulao do gerador de pulsos de referncia. Foi considerada a variao do perodo dentro da janela de um milissegundo de simulao. Os perodos foram transformados em freqncia para mostrar o comportamento do pulso de referncia em relao a um pulso constante ideal. Pode-se observar que no caso nominal a freqncia do pulso de referncia oscila em torno do valor ideal, porm, a soma das diferenas tende a se anular. Apresenta-se tambm na tabela o comportamento quando existe jitter nos dados do cliente de origem, ou seja, os quadros chegam com justificativa, somado a isso h ocorrncia de variao na rede OTN, formando os dois piores casos, aonde, a diferena entre a escrita e a leitura da fila chega aos 65ppm. No caso de presena de jitter no sinal recuperado, as justificativas iro aumentar ou diminuir a quantidade de carga til dentro do multi-quadro, o que implica em escrever por mais ou menos ciclos na fila, respectivamente. Por outro lado, jitter no sinal da linha OTN, far com que a freqncia de escrita na fila se altere, diferentemente do caso anterior, em que o nmero de escritas varia. As duas situaes em que o jitter aparece afetam a freqncia de referncia, logo, o PLL atuar sobre o oscilador para que o sinal gerado pelo VCXO se adapte, assim, no deixando que a fila encha ou fique vazia. A concluso da placa desenvolvida para o projeto X10GIGA est prevista para a metade de dezembro deste ano. Sem a placa e os mdulos do PLL, desenvolvidos pela TERACOM, torna-se impraticvel uma real verificao do comportamento da fila do extrator, relacionado variao da freqncia de leitura, que provm do VCXO que sofre as aes do PLL de acordo com a diferena da freqncia de referncia gerada pelo mdulo desenvolvido. Nas reunies do projeto foram discutidas informaes relevantes para o desenvolvimento do gerador da freqncia de referncia. A informao do tempo de resposta do PLL serviu para definir o perodo das simulaes realizadas, j a especificao de que o nmero do divisor do mdulo desenvolvido deve ser genrico, para adaptao de outros mapeamentos, tornou-se caracterstica do gerador de pulso de referncia.

42

A Figura 43 destaca o bloco do gerador de pulso de referncia desenvolvido e exibe um pseudocdigo que explica o funcionamento do mesmo. O sinal gerado pelo bloco ilustrado no diagrama de tempo da Figura 44, que mostra o contador sendo incrementado quando a escrita na fila habilitada, at atingir o valor do divisor, representado por N na Figura 43, onde o pulso permanece ativo por um ciclo do relgio.

Figura 43 Pseudocdigo explicativo do bloco gerador de pulso de referncia.

Figura 44 Ilustrao do funcionamento da gerao do pulso de referncia.

4.5

Mdulo Insersor de Carga til


Um mdulo de interface com a fibra ptica, externo ao framer, responsvel por paralelizar o

fluxo de dados do cliente 10GbE 10,3125 Gbps em 16 canais diferenciais (LVDS), cada um a uma taxa de 644,53125 Mbps. Essa taxa muito alta para se trabalhar dentro do FPGA. A soluo utilizada pode ser compreendida com a Figura 45, que mostra a utilizao do BUFR para dividir o sinal de relgio e registradores DDR (Double Data Rate) para amostrar os dados nas duas bordas de sensitividade.

Figura 45 Diagrama de blocos dos registradores DDR de entrada (a) e sada (b) do FPGA.

43

Figura 46 Diagrama de tempo com o comportamento do IDDR da Figura 45(a).

O diagrama de tempo da Figura 46 ilustra o comportamento de um dos 16 IDDR (I (Input DDR) Figura 45(a) que se conectam com cada canal de entrada, formando palavras de 32 bits a uma taxa (a) aproximada de 322,65 Mbps. A gerao do sinal sada feito com mesmo princpio, invertido. A interface com os pares diferenciais funcionalidade do mdulo DDR_BUS_INTERFACE funcionalidade desenvolvido pelo grupo de trabalho da TERACOM junto com os conversores 32/64 e 64/32 bits apresentados na Figura 47.

Figura 47 Diagrama de blocos da interface com o cliente [TER01]. [TER

Finalmente, a fila do transmissor carregada, ininterruptamente, com os dados do cliente a uma freqncia nominal de escrita, igual a 161,13 M z, de modo que deve ser feito um controle MHz, para que a fila no estoure ou esvazie completamente quando houver variaes nessa freqncia, devido ao jitter provindo do sinal do cliente. O mdulo insersor de carga til desenvolvido tem como principais funes: fune

controle da leitura da fila com os dados do cliente a serem transmitidos; controle da ocupao da fila; insero de justificativa; gerar o stream de dados para ser codificado, embaralhado e transmitido.

Considerando que cada quadro OTN contm 510 palavras de 64 bits, podemos dividi-lo como na Figura 48 abaixo.

Figura 48 Diviso do quadro OT em reas de palavras de 64 bits.

O stream de transmisso do quadro ilustrado no diagrama de tempo da Figura 49. Podemos observar que a carga til inserida nos 476 ciclos de payload, ou seja, nesse momento a fila est esvaziando, porm, nos outros 34 ciclos a fila enche rapidamente por no estar sendo lida, somente a escrita est habilitada para guardar os dados do cliente que chegam constantemente. Desse modo, o grfico de ocupao da fila se comporta como uma serra (Figura 26, pgina 28), variando entre um mximo e um mnimo constantes, no caso nominal.

Figura 49 Diagrama de tempo da transmisso do quadro OT .

O ponto mdio entre a ocupao mxima e mnima deve ser a metade da fila para que tenha o mximo de folga antes de atingir os estados de fila cheia ou vazia. O tamanho da fila de interface com o cliente utilizado no projeto de 512 palavras, logo, a ocupao deve excursionar em torno da metade da sua totalidade, no caso ideal. Portanto, quando o sistema iniciado, o controle do insersor aguarda a fila encher at um determinado ponto, para quando entrar em regime de operao, os pontos de mximo e mnimo fiquem a mesma distncia da metade da ocupao da fila. A latncia causada pelo processo inicializao de 1685,56 ns, aproximadamente, o que corresponde um pouco mais do que a metade de um quadro, ou seja, 291 palavras de 64 bits.

45

Considerando que a metade da fila 255 e observando o comportamento da ocupao, no caso nominal, possvel determinar os valores exatos de mximo e mnimo. A Figura 50 mostra os nmeros relevantes a serem considerados para o entendimento do comportamento da fila e da atuao do mdulo desenvolvido.

Figura 50 Intervalo de ocupao da fila.

Os pontos de ocupao mximos e mnimos ocorrem, respectivamente, nos instantes em que o consumo da fila suspenso e quando reinicia. Assumindo que a taxa de transmisso da linha se comporta de modo ideal, sem variao, a ultrapassagem desses limiares indica a presena de jitter no sinal do cliente, ou seja, a fila est enchendo ou esvaziando mais rapidamente. Quando isso ocorre, o ponto mdio de ocupao se desloca, fazendo com que o controle de insero aja para absorver essa defasagem entre escrita e leitura, colocando mais ou menos carga til no quadro a ser transmitido, utilizando os bytes de justificativa. Para evitar que o prprio mdulo do insersor injete jitter na linha, com a ocorrncia excessiva de justificativas, devido a limites muito justos, permitido que o ponto mdio excursione, sem que o mximo e o mnimo sejam ultrapassados. A insero de justificativa feita em cada multi-quadro, sendo que nos trs primeiros so colocados, nos campos de JC, os votos de controle de justificativa, definidos pela condio da ocupao extrapolar os limites de mximo e mnimo. Nominalmente os votos so nulos, se a fila estiver mais cheia, inserido um voto para justificativa negativa (Figura 51), caso contrrio, voto vai para justificativa positiva (Figura 52). No quarto quadro, a eleio feita, e o voto majoritrio define como sero preenchidos os bytes de PJO e NJO.

Figura 51 Ilustrao da insero do voto de justificativa negativa.

46

Figura 52 Ilustrao da insero do voto de justificativa positiva.

A cada multi-quadro possvel enviar somente um byte a mais ou a menos de carga til. Quando ocorre justificativa, as palavras que saem da fila, com oito bytes, so deslocadas de um byte para poder formar o quadro com justificativa. O emprego do deslocador e como so completados os bytes de NJO e PJO so mostrados, de forma ilustrativa, nos diagramas de tempo abaixo, Figura 53 e Figura 55.

Figura 53 Ilustrao da insero de justificativa negativa.

A Figura 54 mostra a forma de onda, gerada pelo simulador Modelsim, contendo o comportamento lgico do mdulo insersor, desenvolvido nesse trabalho, quando ocorre a insero de uma justificativa negativa. O espao de carga til dentro do muti-quadro estendido com o preenchimento do byte NJO com dados do cliente. Para auxiliar na compreenso das formas de onda, coloca-se sobre as mesmas os valores da Figura 53.

Figura 54 Insero de justificativa negativa.

Quando ocorre uma justificativa positiva, a primeira palavra do payload tem o byte de PJO zerado, enfatizado na Figura 55. Dado isso, os dados so deslocados de um byte para direita, o que se equivale a sete deslocamentos para esquerda no mdulo deslocador utilizado, fazendo com que o ltimo byte da primeira palavra seja utilizado para compor a subseqente. A insero da justificativa positiva feita pelo mdulo insersor apresentada pela simulao da Figura 56, onde a formao das palavras aps deslocamento realado com auxlio da ilustrao da Figura 55. 47

Figura 55 Ilustrao da insero de justificativa positiva.

Figura 56 Insero de justificativa positiva.

O nmero bytes deslocados incrementa ou decrementa de acordo com o acontecimento de justificativa, negativa ou positiva, respectivamente. Na Figura 57 pode-se notar que o nmero de deslocamentos nunca chega a oito, pois equivale a passar a palavra adiante, sem deslocamento, como ressaltado na forma de onda.

Figura 57 Comportamento aps sete deslocamentos.

A estrutura do mdulo insersor de carga til desenvolvido nesse trabalho representada no diagrama de blocos da Figura 58, contendo a disposio de alguns dos sinais mostrados nas simulaes.

48

Figura 58 Estrutura do mdulo insersor de carga til.

Nos 34 ciclos em que no inserida carga til no fluxo de dados de transmisso, 32 deles so enviadas palavras somente com zeros, que sero sobrescritas pelo resultado do decodificador Reed Solomon [SIL01]. Nos outro dois ciclos so escolhidos os dados para formar as palavras que correspondem ao cabealho.

4.6

Montagem do Cabealho
A maioria da informao dos campos que formam o cabealho encontra-se num banco de

registradores, cujo sistema embarcado encarregado de preencher com os dados relevantes comunicao, como origem/destino, tipo de cliente mapeado, informao sobre problemas em pontos da rede, alarmes, entre outros. Elementos de paridade, como BIP-8, por exemplo, so calculados sobre o fluxo a ser transmitido, em hardware, para ento serem inseridos no cabealho. Os mdulos responsveis pela gerao das informaes de cabealho e de leitura do banco de registrador esto sendo desenvolvidos, em fase inicial, por outro grupo, dentro do projeto X10GIGA, e fogem do escopo deste trabalho. O insersor de carga til est pronto para montar o cabealho, quando os dados deste forem disponibilizados. A montagem feita de acordo com o quadro do multi-quadro que est sendo enviado e tambm depende de qual palavra do cabealho transmitido. As prximas quatro figuras mostram como decorre a montagem de cabealho em cada quadro.

49

Figura 59 Montagem do cabealho do primeiro quadro.

Figura 60 Montagem do cabealho do segundo quadro.

Figura 61 Montagem do cabealho do terceiro quadro.

50

Figura 62 Montagem do cabealho do quarto quadro.

Os nomes dos campos de cabealho utilizados nos diagramas de tempo, acima, so os mesmos das portas do bloco do insersor de carga til apresentado na Figura 58, que mostra, tambm, que o FAS_OH e o MFAS_OH j so gerados pelo controle do mdulo desenvolvido. Enfim, o mdulo insersor de carga til responsvel pela gerao dos cabealhos de alinhamento e os relacionados tcnica de justificativa. Quando pronto, os blocos que geraro os outros campos devero ser integrados as portas do mdulo desenvolvido para ento transmitir os quadros OTN integralmente.

51

5 Avaliao da Interface-Cliente
5.1 Validao do Extrator de Carga til
Para ser efetuada a validao, do mdulo Extrator de Carga til e o comportamento da fila do transmissor, foi desenvolvida a estrutura de simulao apresentada na Figura 63.

256

192

128

64

0 0 0,01 0,02 0,03 0,04 0,05

Figura 63 Estrutura de validao do Extrator de Carga til.

A primeira validao feita avaliou se os dados da carga til so extrados de maneira correta. Para este procedimento, foram injetados 256 multi-quadros, com FAS, desembaralhados, sem FEC, sem cabealhos e sem a necessidade de justificativa, de um arquivo gerado por um programa gerador de quadros OTN, desenvolvido anteriormente no projeto. Por fim, a carga til dos 256 multi-quadros fornecidos como sada da fila de extrao foi salva em outro arquivo, com a insero de zeros nas posies onde deveria haver cabealhos e FEC. Posteriormente, o arquivo de sada foi comparado com o arquivo que continha as informaes injetadas e se obteve o resultado da Figura 64, onde pode ser observado que houve a ocorrncia de 256 diferenas. Estas diferenas se devem ao fato de que ao inserir a carga til da sada da fila no arquivo, para a comparao, foram adicionados zeros aos cabealhos/FEC e como o nico cabealho adicionado no arquivo de entrada para esta validao foi o FAS e os demais cabealhos foram deixados com zeros, h 256 ocorrncias de diferena onde o FAS foi substitudo por zeros no arquivo de sada.

52

Figura 64 Comparao dos arquivos de validao da extrao de carga til, sem justificativa.

Para validar o funcionamento das justificativas, foi feita uma simulao, utilizando o software Modelsim, que contempla todos os casos (8 multi-quadros consecutivos de justificativa negativa, seguidos de 8 multi-quadros consecutivos de justificativa positiva), apresentada na , onde possvel observar: (i) a verificao dos campos JC e o resultado do voto majoritrio entre eles, item 1 da ; (ii) variao do contador de justificativas de acordo com o resultado da votao a cada multi-quadro, item 2 da ; (iii) controle do sinal enable_resto para permitir o controle de fluxo dos dados de carga til, item 3 da ; (iv) sinal deslocamento de acordo com o nmero de justificativas at o momento, de acordo com a Tabela 18, item 4 da ; (v) controle do sinal write_enable, para controle do fluxo dos dados a serem escritos na fila, item 5 da ; (vi) ativao do sinal read_enable aps a ocupao da fila atingir o threshold de liberao de leitura, item 6 da ; (vii) marcadores de indicao de cada justificativa negativa, item 7 da ; (viii) marcadores de indicao de cada justificativa positiva, item 8 da . Nesta simulao, foram cuidadosamente validados todos os casos explicados na Seo 4.3.

53

justificativas

3 4

Figura 65 Simulao de todos os casos de justificativa. (1) verificao dos campos JC e o resultado do voto majoritrio entre eles; (2) variao do contador de justificativas de acordo com o resultado da votao a cada multi-quadro; (3) controle do sinal enable_resto para permitir o controle de fluxo dos dados de carga til; (4) sinal deslocamento de acordo com o nmero de justificativas at o momento, de acordo com a Tabela 18; (5) controle do sinal write_enable, para controle do fluxo dos dados a serem escritos na fila; (6) ativao do sinal read_enable aps a ocupao da fila atingir o threshold de liberao de leitura; (7) marcadores de indicao de cada justificativa negativa; (8) marcadores de indicao de cada justificativa positiva.

Por fim, para validar o comportamento da fila assncrona, foram simulados 3 casos, so eles: dados inseridos na fila freqncia nominal da linha OTN e sem justificativa, Figura 66; dados inseridos na fila freqncia da linha OTN +20ppm (escrita mais rpida) e com justificativa negativa constante, ou seja, uma palavra a mais a cada 8 multi-quadros, Figura 67; e dados inseridos na fila freqncia da linha OTN -20ppm (escrita mais lenta) e com justificativa positiva constante, ou seja, uma palavra a menos a cada 8 multi-quadros, Figura 68. Estas simulaes tm por objetivo validar o comportamento da fila para no melhor caso, freqncia nominal sem justificativa, e nos piores casos, justificativas constantes somadas presena de jitter. As figuras abaixo apresentam a 54

Justificativas Positivas

Justificativas Negativas

ocupao da fila, para cada caso, durante 10ms, com um threshold para incio da leitura em 256, para manter a ocupao mdia no meio da fila.

Figura 66 Comportamento da fila assncrona de extrao de carga til. Caso: freqncia da linha OT nominal e sem ocorrncia de justificativa de carga til.

Figura 67 Comportamento da fila assncrona de extrao de carga til. Caso: freqncia da linha OT +20ppm, com ocorrncia de justificativa negativa ( JO) na carga til.

55

Figura 68 Comportamento da fila assncrona de extrao de carga til. Caso: freqncia da linha OT -20ppm, com ocorrncia de justificativa positiva (PJO) na carga til.

A partir da observao dos trs casos apresentados, possvel afirmar que a implementao do mdulo Extrator de Carga til cumpre os requisitos do projeto, visto que o comportamento da fila assncrona, a partir das simulaes do mdulo implementado, anlogo ao analisado na Seo 4.2 e nos permite garantir que, mesmo com a maior variao possvel, a fila no esvaziar ou encher, pois, o controle de jitter atuar muito tempo antes, compensando a variao. Resultados da sntese mostram dados sobre a ocupao do mdulo (Tabela 20) no FPGA que ser utilizado no projeto, e tambm, apresenta a informao sobre a freqncia de operao mxima, que ficou com bastante folga em relao da restrio do projeto.
Tabela 20 Utilizao do FPGA pelo mdulo Extrator de Carga til.

Resumo da utilizao do dispositivo Xilinx Virtex5 5vlx220tff1738-2 (valores estimados) Lgica Utilizada Utilizados Disponvel Utilizao Slice Registers 346 138240 0% Slice LUTs 534 138240 0% fully used Bit Slices 172 708 24% bonded IOBs 168 680 24% Block RAM/FIFO 2 212 0% BUFG/BUFGCTRLs 2 32 6%

5.2

Validao do Insersor de Carga til


Para ser efetuada a verificao, do mdulo insersor de carga til e o comportamento da fila do

transmissor, foi desenvolvida a seguinte estrutura de simulao (Figura 69).

56

256

192

128

64

0 0 0,01 0,02 0,03 0,04 0,05

Figura 69 Estrutura de simulao do Insersor de Carga til.

O testbench criado contm uma memria de entrada, contendo os quadros para estimular a simulao. Os quadros so montados pelo gerador de frames [GAP01], que foi adaptado para que seus arquivos de sada fossem compatveis com o Modelsim, onde lido esse arquivo e carregado na memria de entrada, por linha de comando. Para obter resultados mais rpidos das longas simulaes, os mdulos de codificao, embaralhamento e alinhamento foram suprimidos, logo, os quadros gerados no foram embaralhados, desalinhados e no contm erros, somente carga til. Dado isso, foi implementado um extrator de carga til, simplificado, que injeta as palavras numa fila para poder ser gerado o fluxo constante necessrio para simulao cliente. Esses dados so repassados para fila do transmissor com a freqncia da linha do cliente, a qual pode ser variada para a reproduo da presena de jitter. Como calculado na Seo 3.1, essa virao pode chegar, no pior caso, a mais ou menos 45ppm. O grfico da Figura 70 mostra o comportamento nominal da ocupao da fila. Observa-se que a ocupao da fila excursiona por volta da metade da fila, deixando os pontos de mximo e mnimo bem definido, cujos valores so 271 e 239, respectivamente.

Figura 70 Ocupao da fila quando o sinal do cliente no apresenta jitter.

57

Os dois piores casos, de variao na taxa de transmisso do cliente, foram tambm simulados. Nos dois testes a variao mxima foi mantida constante com o objetivo de se observar alguma possvel tendncia de enchimento ou esvaziamento. Os grficos, Figura 71 e Figura 72, mostram que a tcnica de insero de justificativa absorve essa variao.

Figura 71 Ocupao da fila quando o sinal do cliente apresenta jitter de -45ppm, com insero de justificativa positiva.

Figura 72 Ocupao da fila quanto o sinal do cliente apresenta jitter de +45ppm, com a insero de justificativa negativa.

Nos dois grficos de pior caso, possvel observar, logo no incio da curva, que existe uma leve inclinao causada pela diferena entre as taxas de escrita e leitura. Muito antes de um milissegundo, a ao da insero de justificativa absorve essa diferena, conduzindo novamente a uma ocupao constante. A Figura 73 serve de comparao, com o objetivo de mostrar o comportamento da fila sem a tcnica de justificativa implementada.

58

Figura 73 Ocupao da fila quando o sinal do cliente apresenta jitter de -45ppm, sem insero de justificativa. 45ppm,

A Tabela 10 mostra a distribuio e a freqncia de ocorrncia de justificativas, a cada oito multi-quadros, nos trs casos descritos. Observa-se que nos intervalos em que ocorre o nmero quadros, Observa se mximo de justificativas, o valor seguinte notavelmente menor. Isso ocorre porque a fila sofre uma variao brusca, dado que so necessrias oito justificativas iguais para que ento a ocupao diminua ou aumente de uma palavra. Com isso, a diferena entre as taxas do cliente e da linha, demora a causar uma nova necessidade de compensao.
Tabela 21 mero de justificativas em um intervalo de 256 multi-quadros. multi

Multi-quadros 1-8 9-16 17-24 25-32 33-40 41-48 49-56 57-64 65-72 73-80 81-88 89-96 97-104 105-112 113-120 121-128 129-136 137-144 145-152 153-160 161-168 169-176 177-184

NJO 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

Nominal PJO 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

Cliente +45ppm NJO PJO 0 0 0 0 7 0 6 0 4 0 7 0 5 0 5 0 6 0 6 0 4 0 6 0 7 0 4 0 5 0 7 0 5 0 4 0 8 0 4 0 5 0 7 0 5 0

Cliente -45ppm NJO PJO 0 0 0 0 0 0 0 0 0 1 0 4 0 4 0 8 0 5 0 4 0 7 0 5 0 4 0 7 0 6 0 4 0 6 0 6 0 4 0 6 0 6 0 5 0 5

185-192 193-200 201-208 209-216 217-224 225-232 233-240 241-248 249-256 Total

0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0

4 7 6 4 6 6 5 5 6 166

0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0

7 4 5 7 5 4 8 4 4 145

Visto o comportamento da fila, ainda preciso analisar os dados gerados pelo insersor de carga til. A sada do mdulo desenvolvido salva numa memria, idntica a que contm os dados entrada. A verificao do comportamento lgico feita pela resposta obtida da comparao entre os dados de entrada e os de sada. O Modelsim contm uma ferramenta de comparao que permite a visualizao, no somente das linhas, mas tambm os caracteres da linha que diferem. Esse mtodo auxilia na demonstrao da insero dos votos de justificativa e da realizao do deslocamento, como evidenciado na Figura 74 e na Figura 75.

mem_saida

mem_entrada

FEC

OH1 = FAS+MFAS OH2 = JC_NEG

PAYLOAD

deslocamento de um byte
Figura 74 Verificao do resultado da gerao de justificativa negativa do Insersor de Carga til.

60

Figura 75 Verificao do resultado da gerao de justificativa positiva do Insersor de Carga til.

Alm da verificao pela visualizao das diferenas causadas pelas inseres dos votos de justificativa e pelo deslocamento das palavras, como foi apresentado nas figuras acima, foi escrito um programa que l o arquivo de entrada, gerado pelo programa gerador de quadros, e escreve o resultado esperado de acordo com o tipo de justificativa ocorrida na simulao. Comparando os dados da memria de sada com os do arquivo gerado pelo programa possvel conferir se os deslocamentos das palavras esto corretos, procurando corroborar a formao do fluxo de dados do transmissor. A Figura 76 mostra a estrutura utilizada para validao do Insersor de Carga til.

Figura 76 Estrutura para validao do deslocamento gerado pelo Insersor de Carga til.

Todas as simulaes foram feitas por perodos de tempo suficientemente grandes, dado que era necessrio verificar a existncia de tendncias nas curvas de ocupao da fila. Os grficos de ocupao da fila mostram que a insero de justificativa absorve a variao da taxa do cliente, ou seja, no apresentam tendncia alguma, confirmando o seu funcionamento e com isso validando o mdulo. Resultados da sntese mostram dados sobre a ocupao do mdulo (Tabela 22) no FPGA 61

JC

que ser utilizado no projeto, e tambm, apresenta a informao sobre a freqncia de operao mxima, que ficou com bastante folga em relao da restrio do projeto.
Tabela 22 Utilizao do FPGA pelo mdulo Insersor de Carga til.

Resumo da utilizao do dispositivo Xilinx Virtex5 5vlx220tff1738-2 (valores estimados) Lgica Utilizada Utilizado Disponvel Utilizao Slice Registers 257 138240 0% Slice LUTs 312 138240 0% fully used LUT-FF pairs 183 386 47% bonded IOBs 132 680 19% Block RAM/FIFO 2 212 0% BUFG/BUFGCTRLs 2 32 6%

62

6 Concluses e Trabalhos Futuros

O presente Trabalho de Concluso apresentou um estudo do padro OTN G.709, priorizando a anlise dos aspectos que fazem parte da interface com cliente, especificamente, para o mapeamento de 10GbE. Para tal tarefa, foi utilizada documentao suplementar [ITU3], disponibilizada recentemente, em fevereiro do presente ano, pela agncia regulamentadora ITU. O estudo das recomendaes, a posterior proposta de soluo a partir das anlises feitas e a implementao de tal soluo, desenvolveram conhecimentos em vrias reas relacionadas engenharia. Destas, as de maior influncia sobre o trabalho so as reas de telecomunicaes, sistemas digitais e prototipao, tornando o presente trabalho multidisciplinar e de fundamental importncia para a solidificao dos conhecimentos adquiridos durante o curso de Engenharia de Computao. A implementao dos mdulos de interface com cliente para o projeto FINEP X10GIGA foi finalizada com sucesso, atingindo os objetivos propostos. Vale ressaltar que os mdulos implementados so muito importantes dentro do escopo do projeto, pois viabilizam o transporte e a entrega dos dados do cliente. Projeto este que tem grande valor para o desenvolvimento tecnolgico da rea de telecomunicaes no Brasil, visto que, desenvolve tecnologia nacional para atender necessidades em um futuro prximo e est alinhado s tecnologias desenvolvidas atualmente no restante do mundo, para o segmento de largura de banda de 10Gbps. Os trabalhos futuros compreendem: (i) integrao dos mdulos desenvolvidos com os demais mdulos do projeto X10GIGA; (ii) desenvolvimento de um ambiente de verificao em modelo loop-back, onde os mdulos de transmisso so conectados aos mdulos de recepo, tanto do lado da linha OTN como no lado do cliente 10GbE; (iii) desenvolvimento e utilizao de mdulos para verificao da Interface-Cliente durante prototipao em kits com FPGA da famlia Virtex-5 da Xilinx; (iv) extenso dos mdulos de interface com cliente para outros mapeamentos, com o intuito de suprir as necessidades por altas taxas de transmisso de forma mais abrangent; (v) validao dos mdulos integrados na placa do projeto, produzida durante o desenvolvimento do Trabalho de Concluso, porm, ainda no disponibilizada, com a utilizao de um analisador de protocolo adquirido para execuo de testes visando obter um alto nvel de qualidade.

63

7 Referncias
[ALE01] Alexander, [BIB01] [BAR01] S. OTN offers transparent service delivery. Capturado em:

http://www.networkworld.com/news/tech/2006/020606-techupdate.html#graphic, Ago 2008.

[CIE01] [FIL01]

[GAP01] [GEE01] [GUE01]

[HAY01]

[HOR01] [INF01] [ITU01] [ITU02] [ITU03] [KOC01] [NAK01] [PAI01]

[PIR01]

Biblioteca Nacional Digital de Portugal, Lisboa, 2005, Glossrio da Sociedade de Informtica. Capturado em: http://purl.pt/426/1, Nov 2008. Barbieri, A., 10 Gigabit Ethernet and Its X Factors. Capturado em: http://www.cisco.com/asiapac/campaigns/metroethernet/files/10ge_po_pack_mag_arti.p df, Ago 2008. Ciena Corporation The Value of OTN for Network Convergence and IP/Ethernet Migration. White Paper, Oct 2005. Filho, C. Material didtico Comunicao Digital I, Cap. 6 Multiplexao. Escola Politcnica de Pernanbuco, UPE. Capturado em: http://carmelofilho.googlepages.com/Cap6multiplexacao.pdf, Ago 2008. GAPH, Gerao de Frames Geraframes-v06.zip. Capturado em: http://www.inf.pucrs.br/~gaph/x10giga/#toc11, Nov 2008. Gee, N., Basch, B., Gringeri S. 10G LAN PHY over G.709 OTN: A Service Provider Prospective. OFC/NFOEC, Feb 2008. Guedes, B. Anlise do desempenho de redes pticas de topologia manhattan street com roteamento por deflexo de pacotes. Dissertao de Mestrado, Programa de PsGraduao em Engenharia Eltrica, PUCRJ, Cap. 1, Abril 2005. Hays, R. and Frasier, H. 40G Ethernet Market Potential. Capturado em: http://www.ieee802.org/3/hssg/public/apr07/hays_01_0407.pdf. IEEE 802.3 HSSG Interim Meeting, Apr 2007. Horak, R. Websters New World telecom dictionary. Wiley Publishing, Inc., Indianapolis, Indiana, 2008. INFO Online, Editora Abril, Edio especial Dicionrio de telecom. Capturado em: http://info.abril.com.br/edicoes/179/arquivos/2134_1.shl, Ago 2008. ITU-T. Interfaces for the Optical Transport Network (OTN). ITU-T Recommendation G.709/Y.1331, Mar 2003. ITU-T. The Control of Jitter and Wander within the Optical Transport Network (OTN). ITU-T Recommendation G.8251, Nov 2001. ITU-T. Transport of IEEE 10G Base-R in Optical Transport Networks (OTN). ITU-T Series G Supplement 43, Feb 2008. Kocialski, C. and Harwood, J., A Primer on Digital Wrappers for Optical Transport Networks. Vesta Corporation, 2000. Nakamura, R. Novas Tecnologias de Comunicaes pticas. Capturado em: http://www.rnp.br/_arquivo/sci/2005/nakamura-roberto_novas-tecnologias.pdf, Ago 2008. Paiva, R. e Marczak, S. Desenvolvimento de Mdulos de Hardware para Recepo e Transmisso de Quadros OTN. Trabalho de Concluso de Curso de Graduao em Engenharia de Computao, Pontifcia Universidade Catlica do Rio Grande do Sul, PUCRS, Dez 2007. Pires, J., Instituto Superior Tcnolgico, Redes de Telecomunicao Redes pticas. Capturado em: https://dspace.ist.utl.pt/bitstream/2295/118988/1/Cap4_07_vf.pdf, Nov 2008.

64

[RED01] REDES, Editora Edirevista, Edio n 103, Ethernet a 10 Gbit/s sobre cobre. Capturado em: http://www.redes.xl.pt/103/800.shtml, Nov 2008. [SIL01] Silva, S. e Rodolfo, T. Implementao de uma arquitetura Reed-Solomon para uso em Redes OTN 10.7 Gbps. Trabalho de Concluso de Curso de Graduao em Engenharia de Computao, Pontifcia Universidade Catlica do Rio Grande do Sul, PUCRS, Dez 2007. [TER01] TERACOM, X10GIGA Functional Description. Capturado em: http://www.inf.pucrs.br/~gaph/x10giga/TERACOM/x10Giga_functional.html, Nov 2008. [TER02] TERACOM, Recuperao de relgio X10GIGA. Capturado em: http://www.inf.pucrs.br/~gaph/x10giga/TERACOM/pll_x10Giga.html, Nov 2008. [TOM01] Tomsu, P. Next Generation Optical Networks. Prentice Hall PTR, 2002. [TRO01] Tronco, T. Redes de Nova Gerao A Arquitetura de Convergncia do IP, Telefonia e Redes pticas. Editora rica, Cap. 7, 2006. [VER01] Verizon Partner Solutions, Glossary of Telecom Terms. Capturado em: http://www22.verizon.com/wholesale/glossary/, Nov 2008. [WAL01] Walker, R. e Dugan, R., 64b/66b low-overhead coding proposal for serial links. Capturado em: http://grouper.ieee.org/groups/802/3/10G_study/public/jan00/ walker_1_0100.pdf, Ago 2008. [YOU01] YourDictionary.com Online Dictionary OTN (Optical Transport Network). Capturado em: http://www.yourdictionary.com/otn, Ago 2008. [ZHE01] Zheng, Y. Networks for computer scientists and engineers. Oxford University Press, 2002.

65

Вам также может понравиться