Академический Документы
Профессиональный Документы
Культура Документы
Supervised By Prof :
AHMED YAHIA
1
11/29/2013
Prepared by
Ahmed Abdel-kader Mahmoud Ahmed Mahmoud Abdel-rahman Sabry Abdullah Mohamed Mahmoud Mohsen Mohamed Hesham Mohamed Refaat Yaser Mohamd Osman 2
11/29/2013
Acknowledgement
Any attempt at any level cannot be satisfactorily completed without the will of God and the support and guidance of learned people. We would like to express our immense gratitude to Dr. Ahmed Yahia for his constant support and motivation that has encouraged us to come up with this project. 3
11/29/2013
CONTENTS
4
11/29/2013
Cellular concept. Access techniques. Switching techniques. 1st generation. Why 2nd generation ?
5
11/29/2013
Cellular Concept
Cell Types
Cell classifications:
Macro cell. ( 1 Km: 35km) Micro cell..(100m to- 1Km)
Pico cell.....(10m)
Umbrella cell.(random)
7
11/29/2013
f
FDMA OFDMA
8
11/29/2013
Switching techniques:
Circuit Switching
Channel for one user
Packet Switching
Channel for multiple users
One path
Fixed bit rate Billing by time Used for real time application
Multiple path
Variable bit rat Billing by volume Used for data transfer
9
11/29/2013
LTE
GSM
11
11/29/2013
GSM
Global System For Mobile Communication
Features.
Frequency
Features:
Access techniques: FDMA / TDMA.
Operating frequency: (900 1800 1900) MHz .
FDD.
Features:
Cellular concept: frequency reuse. Circuit switching.
Hard handover
14
11/29/2013
890 MHz
915 MHz
935MHz
960 MHz
Channel B.W= 200 KHz carriers = 25 MHz / 200 KHz = 125 carrier
15
11/29/2013
CH 3 CH 2 CH 1 0 0 1
0 1 2
1 2 3
2 3 4
3 4 5
4 5 6
5 6 7
6 7 0
7 0 1
0 1
Time
16
11/29/2013
SMC
IWF
BTS BTS
BTS BTS
17
11/29/2013
:Features
Various new coding schemes with transmission rates up to 21.4 Kb/s per physical channel. Theoretical transmission rate up to 171.2 Kb/s.
19
11/29/2013
Features
20
11/29/2013
Services offered
Always on internet access. Multimedia messaging service (MMS). Internet applications for smart devices through wireless application protocol (WAP). Point-to-point (PTP) service : inter-networking with the internet (IP).
21
11/29/2013
BTS/
BTS/
BSC/ PCU
IP Network
Backbone Network IP
22
11/29/2013
CDMA
Code Division Multiple Access
23
11/29/2013
Direct sequence spread spectrum Frequency hopping spread spectrum Time hopping spread spectrum
24
11/29/2013
25
11/29/2013
UMTS
Universal Mobile Telecommunication System
UMTS Features
Wide band CDMA
Frequency band 2100 MHz
Chip rate 3.84 Mc/s
27
11/29/2013
UMTS Features
Channelization codes (4x4 512 x512) OVSF codes
Rake receiver
UMTS Features
Inter system hand over Macro diversity
29
11/29/2013
A U C
V L R
H L R
BSC
Node B Node B
RNC
IP Network
Node B Node B
30
CGF
Billing System
11/29/2013
UTRAN
LTE Specifications
Digital technology Cellular concept
OFDMA Downlink.
SC-FDMA Uplink.
32
11/29/2013
LTE Specifications
VOIP Technology. Frequency Band 2600MHz
MIMO Technology (2 x 2) or (4 x 4)
33
11/29/2013
LTE Specifications
Packet Switching.
IP V6
FDD or TDD
34
11/29/2013
2500MHz
2570MHz
2620MHz
2690MHz
15 KHZ
Channel bandwidth 5MHZ to 20 MHZ Bit Rate 100 Mbps up to 1 Gbps Sub carrier spacing 15 KHZ 35
11/29/2013
PCRF
P-GW
HSS
EPC
S-GW
MME
E-UTRAN
eNode B eNode B
36
11/29/2013
LTE Targets
Increasing User Throughput
Increasing Spectral Efficiency
37
11/29/2013
LTE Targets
Decreasing Latency Factor
MSC RNC
Node B
MSC RNC
Node B
S-GW
S-GW
eNode B
eNode B
LTE 38
11/29/2013
UMTS
Receive Antennas
Transmit Antennas
Receive Antennas
SISO
Single Input Single Output
SIMO
MISO
MIMO
39
Multiple Input Single Output (Transmit diversity) Multiple Input Multiple Output 11/29/2013 (Multiple data streams)
MIMO
Transmitting multiple data streams in the same space and time used to be called interference! So how does MIMO work?
1. MIMO capacity gains come from taking advantage of spatial diversity in the radio channel 2. The performance can be optimized using precoding
40
11/29/2013
OFDMA
Orthogonal Frequency Division Multiplexing
OFDM is a spectrally efficient modulation technique ,It is conveniently implemented using IFFT and FFT operations Bandwidth W being divided into K sub-carriers, leading to carrier spacing f, satisfying f =W/K Symbol duration T satisfying T = 1/f 41
11/29/2013
Sc-Fdma
For the LTE uplink, a different concept is used for the access technique. Although still using a form of OFDMA technology, the implementation is called Single Carrier Frequency Division Multiple Access (SCFDMA).One of the key parameters that affects all mobiles is that of battery life. Even though battery performance is improving all the time, it is still necessary to ensure that the mobiles use as little battery power as possible
SC-FDMA
43
11/29/2013
44
11/29/2013
45
11/29/2013
GPRS
EDGE
WCDMA
HSDPA
46
11/29/2013
47
11/29/2013
Data format
Convolutional Encoder
Interleaver
S/P
IFFT
p/s
CP Insertion
Channel interface
Channel
C P R E M O V a
Channel interface
s/p 48
11/29/2013
Data deformat
Viterbi Decoder
DEInterleaver
p/s
FFT
1 0 1 1 0 1 0 0
49
11/29/2013
Data format
Convolutional Encoder
Interleaver
S/P
IFFT
p/s
CP Insertion
Channel interface
Channel
C P R E M O V a
Channel interface
s/p 50
11/29/2013
Data deformat
Viterbi Decoder
DEInterleaver
p/s
FFT
Convolutional Encoder
1 +
Input
c2
Output
0
c1
+ 1 1 1 51
11/29/2013
Convolutional Encoder
1
+
Input
c2
Output
0
c1
+ 0 1 0 1 52 1
11/29/2013
Convolutional Encoder
0 +
Input
c2
Output
1
c1
0
0 0 1 0 1 53 1
11/29/2013
Convolutional Encoder
0 +
Input
c2
Output
0
c1
+
1 0 0 1 0 0 1 0 1 54 1
11/29/2013
Convolutional Encoder
1 +
Input
c2
Output
1
c1
+
0 0 1 0 0 1 0 0 1 0 1 55 1
11/29/2013
Convolutional Encoder
0
+
Input
c2
Output
1
c1
+ 0 0 0 0 1 0 0 1 0 0 1 0 1 56 1
11/29/2013
Convolutional Encoder
1 +
Input
c2
Output
0
c1
+ 0 1 0 0 0 0 1 0 0 1 0 0 1 0 1 1 57
11/29/2013
Convolutional Encoder
1 +
Input
c2
Output
1
c1
1
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 0 0 1 0 0 58 1 0 1 1
11/29/2013
Data format
Convolutional Encoder
Interleaver
S/P
IFFT
p/s
CP Insertion
Channel interface
Channel
C P R E M O V a
Channel interface
s/p 59
11/29/2013
Data deformat
Viterbi Decoder
DEInterleaver
p/s
FFT
INTERLAEVER
1 1 1 0
60
11/29/2013
INTERLAEVER
1 0 1 0 1 0 0 1
61
11/29/2013
OFDM Transmitter
62
11/29/2013
Data format
Convolutional Encoder
Interleaver
S/P
IFFT
p/s
CP Insertion
Channel interface
Channel
C P R E M O V a
Channel interface
s/p 63
11/29/2013
Data deformat
Viterbi Decoder
DEInterleaver
p/s
FFT
OFDM Transmitter
S/P S/P
IFFT
CP Insertio n
p/s
64
11/29/2013
Data format
Convolutional Encoder
Interleaver
S/P
IFFT
p/s
CP Insertion
Channel interface
Channel
C P R E M O V a
Channel interface
s/p 65
11/29/2013
Data deformat
Viterbi Decoder
DEInterleaver
p/s
FFT
OFDM Receiver
1
1 0 1
FFT
s/p
CP removal
0 0 1 0
S/P
01 001 011
66
11/29/2013
Data format
Convolutional Encoder
Interleaver
S/P
IFFT
p/s
CP Insertion
Channel interface
Channel
C P R E M O V a
Channel interface
s/p 67
11/29/2013
Data deformat
Viterbi Decoder
DEInterleaver
p/s
FFT
DE-INTERLEAVER
1 1 1 0 0 1 1 1 0 1 1 0 1 0 1 1 1 0 1 0 1 0 0 1
68
11/29/2013
Data format
Convolutional Encoder
Interleaver
S/P
IFFT
p/s
CP Insertion
Channel interface
Channel
C P R E M O V a
Channel interface
s/p 69
11/29/2013
Data deformat
Viterbi Decoder
DEInterleaver
p/s
FFT
VITERBI DECODER
11 00 01 00 00 00 10 00 10 00 00 00 01 00 11 00
a (00)
11 11 11 11 00 11 11 00 11 11 00 01 10 10 01 11 11 00 01 10 10 01 11 11 00 01 10 10 01 11 11 00 01 10
b (01)
c (10)
01 10
01 10 10
01
10 10
d (11)
01
70
11/29/2013
10 01
01
0
01
1
00
1
10
0
10
1
01
0
01
0
11
3
00
4
00
5
00
3
00
a (00)
11
00
00
00
11
11
11
11
11
11
11 11 00 01 2 00 01 10
b (01)
2
11
4
11 00 1
3
11 00 4
4
11 00 4 01 5 10
5
11 00 2 01 10
c (10)
01 1 10
01 10
3 4
01 4
10
4 5
10
3
10 10
1 3 3
10
5
10
d (11)
10
3
01
1
01
6
01
71
11/29/2013
10 01
01
01
Data format
Convolutional Encoder
Interleaver
S/P
IFFT
p/s
CP Insertion
Channel interface
Channel
C P R E M O V a
Channel interface
s/p 72
11/29/2013
Data deformat
Viterbi Decoder
DEInterleaver
p/s
FFT
1 0 1 1 0 1 0 0 0 0 0 0 0 0 0 0
DATA DE-FORMATION
73
11/29/2013
VHDLs Organization
The basic VHDL model is known as a Design Entity and has two parts
Interface - denoted by keyword entity defines I/O signals for the model Body - denoted by keyword architecture describes how the model works
75
11/29/2013
entity XOR2_OP is -- Input/Output ports port (A, B : in BIT; Z : out BIT); end XOR2_OP;
Body
architecture EXD of XOR2_OP is -- declarations go before begin begin Z <= A xor B; end EXD
76
11/29/2013
Interleaver code
entity interleaver is Port ( clk : in rst : in input : in output : out end inter;
77
11/29/2013
Architecture part
architecture Behavioral of interleaver is signal temp1 : std_logic_vector (3 downto 0); signal temp2 : std_logic_vector (3 downto 0); signal temp3 : std_logic_vector (3 downto 0); signal temp4 : std_logic_vector (3 downto 0);
x1
x2
x3
x4
: std_logic_vector (3 downto 0); : std_logic_vector (3 downto 0); : std_logic_vector (3 downto 0); :std_logic_vector (3 downto 0);
signal y : std_logic_vector (15 downto 0); signal count1 : std_logic_vector (4 downto 0); signal count2 : std_logic_vector (4 downto 0);
78
11/29/2013
Architecture part
begin process (clk,rst,input) begin if rst = '1' then output <= '0'; count1 <= "00000"; count2 <= "00000"; 79
11/29/2013
Architecture part
elsif rising_edge (clk) then if count1 < "10001" then temp1 <= input & temp1 (3 downto 1); temp2 <= temp1(0) & temp2 (3 downto 1); temp3 <= temp2(0) & temp3 (3 downto 1); temp4 <= temp3(0) & temp4 (3 downto 1); x1 <= temp1(0) & temp2(0) & temp3(0) & temp4(0); x2 <= temp1(1) & temp2(1) & temp3(1) & temp4(1); x3 <= temp1(2) & temp2(2) & temp3(2) & temp4(2); x4 <= temp1(3) & temp2(3) & temp3(3) & temp4(3); count1 <= count1 + 1 ; end if;
80
11/29/2013
Architecture part
if count1 = "10001" then y <= x1 & x2 & x3 & x4 ; count1 <= "00000"; end if; if count2 < "10001" then output <= y(0); y <= '0' & y (15 downto 1); count2 <= count2 + 1 ; else count2 <= "00000"; end if; end if; end process; end Behavioral;
81
11/29/2013
Simulation
82
11/29/2013
83
11/29/2013
Data formation
84
11/29/2013
85
11/29/2013
Convolutional encoder
We have used R = , K=3. It is used to encode each 1 bit into 2 bits for error detection and correction purposes.
86
11/29/2013
87
11/29/2013
Interleaver
Randomizes The Sequence of Data By Storing It Row by Row & Retrieving It Column by Column For Error Isolation
88
11/29/2013
Interleaver Simulation
89
11/29/2013
90
11/29/2013
91
11/29/2013
Data de-formation
92
11/29/2013
FPGA
Xilinx XC3S500E Spartan-3E FPGA Up to 232 user-I/O pins Over 10,000 logic cells 2-line,16-character LCD screen 50MHz clock oscillator
93
11/29/2013
Conculosion
Presenting the way for people in the past to communicate Presenting the creation of mobile phone starting from the 2nd Generation which is called Global system for mobile communication (GSM) The progresses that happened to make it better by using the General Packet Radio Service (GPRS). Presenting the birth of the 3rd Generation that enables all subscribers to send and receive lager amount of data than GSM including pictures, faxes, e-mails and internet browsing. The real revolution of mobile communications occurs by the invention of the CDMA in USA and the Universal Mobile Telecommunication System (UMTS) in Europe. Enabling all subscribers to hold video calls and support them by offering larger capacity for data rate and multimedia messages. Finally, Presenting the 4th generation including LTE, and UMB increases the transmission rate with much higher quality.
95
11/29/2013
Thanks all
To Parctical Part.
96
11/29/2013