Вы находитесь на странице: 1из 2

EXERCISE 1

Find the output ?


class A;
endclass
class B extends A;
function void print();
$display(Printing B );
endfunction
endclass
Module top;
initial begin
A a=new();B b=new(); a.print();
End
endmodule

Вам также может понравиться