Вы находитесь на странице: 1из 98

................................................................. Error! Bookmark not defined.

- ........................................................... 6
1 ............................................................................................. 7
1.1 .................................................................................. 7
1.2
.............................................................................................................. 8
1.3 ............................................................................... 19
1.4 .................................. 23
1.5 ............................................ 28
1.6 Digilent Nexys2 .................................................................. 32
1.7 ............................................... 37
1.8 ................................................ 39
2 - ................ Error! Bookmark not defined.5
.......................................................................................... 45
2.2
............................................................................................................. 50
2.3 ............................................... 52
3 .................................... 5Error! Bookmark not defined.
3.1 .............................................. 54
4 ......................................................................................... 56
4.1 ............................................... 56
4.2 .............................. 57
4.3 ................................................... 63

. .

2.1

4.4 .. 70

. .


.
.
. .
.

..
.
..

.63.3100.001
.

98

-42

5 ..................................................................... 79
5.1 ........................................................................................................ 79
5.2 .......................................... 81
5.3

......................................................................................... 82
5.4 ............................................................. 87
............................................................................................................ 91
.............................................................................................. 92
............................................................................................... 93

. .

. .

................................................................. 96

.63.3100.001




.
,
,

,
,

,
. ,
.

. .

. .

.

. ,
,
() ,
. ,
, , . .

, (, ) ,
, . -

.
.

() .

.63.3100.001


.
:
, , ,
.


(, ,
).

. , ,


.
()

. .


.

.
.
,
() .
.
:
- (

. .

);
-

;

.63.3100.001

-
( );
-

. .

. .

.63.3100.001

-

-
.
,

. ,

.
.

, .

. .

. .

, ,
, ..
-, ,
,
, .
, ,
- ,
.
.
, ,

[6].

.63.3100.001

1
1.1 ()
- ,
, ,

.
, ,
. ,
, ,
, .
. :

. .

. .

.
.
:

,
.

,
.

.

:

.63.3100.001




"V"
:


:

.
, , , , .
,
,

.

. .


,
.

, ,
, ,
.
1.2

. .

.63.3100.001

, .
.
1.

. , ,


,
2550%
.
2.

. ,

,
.

( ).
3.

, ,


. ,

. .

, .

. -

,
.
,

. ,
,

. .

.., .

.
:

.63.3100.001

-
;
- , ;
- ,
;
- ,
, ;
- , ..
.
, ()
(), ,
, ,
, .

. .


.
,
, -
.
,
.
, .
.
.

. .

- f.

,
. , ,
, . ,

.63.3100.001

10

, , ,
. ,
, , [1].
,

. .
,
,
. ,
, ,
, ,
.
.
, ,
- .

. .

. .

. .
u(t) = U cos

t,

. ,
1

t, -

1 =

(t t1 ) =

= D(t)/c ,

[ t D(t)/c ) ],

(1.1)

D(t) t.

[

( )

).

(1.2)

.63.3100.001

(1.3)

11

- .

,
r :
F = 2 r / .

(1.4)

r + , ,
, [2].

.
,
.

.

. .

. .

,
,
.
,
:
() (t)=n(t)
y(t)=u(t)+n(t).

.).

.
, .

.63.3100.001

12

,
.

. ,

.
.
: - () - ().
,
, .
(), () ().
-

, N -

() , .

. .

:
[

-]

(1.5)

* + ,

+;

-
( ) -

).
[

) -

(1.6)

, -

()

. .

[ ,(

( )

()

.63.3100.001

13

.
* +
( )

* +,

:
( )

, (

)-

(1.7)

,
, . . . ,
.
,
, , ,
, .
.

.
,
.

/,
( )
,
,

. .

,
()
().


. ,
.

.

. .

.63.3100.001

14

, ,
.


. ,
, ,
.
(). ,

( ).
,
. ,
.
n, ,

. .

. .

. = 2
.
- ,
0 .
* +
* +
* +

{
*

+
+,

+.

, . 1.1.

1.1

+1

-1

+1

.63.3100.001

15

(1.8)

, ,

,

, .

- ,

, .
- ,

(),

>

),

). -

di.
2 di, m

. .

. .

1.8.

(1.9)

0 1.
m

, .
,
.
-:

.63.3100.001

16

1) -
(

);

2) - 2 di
-;
3)

1/N, () -

, 1/ ;

4)

( ) (

( )

()

- ,
.

. .

. .

,
,
,
:
( )

(1.10)

1.

,
- N=

, 0 1.

, > N=

.63.3100.001

17

:
( )


, ,
x . ,
- ()
:
( )

, . 1.1, ai,
,

. .

. .

1.1 -
m
( ), m ,
( 0 1)
2. 2
, ,
ai 0.

.63.3100.001

18

-
:
1)

m = lg(N+1)/lg2,

(1.11)

N ;
2)

ai;
3)

2;

4)

, ..
[3].

1.3

. 1.2.

(-),
: 0 180 O.

. .

. .


.

, .
.
,

. 6. 6 ,
1000 .
0. 33 , 50 .

.63.3100.001

19

63 -
6.6 .

, 1023

. .

. .

0.33 .

1.2

.

(), .
- 5O,
- 6.5O.
, - 11 .
- 9700 .

.63.3100.001

20

120O.
, ,
, , .


.

,
.
,

. .

.
.

, ,
.
180 O
.

.

. .


,
.
, - ,

.63.3100.001

21

.

, ,
-
,

, ,
, ,
( 1000 ) ( 50 )
.
,
:

. .

-
;
- ;
-

;
- ;
- ( );
- ;
- ;
- ;
-

. .

( , , , , );
- ;
- .

.63.3100.001

22

1.4
:
- , ;
- , , .

. 1.6.

. .

. .

. 1.3. . 1.5

1.3 -
150
, .1.5,

.63.3100.001

23

2 10 -
, . 1.4.

, (),

. .

. .

1.4 -

.

, ,
oo .
,
: 0 1.
, ,
, .. 2.
, , ,

.63.3100.001

24

63 ,
, . 1.5.

1.5 -
:
(1.12)
n - , 26-1 = 63.

. .

= 6,66 ) 1000-

.
:
(

(1.13)


:
- - 63;
- - 6,66 ;
- - 420 .

. .

64- (
),
,
. 64-
,

.63.3100.001

25

.
.



.
,
,

2 ,
. ,

, 3,4 5-
.

,
3 , . 1.7, .
10 , ,
11 20-
.

. .

. .

.63.3100.001

26

. .

. .

1.6 -
2
10 3- ,
.
,
10 , , 1023 ,
, . 234.

1023. (

=0,33 )

50- .
341 .

:
- - 1023;
- - 0,33 ;

.63.3100.001

27

- - 341 .

1.7 -
1024-
.

, ,

. .

. .

100


50 ).

1.5


.
,
,
1 .

.63.3100.001

28


, , ,
,
.

.

.
1.

( .. ,

, .);
2.

( , , , ,
- .);
,

3.

. .

(, .);

4.

;
,
()
.

:
- ;

. .

- ;
- ,
;
-

.63.3100.001

29

(VHDL, AHDL, Verilog .)


-
.
- , ;
-

, , ..
250 300 ,
.
CPLD
. ,
,

,
Field Programmable Gate Array (FPGA). FPGA
ACTEL, FLEX8000 ALTERA (
), Atmel Vantis.
FPGA
Spartan XILINX, .1.8.

. .

. .

XC2000, XC3000, XC4000, Spartan XILINX, ACT1, ACT2, SX54 .

.63.3100.001

30

1.8 - FPGA
(Configurable Logic
Blocks

(CLBs)

FPGA
(input/output

blocks

(IOBs),

/, .. . 1.9
CLB Spartan XILINX.

. .

. .

1.9 - CLB Spartan XILINX.

.63.3100.001

31

FPGA
JTAG (B-scan),
(Osc)
[21].

1.6 Digilent Nexys2


. Nexys2, . 1.10
, Xilinx
Spartan

3E

FPGA.

USB2,

16 RAM ROM ,
, ,

Xilinxs

MicroBlaze.

USB2

Nexys2 ,
[5].
Nexys2 ,

. .
.

(Pmod) ,

. .

.
,
.
, / /A
, ,

.63.3100.001

32

Nexys2
Xilinx ISE, WebPack.

. .

. .

1.10 Digilent Nexys2

1.11

.63.3100.001

33

Nexys2:
- Spartan 3 BGA256 (200 . );
- -400 -1000;
- 50 ,
;
- 500 ;
- 16 Intel StrataFlash Flash ROM;
- 16 M Micron PSDRAM;
- JTAG;
- ;
- FX2;
-

(8

.),

(4

.),

(8 .);
- ;

. .

. .

- USB CY8C68013L [22].


FPGA Flash . FPGA Nexys2
( ) ,
.
FPGA,
. ISE/ WebPack
Xilinx VHDL, Verilog
.
FPGA

, USB
Flash ROM ( Flash ROM
USB). Nexys2
( Flash ROM) FPGA
. FPGA ROM
Flash Master serial.

.63.3100.001

34

JTAG,

FPGA

( USB),

. 1.12.

1.12
Digilent Adept.
FPGA Flash
USB FPGA Flash
ROM. , FPGA , ,

FPGA (BTNR). Flash ROM
, .

. .

. .

, . Adept

.63.3100.001

35

1.13
. Nexys2 : , 50
FPGA,
FPGA.
( DLL )

4 ,
[5].

. .

. .

, . 1.14.

1.14

.63.3100.001

36

. Nexys2 RAM ROM.


RAM - 128 Micron M45W8MW16. DRAM
RAM, 8 16
. SRAM
70 , 80 .
SRAM, RAM
DRAM,
( SRAM) FPGA.

, 80 .
ROM 128 - Intel Te28F128J3D75-110
StrataFlash, 8 16 .
128 , ,
110 ns , 25
. 32- ,
70ns , 32-

. .

. .

Flash 218us.
16- 24-
[5].

1.7
.


.


,

.63.3100.001

37

.

2, .
,
.
(),
[7]:
ch
f
10 4 ,

a2

(1.14)

: , ;
h , ;
.


1.1.
( 1).
. 1.15.

. .

. .

1.15
1.1

/b

0,5

57,2

83,8

1,5

2,5

141,4 228,7 343,7

3
485,4

.63.3100.001

38

/b=1. . 1.1
=67,6.

. ,
, :

(1.15)

- (3.45105 /2) (2,5 /3)


; c - (21*105
/2) (7,35 /3) .
=0,74.
,

:

. .

. .

fc 0,74

83,8 0,1 4
10 431
122
f 200

200 .

1.8


(, .).

.63.3100.001

39

,
,
.

.
1 [8]
.
KR ,
.
2 3 [8], KR=2; KP=1,1.
:
.
Micron M45W8MW16 = 0,3910-6;
Intel Te28F128J3- = 0,3510-6.

. .

. .

.
,

.

0 A

B(

t 273 C t 273 I H
) (
(
) )
Nt
NS
273

(1.16)

0 -
( 0 9 [8]);

, , N t , C , N S , I , H

10 [8]);
t , 0;
- .

P
,
P

(1.17)

P - , .

.63.3100.001

40

P P P P ,

(1.18)

- , .

:
P1-12, = 0,1610-7. (R98-R107).
.

, ,
.

t 273

N T


B
0
1 e
N
S

(1.19)

0-
( 0 7 [8]); 0=0,01510-6
, , NS, B, NT, - (

. .

. .

8 [8]);
t , 0;
- ,
:

KH

(1.20)

U
U U . U . U .
U- ,

(1.21)

U. .
1.2

NS C

5,9*10 -7

0,3 3

14,3 398

NT

.63.3100.001

41

1.3

U,

U,

137

10-50

50

0,40

138

10-50

50

0,40

139

10-50

50

0,40

139

10-50

50

0,40

140

10-50

50

0,40

141

10-50

50

0,40

142

10-50

50

13

0,40

143

10-50

50

0,40

144

10-50

50

0,40

=0,0910-7 .
.

. .

. .

(34 ) 0,01 10 6 1 ;
(27 ) 0,01 10 6 1 .
:
.
XC3SE-FG320 = 0,4410-6;
XCF00S - = 0,4110-6.
.
:
P1-12, = 0,1610-7. (R69,R89-R95,R201)
1.4 .

U,

U,

46

10-50

50

0,40

47

10-50

50

0,40

48

10-50

50

0,40

.63.3100.001

42

=0,0910-7 .
.
(35 ) 0,01 10 6 1 ;
( 38 ) 0,01 10 6 1 ;
(4 ) = 0,16 106 1
(1) - = 0,39 106 1

- :
n

i , 1 ;
i 1

(1.22)

n .

. .

. .

=4,30710-6 -1

r ,

(1.23)

= 4,30710-6 421,1=0,37910-4 1

,
(1.24)

=1/0,37910-4=26385 .

t ;

.63.3100.001

(1.25)

43

PA=

3 1
.
71%.

. .

. .

.63.3100.001

44

2 -
2.1

XILINX ISE WebPack


.
.
Schematic,

. Schematic ,

. .

. .

. 2.1.

2.1 Schematic

:
Reg_clock , ;

.63.3100.001

45

lock , 150 ;
Part_1_1 , ()
6 2D ;
Part_1_2 .
clock 150 ,
50 .
Part_1_1, . 2.2
, 420 , Reg_clock.
. Part_1_1 Part_1_2,

.
Part_1_1 ,

Part_1_2
1,2,3,4 . ,
. 2.3.

. .

. .

-.

.63.3100.001

46


. .
.

. .

???? ??? 2.2 Part_1_1,

.63.3100.001

47


. .
.

. .

2.3 Part_1_2

.63.3100.001

48

,
VHDL, .. . ,
, 150
, .. .
150 .
.
50 , ,
150 6 ,

.

.

. .

, . 2.4.

2.4 150
.
,
.

. .

, 6

.63.3100.001

49


,
,
.

2.2


, ,
-, . 2.5.
VHDL ,
Schematic.

VHDL.
2 :

. .

. .

1. .

,
, ..
.
, ,
.
,
6 ,
counter, .

.63.3100.001

50

2.
. sign

Schematic,

63 .

index,

. .

. .

. outsign.

.63.3100.001

51

2.5

. .

2.3

. .

, . 2.6,

.

.63.3100.001

52

,
,

2 ,
. ,

, 3,4 5-
.

2.6

. .

. .

. 2.6.

.63.3100.001

53

3
3.1
. 3.1,
: ; (
, ).
.
,
, , .
,

. .

3.1

, .
, .
: ,

. .

, .

.63.3100.001

54

(),

0,00001% , (
), ,

. .

. .

( ).

.63.3100.001

55

4
4.1

: . = . + . + . + . + ..,

:
T. = QB / 80. ,
B
, 1,2 1,5
;
K. ,
, 2 .=0,8
T. = Q / 23. ,

. .

T. = Q / 20. ,
T. = Q / 4. ,
T.. = Q / 15.
,
T.. = 0,75 T..


Q q C (1 p),

(4.1)

q 1000;
1,5;

. .

p
0,05.
Q 1000 1,5(1 0,05) 1575


T 1575 1,3 / 80 0,8 32 .-.

.63.3100.001

56


T . 1575 / 23 0,8 86 .-.


T. 1575 / 20 0,8 98 .-.

:
T. 1575 / 4 0,8 492 .-.

:
T . . 1575 / 15 0,8 131 .-.

T.. 0,75 131 98 .-.

.=32 + 86 + 98 + 492 + 98 = 806 .-.


.(.-.) = 806 / 8 = 100,75 101 .-.
4.2

:
: 4
: 101 .-.

. .

. .

: 101 -.
4 .
: 1 2012 - 31 2012 .
: = 121 .
: = 38 .
: = 4 .
: = 7 % = 3 .
:
= = - - = 121 - 38 - 4 = 79 .
= - = 79 3 = 76 .

.63.3100.001

57


.
.
4.1

14

40

12

.

. .

-.

5
14
3
8
13

40

12

. .

101

4.2

, -.

23

78

101

:
. = /
. . = 23/76 = 1 .

.63.3100.001

58

. . = 78/76 = 1 .
4.3


:
1.
2.
3.
4.
5.
6.
7.
8.
1. - ,
),

, , , .

. .

. .

, - (

, (),

.
,
.
. = . *.. *.,

(4.2)

. ;

.63.3100.001

59

261 ;
.. ;
. 1 2,35 .
.. = *t;

(4.3)

,
t .
.. = 78*8 = 624 .
= 0,261*624*2,35 = 382,7 .
,
,

.. , , ,
..

. .

. .

1% : 15975*0,01=160 .
4.4

, .

, .

219

219

690

690

382,7

160

1452

2. :
,
.

.63.3100.001

60

1 .
, .
-
(, , ..).
(8%).
4.5

/*,

/, .

, .

15000

53118

4250

57368

57368

4300

15210

1217

16427

16427

68328

73795

* 8 %

3. -
.
(34,2%): 73795*0,342=25238 .
4. ,
,

. .

. .

- , ,

.
,

.
12

(4.4)

. ;

,

.63.3100.001

61

. 8,34%.
1 .
4.6

, /.

, .

MSI MSN-CX413-020RU Black

14685

14685

Canon PIXMA IP2700

1290

1290

15975

4.7

-,

MSI MSN-CX413-

14685

020RU Black
Canon

1290

PIXMA IP2700

1225

108

1290

. .

14685

, .

1333

5. -
,

.
3 % :
68328*0,03=2050 .
6. - ,
, (
- , ..).

. .

, .
10 % :
119843*0,1=11984 .
7. , .
5% : 73795*0,02=1476 .

.63.3100.001

62

8. - , ,
, ,
, ..
120%
: 73795*1,2=88554 .
4.8

, .

1452

73795

25238

1333

2050

11984

1476

88554
205882

4.3

. .

. .

4.1

.63.3100.001

63

4.2

: 4 (c 1.02.2012 31.05.2012)
: 1215 ./.

. .

. .


1.

.
2.

3.

4.

5.

6.

7.

.63.3100.001

64

4.9
/

, ./.

73

130

200

659

153


4.10

26

40

36

157

20

279

26

33

94

192

43

388

21
73

57
130

70
200

310
659

90
153

548
1215

. .

4.11

121

38

4.11

, .

, .

, .

0,2

= =--=121 38 - 4 =79
=1218-4=954
= - = 80 4 = 76

. .

= (120-4)(8-0,2)-4= 900

.63.3100.001

65


. = /
. . . = 279/76 = 4
. . = 388/76 = 5
. . = 548/76 = 7
4.12

7
= 16

:
9.
10.
11.

. .

12.
13.
14.

15.
16.
1. - ,
, - (
),
, (),

. .


, , , .

- (10 % ).

.63.3100.001

66

4.13

. .

. .

, .

, .

150

300

500

500
80
880

.
(10%)
:

4.14
,

/.

10-50-25-47 10%
27
10-50-25-10 10%
27

1-12-0,125-10...22*106 5%
2

Micron M45W8MW16
435
Intel Te28F128J3
488
Xilinx Spartan 3E
700
XCF00S
421

213

I11XXXXX
53

KM23ESGW
20
(10%)
:
4.15

, /.
40

30


220 /2
(http://www.resonit.ru)
(10%)
:

-,
.

,
.

7
4

189
108

22

44

1
1
1
1
1

435
488
700
421
213

53

20
267
2938

-, .
3
3

, .
120
90

440
65
715

2. -

,
, .
,
,

.63.3100.001

67

1 .
, .
-
(, , ..).
(8 %).
4.16

,
.

*,.

,
.

, .

20000

1600

21600

86400

5
7

15000
1200
16200
10000
800
10800
:
* 8 %

81000
75600
243000

3. -

. .

. .

.
(34,2%): 243000*0,342=83106 .
4. ,
- , ,
,
.
,
.

.
12

;

,
.

.63.3100.001

68

8,34%
, , - 1 .
4.17


Canon PIXMA IP2700
MSI MSNCX413-020RU Black
(MY67)

,
.
1290

,
.
3870

14685

102795

1225

.
.
.

1000
300
4000

3
4
3

3000
1200
8000

83
25
333

-,

, .
108

1774

5. -
,

.
3% :
225000*0,03=6750.

. .

. .

6. ,
, (
- , ..).

, .
10% .
4.18

,



,

, .
50000
10000
4000
9000
5000

.63.3100.001

69

4.18


:

, .
1000
79000

7. ,
5% : 225000*0,05=11250 .
8. - , ,
, ,
, ..
150 %
: 243000*1,5=364500
5.19

, .

4121

243000

83106

6750

1774

. .

. .

79000

11250

364500
:

793501

4.4

:
1. .
2. .
3. .
4. .

.63.3100.001

70

5.
.
6. .
7. .
8. .
9. .
10. .
11. .
1.

, .

. .

. .

4.20

-0.2
-61
-120

-115
-51


0.3
0.6 /
0.05 /
40 /
0.005 /
30 /
0.02 /
25 /
0.002 /
55 /
0.006 /
35 /



(http://www.resonit.ru)

(http://www.centronix.ru)

(10%)
:

0.18
2
0.15
0.5
0.11
0.21

,
.

220

440

78

78
521
52
573

2.

.

.63.3100.001

71


4.21
),
(http://www.chipdip.ru, http://www.chipfind.net)

, /.
-
,
.

10-50-25-47 10%
27
7
189
10-50-25-10 10%
27
4
108

1-12-0,125-10...22*106
2
22
44
5%

Micron M45W8MW16
435
1
435
Intel Te28F128J3
Xilinx Spartan 3E
XCF00S

I11XXXXX

KM23ESGW

. .

. .

d=3

488
700
421
213

1
1
1
1

488
700
421
213

53

53

20

20

0.3 /
1505 .

2671

1,2
2671 .

:

4
1

:
(10 %)

2672 .
2939 .

3.

, ,
.

,

.
: , ,
, , :
- 5 ( 2,11);
- ;
- ;
- 1 22 /.
120 .
80 .

.63.3100.001

72

100 .
120 .
180 .
.
:
2,11

120
22 93
60

2,11

80
22 62
60

:
:
2,11

100
22 78
60

2,11

120
22 93
60

:
:
2,11

180
22 139 .
60

. .

. .

: 465 .
(20%): 465*0,2=93
:558
4.
10%
.
558 0,1 56 .

5.

34,2%
.
(558 56) 0,342 210 .

6.

.

20%

/ 558 0,2 112 .

7.
7.1 ,
.

.63.3100.001

73

4.22 ,

- ,
,
,
,
, .
%
/
.



AOUE-968

4700

12

564

0,06


Fiber YVO

3000

15

450

7301

40000

13

5200


3610

16000

1440

0,15

15000

10

6000

144

1200

12

. .

7.2
7.2.1

4.23 _

. .

13798


130 .
4
4

,
15
30
35


,
1950
120
140
2210

.63.3100.001

74

7.2.2

.
4.24 _

1,76

1,76

1,26

/ ,

.
/,

/,

63300

5380

24000

96700

63300

5380

24000

96700

45300

3850

17200

66300

259700

1 - 22 /.
- 35%

. .

. .

.
- 8,5 %
.
- , 8-
, 1- . - 250.
- 11 % .
- 8 %
.
=(250-0,11250)(8-0,088)=1634
-: (11,76221634)=63300 .
: (11,76221634)=63300 .
: (11,26221634)=45300 .
7.2.3
- 34,2 %
.

.63.3100.001

75

-: (63300+5380)0,342=23500 .
: (63300+5380)0,342=23500 .
: (45300+3850)0,342=16800 .
: 63800 .
7.2.4 ,
n

R c . .

M
i 1

.
.i

.
F. .. k .
.

n. .

n.. .

, ,
(4.5)

- 10 %
.
- 2,35 ./-.
=250(8-0,18)=1800 .
R=1800(0.06+0.15+0.07)/0.95=530 -.

=5302,35= 1245 .
: 1245 .
7.2.5

. .


:
10%
7,7 %
8 %
:
(3000+150004+150004)0,1=12300 .

(4700+40000+16000+3000)0,077= 4905 .
: 12000,08=96 .

. .

: 17301 .

.63.3100.001

76

7.2
7%

12000,07=84 .
: 84 .
7.3 ,

4.25 _

6 .

150

900

6 .

500

3000

6 .

100

600

6 .

150

900

. .

. .

7.4

5400

3%
: 368148*0.03=11044 .
4.26 _

13798

326955

17301
84
5400

11044

379192

: 379192/1000=379 .

.63.3100.001

77

8.
150%

.
558 1,5 837 .

9.

150% .
.. . 558 1,5 837

10.
20%

.
.. 558 0,2 112 .

10
.
. 6613 .

11.
1%
.

. .

. .

. 6613 0,01 66 .

.
6613 66 6679 .
4.27

, .

573

2939

558


56
.

210
.
.
112

379
.
.
837
.
837
.
112
.
66
:
6679

.63.3100.001

78


, .. ()
( ),

(,

),

,
. .
..
[11], ,
.

. .

. .


.. ,

[12]

() .

(
):
() ,
.
( ) ;

, ,
.

. ,

.63.3100.001

79

, .

( ).

()

(..

, )
.
,

.
, , ..
,

. .

: [13],
, , ,

[14], ,

[15],

..

, ,
(, , ..), , ,
, .

. .

.

:
(..
, );

.63.3100.001

80

(, ,
, , ,
[11]); (
, );
; ;
; (..

, [13] [15]);

; ( ,

);

(..
); (.. ,

);

); ; ; .

. .

.
5.2

, .

. .

:
- ;
- ;
- ;

.63.3100.001

81

- ,
;
- ;
- ;
- .

.
, , ,
.

, (
) .
.
:
- ;

. .

. .

- ;
- ;
- .

,
,
, .
5.2

, ,

,
,

.63.3100.001

82

,
, .
12.1.00588

[17], 12.1.003-83 .
[18], 12.2.032-78 .
. [19], 12.2.04980

[20].


,
,

,
.

. .

.
,
, ,

. .

,
, ,

,
.

.63.3100.001

83


.
, ,

, ,

- 12.1.005-88.

(, ,
..) ,
, .

, ()
.

. .

.

(
) ( );
-
.

,
:
- , ;

. .

- (
).

, ,

.63.3100.001

84

1800
, .

.
,
..
.
.
300 , - 400 .

10 .

,
:

. .

. .

25 /;
25 /
;
5 /
;
20 25 /
10 ;
5 20
/ :
(5.1)
T -
, ;
E - , /.

.63.3100.001

85

, ,
,

, , ,
:
- ;
-
12.1.029;
- 12.4.051.


31,5; 63; 125; 250; 500; 1000; 2000; 4000; 8000 ,
:
L

20lg P
,
P0

(5.2)

. .

- , ;
0 - 210-5.

,

:
LA

20lg PA
,
P0

(6.3)

-
, .

( ) .

. .

.63.3100.001

86

, -

,
, .
.


,
,
.

, ,
, .
,

. .

.
5.4

,

, .

( 13 % ),
.

. .

,
III ,
.
: A=15; B=10; H=4,5.
, .

.63.3100.001

87

U=220. .
III .
300.

-2x6,5.

:
h

H
Hn

Hp

hp
6.1

. .

. .

(5.4)

H , ;
hp , .
Ho = 4,5 0,8 = 3,7 .
:
(5.5)
:
(5.6)

:
(5.7)

.63.3100.001

88


:
(5.8)
:
(5.9)

:
.
l 1,16
(l=0,3Lp). :
(

(5.10)
)

1,25 5 ,

1,16 . , 15
2 . N = 30.
:
)
(

(5.11)
)

n = 70 %;

, 1 /3

. .

, :

. .

= 50 %;

= 10 %

16 [ 6 ]

= 56 %
= 1,5.
:
(5.12)

.63.3100.001

89

(.12 [ 6 ]);
Z

, Z = 1,1.

65-2 = 4320.
:
(5.13)

:
,

(5.14)

= 1,25;

. .

, ;
(

-23-05-95.

,
.

. .


-2x6,5 65-2.
,
.

.63.3100.001

90


:
- ,
??????.
VHDL.
.
.

.
,

.

.
, ,
.

- ??????.

. ,
, ,
() 10.0.

. .

. .

, .

.63.3100.001

91

. .

. .

.63.3100.001

92


, . . , /

1.

. . . - .: - , 1971. - 128 .
, . . / .

2.

. . - .: - , 1977. - 448 .
. . : /

3.

. . . .: - , 2004. - 320 .

4.

.,

.,

/ . .
, . . , . . . .: - -,
2002. 608 .
5.

Digilent Nexys2 board reference manual. 2008. P.17.

6.


190600, 200700, 200800, 201100, 201500, 210100,
220500. -: 2003. 24 .

7.

..

. .

. .

: .- -: . - 2006.240 .
. .

8.

: . 2- ., . -: ,
2002 80 .
9.

. ., . .

: . -, 2004. 164 .
10.

1.05-95 .

.
11.

01-93 (. 1998 ).

.63.3100.001

93

12.

2.2.4.723-98

(50 )
13.

2.2.4/2.1.8.055-96


14.

2152-80 -


15.


. 3 . .
/ . .., .., .. - -: ,
1998. - 2 /
16.

12.1.005-88 -

. .: - , 1990. 20
17.

12.1.003 83 .

. .: - , 1985. 15

. .

. .

18.

12.2.032-78

. . .: - ,
1979. 5
19.

12.2.049-80 .

.: - , 1982. 13
21. .
[ ] - : http://www.dsol.ru/stud/STESHENKO/
glava2/21.htm. - 27.05.2012.
22. DL-NEXYS.
SPARTAN-3(E) DIGILENT [ ] //
. - :
http://bashel.bashel.ru/icards.php?bi&n=7660&ct=3&pg=28. 27.05.2012.

.63.3100.001

94

. .

. .

.63.3100.001

95

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;

entity oscillator is
port( CLK : in std_logic;
TaktSign : out std_logic);

. .

. .

end oscillator;

architecture oscillator_arch of oscillator is


signal counter : std_logic_vector(20 downto 0):= (others => '0');
signal os_temp,res : std_logic := '0';
begin
count : process(CLK,res)
begin
if(res = '1') then
counter <= (others => '0');
elsif(rising_edge(CLK)) then
counter <= counter +1;
end if;
end process;

.63.3100.001

96

L : process(counter)
begin
if(counter = 16) then
res <= '1';
os_temp <= not os_temp;
else
res <= '0';
end if;
end process;

TaktSign <= os_temp;


end oscillator_arch;
:

. .

LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY KodPosled IS
PORT(
clk : IN std_logic;
outsign : OUT std_logic
);
END KodPosled;

. .

ARCHITECTURE KodPosled_arch OF KodPosled IS

signal

sign

std_logic_vector

(63

downto

0)

:=

("011111000001000011000101001111010001110010010110111011001101010");

.63.3100.001

97

BEGIN

process (clk)
variable index : integer := 64;

begin

if (rising_edge (clk)) then


if (index = 64) then
index := 0;
else
index := index + 1;
end if;

outsign <= sign(index);

end process;

END KodPosled_arch;

. .

. .

end if;

.63.3100.001

98

Вам также может понравиться