Вы находитесь на странице: 1из 103

. .

.10
1 ..13
2
, 19

2.1 ...19
2.2 ,
.20
2.2.1 ..20
2.2.2 ...27
2.2.2.1

27
2.2.2.2 ...30
2.2.3 34

. .

. .

2.2.4 ..40
2.2.4.1
40
2.2.4.2 DS18B20.42
2.2.5 .45
3 .48

. .

4 69
4.1 69

.468333.262
.
.

..
..
.
. . ..
. . ..

..

5
,
. , 69-

4.2 ..70
5 ...72
5.1 72
5.2 ...73
5.3 ...75
5.3.1 75
5.3.2 ..77
5.3.3 ..78
5.3.4 .79
5.3.5 .80
5.3.6 80
5.4 ....81
.

5.4.1 .81
5.4.2 ...83
5.5 .84

. . . .

5.5.1 .86
5.5.2 ...87
5.5.3 .89
5.5.4 .89
5.5.5 ..91

. .

5.5.6 .91
6 - ....93
6.1 93

.468333.262
.

6.2 .93
6.3 .94
6.4 95
6.5 98
6.6 .103
6.7 ...105
.107
...108

.468333.262 1

.468333.262 3

.

.468333.262

.468333.262

.758714.262

.468333.262

.
-

. .

. . . .

.468333.262
.

,
.

, .
, .
, .
, ,
. ,

,
.

), , , ,
.

. . . .

( ,
) ,
.
1668 , .

2.5 ., 6.5
.

. .

41 , , ,
25 , ,

.468333.262
.

10

.
1671 , (
3.4 . 16 .).
, .
,
- ,
, .
, ,
.

,
, ,
.
:

. . . .

,
.

:

. .


Optec,Inc. .

.468333.262
.

11

, .

: (, , , , ,
),
(, , ).
,
,
.
,
,

. .

. . . .

.468333.262
.

12

, 1.1 [1].

1.1 -


1.2

. .

. . . .

.468333.262
.

13

1.2 -

, , .
,
, . ,

PCFocus Aquest, Inc.

1.3 [2].

. .

. . . .

, .

.468333.262
.

14

1.3 - PCFocus

RoboFocus Technical

innovations RoboFocus 1.4 [3].

. .

. . . .

.468333.262
.

15

.
. . . .

1.4 - RoboFocus

TCF-S Optec, Inc.

. .

1.5 [4].

.468333.262
.

16

.
. . . .
.
. .

1.5 - TCF-S

,
. ,

.468333.262
.

17

,
.
, .
.
, ,
,

, ,
.
,


, .

. .

. . . .

.468333.262
.

18

,
2.1


. : ,
,

, . -
2.1

. . . .

2.1 -

,
.
,
.

. .

,
.

.468333.262
.

19

.
,
.
,
, ,
.

, ,
.

, .
, , .
.

. . . .


.
- ,
.
.

2.2

,
2.2.1

. .

Microchip, Atmel, ARM [5].

, .

.468333.262
.

20


: , ""
.

,
- , ..
USB , ,
, , ..

.
: PIC10/12/16
Microchip AVR Atmel [6].
PIC24 MEGAAVR, XMEGA AVR
PIC32.
, dsPIC.

. .

. . . .

DIP-14,
TQFP-144, .
32- .
ARM .
ARM Cortex-M (0,1,3,4 ..). AVR32 PIC32.
RISC
CISC.
RISC CISC ,
.

ISC

.468333.262
.

21

(16

CISC-);

);

CISC- - ,
. :
. :

CISC .

RISC-

. , ,

- ;

. . . .

. .

RISC- -
,
. -

.468333.262
.

22

.
, , CISC RISC-,
RISC-
,

RISC- CISC.
ISC-,

RISC-

.
,
,
AVR PIC .
. ,
, AVR.

. .

. . . .

ATMega32
Atmel, :

,

,
, . 1
16 , [8].
AVR ATMega,
ATMega32 , 32
FLASH ,
.
.

.468333.262
.

23

ATMega32
ATMega32 8-
[7]:
) , AVR 8-
;
) RISC :
1) 131 , ;
2) 32 ;
3) ;
4) 16 MIPS 16 ;
5) 2- .
) :
1) 32 FLASH
10 000;
2)

. .

. . . .

, ;
3) 1024 EEPROM
100 000;
4) 2 SRAM;
5) .
) JTAG (IEEE1149.1 ) :
1) JTAG ;
2) ;
3) FLASH, EEPROM,
JTAG .
) :
1) 8- /
;

.468333.262
.

24

2) 16- / ,
;
3) ;
4) ;
5) 8- , 10- ;
6) - , ;
7) USART;
8) Master/Slave SPI ;
9) Watchdog ;
10) .
) :
1) Reset
;
2) RC ;
3) ;

, . , .
) 32 - 1 ;
) 40 PDIP, 44 TQFP, 44
MLF;
) 4.5 5.5 ;
) 0-16 .

16 ,
ATMega32.
- ATMega32 2.2

. .

. . . .

4) : Idle, ,

.468333.262
.

25

.
. . . .
.
. .

2.2 - - ATMega32

.468333.262
.

26

2.2.2
2.2.2.1

:
,
[9].
.

,
,
.

(Mitsubishi,

Siemens,

Omron

, ,

. . . .

.
- ( ).

.
.

:

. .

.

, .
,

.468333.262
.

27

,
. (-,
..) !
.

, , ""
.
, ,
.
:
. ,
(),

,
, , ,

.

:
150300 /.
.
:

. 0.5-1 /c
.

. .

. . . .

.468333.262
.

28

,
. ,
, 20 (1 = 0.001 )
:

1-2 .

:

, , ,

.
:
,

. .

. . . .

, .

:
,
,
- ! ,

.

.
:
,
. ,
.

.468333.262
.

29

:
;

.
. . . .
.
. .

2.2.2.2

.468333.262
.

30

J,
m (. 2.3).

, ,

. .

. . . .

[10].

2.3 -

- ,

m - , m = 1 2 -

=23

- ,

= 0,001 /

- ,

= 0,9

.468333.262
.

31

J J = 50 100 -

E=

mV +

J +

J =

J ,

(2.1)

J ;
- ;
J , :

J =

+ J ,

(2.2)

= J ,

. . . .

= 9,8 /

(2.4)

- .

. .

(2.3)

(2.5)

.468333.262
.

32

=(

(2.6)

) ,

(2.7)

=
J = (

(2.8)

= J +

. .

=(

+ J ) +

(2.9)

= ( )/2,

(2.10)

= 360/ = 360/0,9 = 400 - ()

. . . .

, , (2.2):

= R = H /(2 ),

(2.11)

.468333.262
.

33

(2.11) ,
:

= 2 /( ),

(2.12)

(2.10) (2.12) ,

=2

/(

),

(2.13)

,
, (2.9) (2.13):

=(

+ J ) 2

/(

)+

(2.14)

(2.14)
:

. .

0,001
+ 2 9,8 0,003 = 5,9
400 0,003

, ,

DUNAZUN

4SHG-023A

39S(),

2.1 - 4SHG-023A 39S

, .

. . . .

= (2 0,003 + 100 10 ) 2

57
1.8
5%

.468333.262
.

34

2.1
, .










,
,
,
,
( 1)
,
(2 )
- , .
, 2
,
,

2
80
-20 +50
500 VD 1 .

100 Min 500V DC


0.02Max. (450 g-load)
0.08Max. (450 g-load)
75 (20 )
15
2.8
1.56
1.8
3.6
6.0
6
57
0.54
41

2.2.3

. . . .



.
.

. .

,

, ,

.468333.262
.

35

, - ,

,
[13].

, 5 , 10
2 12 ,

,
. ,
IRL2505 International Rectifier [15].
IRL2505:
U=55; I(100C)=74;
R(25C)=0.01;

. .

. . . .

R(150C)= 0.019 .
(
) ( )
. ,
.

[14].
,

.
, , (
,
),
[11].

.468333.262
.

36

P = I R (150C),

(2.15)

I - .

I .

I

= 1,56 . ,

T = ,:

T=

= 0,39

(2.16)

P = 0,39 0,019 = 2,9


:

P/ =

F,

(2.17)

U - ;
I - , ;
Q - ;
F - .

. .

. . . .

I = I

.468333.262
.

37

, ,
) .
- , .. ,
.

:

I =

(2.18)

U - ,
U = 5 ;
U

( )

- , ,

( )

= 2;


(2.19),

R =

. .

= 40 :

= 75

(2.19)

, ,
R = 100 .
(2.18):

. . . .

R - .

I =

52
= 30
100

.468333.262
.

38

P = I R = 0,03 100 = 0,09

(2.20)

, Us - 1,4,
Id - 1. 130 .
F = 10

P = 1,4

1 130 10

2
0,03

10 = 303

Us=2,8, Id=1,56, :

. .

1,56 130 10

2
0,03

10 = 946

P = P + P = 0,303 + 0,946 = 1,249

(2.21)

, :

P = P + P = 0,0029 + 1,249 = 1,252

(2.22)

. . . .

P = 2,8

T = T + Rt( ),

(2.23)

.468333.262
.

39

T ;
,
Rt( )

-,

IRL2505 Rt( ) = 0,75 ,

= 20 + 1,252 0,75 = 21

1 ,

.
,

.
, ,
, [12].
1N4007,

. . . .

1.

2.2.4
2.2.4.1

. .

.
: , ,
,
.

.468333.262
.

40

(RTDs - Resistance Temperature Devices)



.
,
.

. ,

.

( +2300). ,
. ,
.

. .

. . . .

2 . 2

NTC

KTY

100300C,

500 C


-55300C 2001800C

1961000C


,
NTC ,

0.6C

DIN EN
60751

401700C (
),


: 70+500C,
: 401000C




,
200 , : 55+150C
200

.468333.262
.

41

2.2

. . . .

NTC

KTY

: :

0.35% 100 0.45% 1250

150C
150C

:0.04%
1000
500C

1%,
0.2C


2,

DIN
EN 60751, B:
0.21% +100C
0.33% +500 C

,

15 ohm/K
,


/K /K,

, .,
4 /
1000

:
;
:
DO-35,
, SMD DO-35
SMD ,

:

, SMD,
SOT223 TO92

5%,

0.5%

, ,
, KTY

, DS18B20
.

. .

2.2.4.2 DS18B20

.468333.262
.

42

1-Wire

64-

, ROM-
,
;

3-5,5,

5-

3,3

);

-55+125;

0,5;

. . . .

9-12 ;

2.4 - DS18B20

. .

2.5

DS18B20.

64-

ROM

[16].
2- ,
.

.468333.262
.

43

( TH TL),

9, 10, 11, 12 ,
.TH, TL (EEPROM),
, - .
DS18B20 1-Wire
, ,
.
,
,

Z-

.
, 64- .
, ,

. . . .

, .

. .

2.5 - - DS18B20

.468333.262
.

44

2.2.5

,
,
. Hitachi HD44780
[15].
HD44780 82 ( ).
HD44780
7- .
- 1,2 4;
: 8,10,16,20,24,30,32 40.
5x8 .
.
HD44780 5 ( 3).

(100200 ), .
, 80120 .

.

.
HD44780 ,
CGRAM (Character Generator RAM).

, , CGRAM.

. .

. . . .

, , ,

.468333.262
.

45

. . . .

2.6 - HD44780

- 8- /
- 4- /

HD44780 AVR 8-
/ DB7:DB0.
2.6..
DB7DB0 8- ,
E ( ).

. .

.
/ RS. RS=0
, RS=1 .
, DB7:DB0

.468333.262
.

46

. E
.
R/W (R/W =1
, R/W =0 ).
4-, 4 ,

2 , , ,
. 2.6..
/ . ,
. , ,
E.




1
GND

2
VCC

3
V0

4
RS
/
5
R/W
/
6
E

7-14
BD7-DB0
15
A

16
K

. .

. . . .

2.3 - D44780:

.468333.262
.

47

CodeVision

AVR.

AVR,
.
:
"Visual" "Photo".
, .

, ,

.
"Visual"

3.1.
,
. 1 2
"+" "-"

. 3
,
. 4
- .
,
"Visual".

. .

. . . .

.468333.262
.

48


"Visual"


"+"


"-"



Visual

. .

. . . .

3.1 - "Visual"

.468333.262
.

49

,

[10].
3.2.

3.2 -


.
.

.
.

. .

. . . .

3.3 3.4

.468333.262
.

50



"+"

. . . .
.
. .

3.3 - "+"

.468333.262
.

51



"-"

. . . .
.
. .

-1

3.4 - "-"

.468333.262
.

52

, 10
.
3.5. ,
(.
3.6).
3
. 4

. .

. . . .

.468333.262
.

53

.
. . . .

3.5 -

3.6
.
3

. .

. 1 2

.

.468333.262
.

54

. .

. . . .

3.6 -

,
"Visual" - 3.7.
. 4
. 1
,
(. 3.6)
(. 3.9).

.468333.262
.

55



Visual


"0"

. . . .

. .

3.7 -
"Visual"

.468333.262
.

56

.
. 3
, ,
3.8.

. . . .

3.8 -

. .

.468333.262
.

57

. 1
2 .
3 .
3.9
.

. .

. . . .


"-"


"+"

3.9 -

.468333.262
.

58

,
.
,
"+"
"-" (. 3.3. 3.4),
.
"Photo" 3.10.

.
. 1
,
"Visual". 2
.
3 ,

. 4
, .

3.11, "Visual" (. 3.1),
, 4 ,
3 "Photo" (.
3.12).

. .

. . . .

.468333.262
.

59


"Photo"

. . . .

. .

3.10 - "Photo"

.468333.262
.

60


"+"


"-"

. .

. . . .



"Photo"

3.11 -

.468333.262
.

61

"Photo"
3.12. ,
. 1
,
, .
2
, .
3

. .

. . . .

(. 3.8).

.468333.262
.

62



"Photo"

. .

. . . .


"0"

3.12 -
"Photo"

"Photo"
. 3.13.
.

.468333.262
.

63

1
, .

. .

. . . .

"0"

3.13 -

.468333.262
.

64

2
.

(. 3.9).
4 .
"Photo"
. ,
3.14. 4.
N ,
.

- N.
,
.

- k,

. .

. . . .


,
- st . st
ds,
,
(. 3.9) st.

"Photo" , 3.15.
1, 2 3
, k,
ds
- N, . 4
.

.468333.262
.

65

i < N

i = i+1

st > ds


+ st


- st

. . . .
.
. .

3.14 -

.468333.262
.

66


ds

. . . .

3.15 -

k, ds N
3.16 .

. .

1 2
. 3
.

.468333.262
.

67

. . . .

3.16 -

. .

.468333.262
.

68

4
4.1

[17].
.
,
.


.
.

. 80
70 , ,

.

. . . .

.
, , = 0.7.
,
, :

q=

= 1.25 10

, (4.1)

, , ;

. .

S , 2.

.468333.262
.

69

,
,
.

4.2

,
[18].
, :
) ;
) ,
;
)

) ,
.

, .

. .

20 10

.
.
0.05 .
:

. . . .

I 0.05

0.0025 2
,
j
20

(4.2)

.468333.262
.

70

I , ;
j /2.

35 ,
0.07 . ,
, 0.5
.

.
0.041 .


. 16 .
.

4.1 , ,
.

. .

. . . .

, .

4.1

.468333.262
.

71

5

. :

5.1


, , ,

-,

-,

-, .

, ,
, ,

. . . .

24.07.1998 125-

.
( )
,

. .

, , ,
.

, :

.468333.262
.

72

) ();
) ( ),
( );
) ( ),
( );
) (),
(), ();
) -
:

(),

(), (), ();


)

(),

();

5.2

. .

. . . .


.

.
12.0.003-74 :
, , .

.
: , ,
, , ,

.468333.262
.

73

.
:

, ).


:

220/380 , ,

, ;

, .

. . . .

.
, .
,
. .

,
, :
) ,

. .

);
)
(

.468333.262
.

74

- );
) ,
.

, ,
:
) ;
) ;
) ;
) ;
) .


( 12.1.005-88 .
.

. . . .

).

5.3

5.3.1

( 12.1.019-79 .
. )

. .


.
:

.468333.262
.

75

,
.

,
, ,
.

1000
1000 ;


, .

. . . .

( 12.1.009-76).
1000 ;

. , 0,2,


.
,
. ,
,
;

. .

,
( 12.1.009-76).
,

.468333.262
.

76

, (
);

. ,

.

.
.
12.4.01189 .
:

4 2;

R = 500 .


,
, ,

. . . .

5.3.2


23-05-95

. .

-
2% .

.468333.262
.

77

,
, .
,
,
200 /2.
(
) 200 500
.
300 .

5.3.3

,
.

. .

. . . .

,
. 12.1.003-83 .

50 .

, , ,
:

63-8000
,

.468333.262
.

78

,
15-20 .

5.3.4

12.1.005-88 . -

.
4088-86:

22-24;

40-60%;

0.1 /;

2 600-800 .


, .

. . . .

, ,
, .

. 245-71,
Vp=20 3/,
,
30 3/.
, ,

. .

.468333.262
.

79

5.3.5

28406-89

. .
.
5
100 /.
,
(13022)
10-15.

2.2.4.1191-03

50
(40 )
25 / - 5 -2 2,5 / - (2-

. .

. . . .

400) . 50
: 250 - 5 -2 25 -
(2-400) .
500.

5.3.6

:
;
,
;
;

.468333.262
.

80

,
,
;

;

;

- ;

.

5.4

5.4.1


()

. .

. . . .

(),
. ,
300 (0.03 )[2].

(5.4)

, ;
N ;
n ,

.468333.262
.

81

(
, ,
);
S , 2;
z ( z =
1,1; z = 1,15);
k .

=

(5.5)

;
Hp .

(5.5)

55
=
= 0.84
( + ) 3.0 (5 + 5)


5.1.
5.1 - 3 .

. . . .

0,370,62

0,390,65

0,400,66

0,280,46 0,340,57

. .

5.1 0.84 (5.5)


0.3.

.468333.262
.

82

(5.1)
0171573 1800 .
.


100

1800 3 8 0.3
= 314.19
25 1.1 1.5

314.19
300 400 .

5.4.2


.
() eN (5.6)

. . . .
.

(5.6)

N - ;
- 4;
- 1;
(5.6) .
=

= 4 1 = 4
(5.7).

. .

(5.7)

S0 , Sn , 2;
r - , (r=3);
(
=1 3.0 =3.0);
k ,
(k = 1,2);
k ( k = 1,5;
1 /3 k = 1,3);
(5.8)

.468333.262
.

83

= ,

(5.8)

- 1

( 111-90) = 0.819;
- , .

( 2 = 0,7);
- , (

);

- ,
( = 1);
- , ,
, 0,9.

. . . .

(5.8)
= = 0.819 0.7 1 1 0.9 = 0.52
(5.7)
=

100

100 4.0 0.52 3


= 5.34
25 3.0 1.2 1.3

eH ,

5.5

. .

. (
). ,
( ). ,
, .

.468333.262
.

84


. .

.

- ,
.

.

. .

. . . .


.

,
.
(, ) 1 3
.
,
,
,
.


.

.468333.262
.

85

5.5.1

5.5.1.1 , ,
,
.
5.5.1.2
.
.
, 42 .
5.5.1.3 , ,
, .
5.5.1.4 , , .

. .

. . . .


.
.
5.5.1.5
,
.
, , , .

:

.468333.262
.

86

5.5.2

5.5.2.1
,
, .

.
,
.
.

. .

. . . .

5.5.2.2 , , ,
,
; 4.5.2.3

.
5.5.2.4
, ..
5.5.2.5
, ,
, .
5.5.2.6 ,
, .
.

.468333.262
.

87

5.5.2.7 , ,
,
.
5.5.2.8
-
, .
5.5.2.9 - ,
, .
5.5.2.10
, ,
.
5.5.2.11
(, , ).
5.5.2.12
. .

. .

. . . .

5.5.2.13
, .
5.5.2.14 , ,
,
.
5.5.2.15
,
, .
( ) , ,
, ,
.
5.5.2.16 ,
,

.468333.262
.

88

5.5.3

5.5.3.1 .
5.5.3.2 .
5.5.3.3 , ,
(
, ).
5.5.3.4 .
5.5.3.5 ,
. ,
(, , ..).
5.5.3.6 , ,

. .

. . . .

.
() .
5.5.3.7 .
5.5.3.8 ,
.
5.5.3.9 ,
.

5.5.4

, ;

.468333.262
.

89

(,

, );

, .
.

, ,
,
.
;

(), ,

, ,
.

. .

. . . .

, ,
.

, ,
.
, :

.468333.262
.

90

(, ).

.
, :

,
;


() ,

5.5.5

. . . .

, ,

.

5.5.6

. .


.
, , , .
.

.468333.262
.

91

,
, 41
,
.

( )
(,
.). 50,
100
.
.
143 ( ),
,
, ,


,
, .
, ,
.

. .

. . . .

.468333.262
.

92

6 -
6.1

: .
: , , ,
.

,

: - 2015 .
: 57824,8 .

6.2

. . . .

.
.
,
, , .
: , ,

,
- , ,
.

. .

, ,
.
,
,

.468333.262
.

93

,
.

6.3

()


, , .
-
J, :

J Bi * X i

(6.1)

i 1

J -
;
.

n - ;
i - i-ro ,
;
Xi - ,

RoboFocus
System RoboFocus.

.

. .

. . . .

.468333.262
.

94

6.1 - J

-

1.

2.
3.
4.


Bi

Xi
Xi*Bi
0,20
5
1
0,15
4
0,6
0,1
4
0,4

5.
6.
:

Xi Xi*Bi
4
0,8
4
0,6
3
0,3

0,25

1,25

0,5

0,2
0,1

5
5

1
0,5

5
5

1
0,5

J
4,75

J
3,7

k :

4,75
1,28
3,7

(6.2)

. ,
.

,
; ;
.

6.3.
T

. .

. . . .

6.4

t min 4t . . t ma
,
6

(6.3)

tmin - ;
tmax - ;

.468333.262
.

95

t.. - ,
:
t .

t max t min
2

(6.4)


6.2.

6.2 -

,
tmin tmax
t.
T
2

2.5

2.5

15

20

17.5

17.5

3.

3.5

3.5

4.

.

5.

2.5

2.5

10

7.5

7.5

10

7.5

7.5

2.5

2.5

2.5

2.5

. .

. . . .

1.
.
2.

6.

.
7.
.
8.
.
9.
.
10.
.

.468333.262
.

96

6.2

11. ,
,

.
12.

13.

,
tmin tmax
t.
T

10

8.5

8.5

58

91

74,5

74,5

6.2

6.3)

01.03.15 - 03.03.15

2
3

20
5

04.03.15 - 24.03.15
25.03.15 - 30.03.15
31.03.15 - 06.04.15

5
6

07.04.15 - 09.04.15

3
10

10

21.04.15 - 30.04.15

01.05.15 - 05.05.15

06.05.15 - 08.05.15

10

11

10

09.05.15 - 11.05.15
12.05.15 - 21.05.15

12

22.05.15 - 29.05.15

13

30.05.15 - 02.06.15

. . . .

6.3 -

. .

10.04.15 - 20.04.15

.468333.262
.

97

6.3 ,
, 6.1.

,
0

.
. . . .

20

30

40

50

60

70

80

90

100

6.1

6.5


.

:
;

.
. .

10

;
;

.468333.262
.

98

;
;
.
" " 6.4.

,
.

6.4 " "

. . . .

1.
(ATMega32-16PI)
2. LCD
(WH1602A-YYH-CTK)
3.
(IRL2505)
4.
(DS18B20)
5.
(LM317T)
6. (1N4007)
7.
16
8.
9.
10..
: 852


,
.

,
.

220

220

250

250

50

200

85

85

20

20

.
.

30

10

10

.
.
.

4
10
5

3
1
3

12
10
15

.
.
.
.
.

""

. .

, ..
.

.468333.262
.

99


:
n

Ca
i

gi t
,

(6.5)

i- , ;
;
gi i- ;
t i- ;
.

:
( , ) k k.. ,

(6.6)

, ;
, , ;

. .

. . . .

k ( k=1);
k.. (k.=2030%).
(365 115) 0.5 (1 0,25) 94

94 .

6.5 ""

1.

2.

3.

, ,
.
%
, ,
.
1000

10

30

32

1000

10

30

32

20000

15

75

2400

.468333.262
.

100

: 2464 .
" "
.
, ,
.

10%

.
30%.
" , , , "
, 30%
.
6.6 "
" " ,

. .

. . . .

, , ".

6.6 - "
" "
, , , "

-
,
,

1.

23
15000
2.

91
3000
:
(30%)
:
, , , (30%)
:

/,
.
625
125

/,
.
14375
11375
25750
7725
33475
10042,5
33475

.468333.262
.

101

" "
-, , ,
, ,
.

:

Wy Tg S ,

(6.7)

Wy , ;
Tg , ;
S .

2,91 .

. . . .

6.7.

6.7

1.

2.
3.
4.
5.
(8 .)
: 1353,86 .

,
0,012

,
400

,
.
10,944

0,5
0,12
0,25
0,4

400
200
500
600

456
54,72
285
547,2

" "

. .

.
20% .
6.8
.

.468333.262
.

102

6.8 -

1.
2.
3.
4.
5.
7.
8.
:

, .
852
2464
25750
7725
10042,5
1353,86
9637,5
57824,8


57824,8 .
25%, :

= 57824,81,25=72281 .

6.6

. . . .

, .

:
;
;
.
(6.8),

. .

, ,
20 .

.468333.262
.

103

100% =

= 5% ,

(6.8)

(6.9).
= ,

(6.9)

: .
C1 :

C a1 72281 0,05 3614 .

C2 :

. . . .

C 2 100000 0.05 5000 .

6.9

6.9

, ./.

11000

23000

3614
180,7
15794,7

5000
250
28250

. .

5%
.

.468333.262
.

104

6.7

- (6.10).

1 2

(6.10)

;
J 2
J 1

. . . .

T 1
T 1

;
C1 , C2

;
1
P ;
2

2 ;
E .

. .

0,33.

28250 14794,7

57824,8 1,28 1
100000 2 24918,9
0,02 0,33

.468333.262
.

105


(6.11).

K
57824,8

2,3 ,
24918,9

(6.11)

,
.


(6.12).

1
0,43
T

(6.12)

, .

. .

. . . .

.468333.262
.

106

,
.

, ,

.
, ,

. .

. . . .

.468333.262
.

107

1 Optec,Inc. Optec,Inc [
]: . . . - : http://company7.com
2 quest, Inc. - PCFocus and FocusAide.
quest, Inc. [ ]: . . . -
: http://aquest-inc.com
3 Technical

innovations

RoboFocus.

RoboFocus [ ]: . . . -
: http://www.robofocus.com/
4 , .. AVR: , ,
/ .. .: , 2004. . 5 287
5 Datasheet

ATmega32

- : http://www.atmel.com/Images/doc2503.pdf

6 ATmega32. [
]- - : http://atmega32.narod.ru/, .
. . . .
7 Globalscience. - .

. . . .

[ ] -
- : http://globalscience.ru, . . . . .
8 , .
/. - . . : , 1987.- 200 .:.
9 , .. : . 2-
/ ..,

..,

...

, 2003. .1. 102 .

. .

10 , .. :
/ .., ..,
... .: . ., 1974. 430 .

.468333.262
.

108

11 - .
[ ]: . ..
20062013, : http://www.chipdip.ru.
12 Datasheet DS18B20 [ ] -
- : http://www.e-voron.dp.ua
13 , . / .
: , 2005 -360 .
14 , .. :
-

/ ..

.-: -

, 2008.-80 .
15 , .., , .., ,
. , 2007.
79.
16 ,

..

(): .

, 2008.- 51 .
17 - .
[ ]. . : . . -,
1990 : http://base.garant.ru/5179162/, . .
. . .

. .

. . . .

/ .. .- :

.468333.262
.

109

Вам также может понравиться