Вы находитесь на странице: 1из 2

ПОСЛЕДОВАТЕЛЬНОСТЬ РАБОТЫ В СРЕДЕ QUARTUS

1. Создать проект
2. Добавить в него файлы
3. Необходимый файл назначить главным (Top-Level Device)
4. Выполнить синтез проекта (кнопка Analisis & Synthesis)
5. Указать разводку пинов (меню Assignments → Pin Planer)
6. Выполнить Fitter и Assembler
7. Залить конфигурацию на стенд (пункт Program Device → Start)

КАК СОЗДАТЬ ПРОЕКТ В QUARTUS


1. Меню File → New Project Wizard
2. В появившемся окне нажать Next. Далее следует 5 этапов создания проекта:
– [page 1 of 5]: в первое поле ввести размещение вашего проекта на диске D:\labNYourName
во второе поле ввести имя вашего проекта labNYourName
– [page 2 of 5]: нажать Next
– [page 3 of 5]: установить: Family=Cyclone II; Pin count=672; Speed Grade=6;
в появившемся списке из 3-х микросхем выделить 1-ю по порядку (EP2C35F672C6)
– [page 4 of 5]: нажать Next
– [page 5 of 5]: нажать Finish

КАК ДОБАВИТЬ В ПРОЕКТ СХЕМОТЕХНИЧЕСКИЙ ФАЙЛ И РАБОТАТЬ С БИБЛИОТЕКОЙ QUARTUS


1. Меню File → New
2. Выбрать тип файла Block Diagram/Schematic File
3. Сохранить файл в проект: File → SaveAs
4. Вход в библиотеку элементов – кнопка Symbol Tool
5. Стандартная библиотека Quartus расположена в ветке c:/altera/13.0sp1/quartus/libraries/
6. Генерация пинов на схеме: выделить мышью отдельный элемент или все схему целиком;
нажать правой кнопкой мыши на выделенной части схемы;
выбрать пункт Generate Pins…

КАК ДОБАВИТЬ В ПРОЕКТ VHDL-ФАЙЛ


1. Меню File → New 2. Выбрать тип файла VHDL File 3. Сохранить файл в проект: File → SaveAs

КАК НАЗНАЧИТЬ СХЕМОТЕХНИЧЕСКИЙ ФАЙЛ ГЛАВНЫМ ФАЙЛОМ ПРОЕКТА


1. В окне Project Navigator перейти на вкладку Files
2. Нажать правой кнопкой мыши на имени интересующего вас файла
3. Выбрать пункт меню Set as Top‐Level Entity

КАК НАЗНАЧИТЬ VHDL-ФАЙЛ ГЛАВНЫМ ФАЙЛОМ ПРОЕКТА


1. В окне Project Navigator перейти на вкладку Hierarchy
2. Нажать правой кнопкой мыши на имени проекта в окне Project Navigator и выбрать пункт Settings
3. Перейти в раздел General и вписать имя секции entity вашего VHDL-файла в поле Top‐Level Entity

КАК ПРЕОБРАЗОВАТЬ VHDL-ФАЙЛ В СХЕМОТЕХНИЧЕСКИЙ ЭЛЕМЕНТ


1. Открыть код VHDL-файла, который вы хотите преобразовать в схемотехнический элемент
2. Меню File → Create/Update → Create Symbol Files for Current File
После этого в библиотеке Quartus в разделе Project появится созданный схемотехнический элемент

КАК ВЫПОЛНИТЬ МОДЕЛИРОВАНИЕ ПРОЕКТА


1. Добавить в проект файл временных диаграмм:
– меню File → New; выбрать тип файла University Program VWF; сохранить файл в проект: File → SaveAs
2. Добавить порты проекта в файл временных диаграмм:
– щелкнуть правой клавишей мыши в левой части редактора временных диаграмм
– выбрать пункт Insert Node or Bus
– нажать кнопку Node Finder; нажать кнопку List; нажать кнопку >>; дважды нажать кнопку OK
3. Установить для входных портов необходимые значения. Для выходных портов значения не устанавливать
4. Нажать кнопку Run Functional Simulation или Run Time Simulation
ПОСЛЕДОВАТЕЛЬНОСТЬ РАБОТЫ В СРЕДЕ QUARTUS
1. Создать проект
2. Добавить в него файлы
3. Необходимый файл назначить главным (Top-Level Device)
4. Выполнить синтез проекта (кнопка Analisis & Synthesis)
5. Указать разводку пинов (меню Assignments → Pin Planer)
6. Выполнить Fitter и Assembler
7. Залить конфигурацию на стенд (пункт Program Device → Start)

КАК СОЗДАТЬ ПРОЕКТ В QUARTUS


1. Меню File → New Project Wizard
2. В появившемся окне нажать Next. Далее следует 5 этапов создания проекта:
– [page 1 of 5]: в первое поле ввести размещение вашего проекта на диске D:\labNYourName
во второе поле ввести имя вашего проекта labNYourName
– [page 2 of 5]: нажать Next
– [page 3 of 5]: установить: Family=Cyclone II; Pin count=672; Speed Grade=6;
в появившемся списке из 3-х микросхем выделить 1-ю по порядку (EP2C35F672C6)
– [page 4 of 5]: нажать Next
– [page 5 of 5]: нажать Finish

КАК ДОБАВИТЬ В ПРОЕКТ СХЕМОТЕХНИЧЕСКИЙ ФАЙЛ И РАБОТАТЬ С БИБЛИОТЕКОЙ QUARTUS


1. Меню File → New
2. Выбрать тип файла Block Diagram/Schematic File
3. Сохранить файл в проект: File → SaveAs
4. Вход в библиотеку элементов – кнопка Symbol Tool
5. Стандартная библиотека Quartus расположена в ветке c:/altera/13.0sp1/quartus/libraries/
6. Генерация пинов на схеме: выделить мышью отдельный элемент или все схему целиком;
нажать правой кнопкой мыши на выделенной части схемы;
выбрать пункт Generate Pins…

КАК ДОБАВИТЬ В ПРОЕКТ VHDL-ФАЙЛ


1. Меню File → New 2. Выбрать тип файла VHDL File 3. Сохранить файл в проект: File → SaveAs

КАК НАЗНАЧИТЬ СХЕМОТЕХНИЧЕСКИЙ ФАЙЛ ГЛАВНЫМ ФАЙЛОМ ПРОЕКТА


1. В окне Project Navigator перейти на вкладку Files
2. Нажать правой кнопкой мыши на имени интересующего вас файла
3. Выбрать пункт меню Set as Top‐Level Entity

КАК НАЗНАЧИТЬ VHDL-ФАЙЛ ГЛАВНЫМ ФАЙЛОМ ПРОЕКТА


1. В окне Project Navigator перейти на вкладку Hierarchy
2. Нажать правой кнопкой мыши на имени проекта в окне Project Navigator и выбрать пункт Settings
3. Перейти в раздел General и вписать имя секции entity вашего VHDL-файла в поле Top‐Level Entity

КАК ПРЕОБРАЗОВАТЬ VHDL-ФАЙЛ В СХЕМОТЕХНИЧЕСКИЙ ЭЛЕМЕНТ


1. Открыть код VHDL-файла, который вы хотите преобразовать в схемотехнический элемент
2. Меню File → Create/Update → Create Symbol Files for Current File
После этого в библиотеке Quartus в разделе Project появится созданный схемотехнический элемент

КАК ВЫПОЛНИТЬ МОДЕЛИРОВАНИЕ ПРОЕКТА


1. Добавить в проект файл временных диаграмм:
– меню File → New; выбрать тип файла University Program VWF; сохранить файл в проект: File → SaveAs
2. Добавить порты проекта в файл временных диаграмм:
– щелкнуть правой клавишей мыши в левой части редактора временных диаграмм
– выбрать пункт Insert Node or Bus
– нажать кнопку Node Finder; нажать кнопку List; нажать кнопку >>; дважды нажать кнопку OK
3. Установить для входных портов необходимые значения. Для выходных портов значения не устанавливать
4. Нажать кнопку Run Functional Simulation или Run Time Simulation

Вам также может понравиться